CSV: 000012242921723500210010001001011000000000000000000001000000000000000002211220100000000000000010000001100000000001101121010100000000100000000011013000100200000000001000000012300000000000000000000000000000000000000000000001011101000100000000000000000000000000000000000100000000000000000010000011010200021100001100130011010010000000000 QUERY MENKNVLVVGGAGYIGAHTCRVLYERGFLPIVLDNLSSGHAEFVLWGPLEQVDICDYTNLRAVFAKYQPASVMHFAGLTNISESVKNPSLFYEINIKGSFNLIATAIESNVRRFIFSSTCATYGIPHNTIITENDPQESITPYGYTKYVVERELLQHNKVNGLRSVVLRYFNAAGATLDSIIGEWHNPETHVIPLAIKTAMGYQNSFKVFGQDYATRDGTCLRDYIHVLDLANAHIMALEYLINQGDSIAINLGTGTGITVKEIISTIQSMYECAFPITYESRRIGDPPSLVADNKKAKKILGWNPKYKLRDIIESAWNWHLKYPRSLSNEHE 159186050 MMKKKVLVVGGAGYIGSHTCLLLSERGYEPVVFDNLSNGHEEFVRWGPFEQGDIRDRARLDEVFAKHRPEAVLHFAALIEVGESVKQPVAFYDNNVIGSLNLLSAAIDAGVTSFVFSSTCATYGLPEQVPIDETHRQAPINPYGRTKWVVEQALKDYSTYEGLRSVMLRYFNAAGADFEGRIGEWHKPETHAIPLAIEAALGRRQGFKVFGTDYDTRDGTCVRDYIHILDLADAHVRAVDYLLDGGETVELNLGTGTGTTVKELLAAISEVSGRPFPVEYTGRRDGDSTTLVANNDKAREVLGWEPRYSLSDIIKSAWAWHS----------- 218511077 MAGETILVVGGAGYIGSHTCLDLANKGYKPVVFDNFSNGHREFVKWGPAEEGDIRDRARLDEVLAKHRPAAILHFAALIEVGESVKDPVSFYENNVIGTLTLLSAAQAAGINAFVFSSTCATYGLPQSVPLDETHRQLPINPYGRTKHIVEQALADYDQYKSLRSVVLRYFNAAGADFEGRIGEWHQPETHAIPLAIDAALGRRQGFKVFGSDYETRDGTCVRDYIHVLDLADAHVRAVEYLLKGGESVALNLGTGTGTTVKELLGAIEEVSNRPFPVEYIGRREGDSHTLVANNDKARDVLGWVPQYDLSAIIRSAWNWHAR-----SNQH- 16124347 ---QTVLVTGGAGYVGSHCCLALAEAGFRPVVFDDLSNGHREHVQWGPLEVGDIRDAARLDAVFAAYAPVAVLHFAARIEVGESVKNPGAFFDTNVGGTITLIEAARRAGVKVVVFSSTCATFGDPVDLPMKETHPQAPLNPYGRSKLMVEQALADYDRYVGLKSAVMRYFNAAGADPQGRIGEWHEPETHAVPLAIQVALGQRPRFTIFGDDYDTRDGTAVRDYVHVLDLADAHVAALKRLLVGGSSETYNLGTGTGTTVRELVDGVGKVAGAPLPVEIASRRPGDAPVLVGDHAKARAELGWKASRSLDEILSTAWRWHR----------- 310643492 -----ILVTGGAGYIGSHTVAELLDLGEEVVVLDNLQTGHKGALLGGKLYEGDLRDKELLSKLFSENNIDAVIHFAANSLVGESMQNPGKYYDNNVFGTLSLLEAMKDAGVSKIVFSSTAATYGEPEKVPIEEGDRTEPTNVYGETKLMMERMMSWFDKVLGIKYVSLRYFNAAGAHESGKIGEDHRPESHLIPLVLQTALKQRPHIAVFGEDYATPDGTCVRDYIHVSDLADAHVRAVNYLREGNDSNIFNLGNGQGFSVKEVIETARKVTGLDIPVVTEPRRAGDPAILVASSDKARSVLGWSPKRTLEDIISGAWGWHQSHPQGYGDE-- 186684266 ----TILVTGGAGYIGSHTVLALKQAGYNVVILDNLVYGHRDLVEKILQVEGDTGDRALLDHLFKTRDIAAVMHFSAYAYVGESVTDPAKYYRNNVVGTLTLLEAMLTASVKKFVFSSTCATYGVPEFVPIPENHPQNPINPYGATKLMVERILSDFDVAYGFQSVRFRYFNAAGANPNGLLGEDHNPETHLIPLVLMTALGKRKSISIFGTDYPTPDGTCIRDYIHVNDLADAHILGLEYLLKGGDSEVFNLGNGSGFSVREVIAAAEQVTGTSIPVEEHDRRPGDPPILIGTSEKARTILGWQPQYSIEDIVAHAWQWHQKRHK------- 75908178 -----ILVTGGAGYIGSHTVLALKQAGYDVVILDNLVYGHREKVLQVELVVGDTGDRSLLDELFKSRHFDAVMHFSAYAYVGESVSDPAKYYRNNVLGTLTLLEAMLAASINKFVFSSTCATYGVPKSVPIPEDHPQDPINPYGATKLMVERILADFDVAYGLKSVRFRYFNAAGANPDGLLGEDHNPETHLIPLVLLTALGKRKSISIFGTDYPTPDGTCIRDYIHVNDLADAHVLGLEYLLKGGDSEVFNLGNGQGFSVREVIAAGEQVTGLPIAVEECDRRPGDPPSLIGSGEKARKILGWQPNYSIKDIVAHAWQWHQKRHQ------- 125972710 -----VLVTGGAGYIGSHTVAELVEKKEEVIVVDNLEKGHRDAVAGAKLIVGDLRDKEFVKKVFLENDIEAVIHFAAYIEVGESVQNPLKYYNNNVIATLNLLTAMEEAKVDKIVFSSTAATYGEPENIPILETDRTLPTNPYGETKLAVEKALKWCDRAYGIKYIALRYFNASGAHESGEIGEDHSPESHLIPLVIQAALGKRESIKIFGNDYNTPDGTCIRDYIHVSDLANAHYLALQRLREGKESAVYNLGNGKGFSVKEVIDVVRKVTGRPIKVEDAPRRPGDPAVLVASSEKIKKELNWQPRMDLETIVSTAWKWHLSHPNGYNDK-- 253574886 -----ILVTGGAGYIGSHTVAALLEQGREVVVIDNLQTGHREALLGGKLYEGDLRDKALLAKLFAENEIEAVIHFAANSLVGESMKDPVKYFDNNVYGTLCLLDAMDQANVRKIVFSSTAATYGEPEKVPIEESDPTRPTNVYGETKLTMERMMAWFDQVLGIKYVSLRYFNAAGAHESGKIGEDHRPESHLIPLIIQAALGQRPSIQVFGDDYNTADGTCVRDYIHVSDLADAHLRAVDHLLGGGESDVFNLGNGQGFSVKEVIETVKQVTGRDFPVAISPRRAGDPAVLIASSDKARRVLGWNPTRNLPGIIESAWQWHNSHPNGYND--- 302392887 ME-KTILVVGGAGYIGSHQVKMLAKRGYEVVVYDNLSTGYRDLVTVDNFEKGDLADKDRLKEVFSRYDIDAVMHFAAFIQVGESVKNPAKYYKNNVVNVINLLDVMLEYDVKYFIFSSTAAVYGEPEEIPIKEGQAKKPISPYGKSKLMVEQILEDYDRAYNLRYTCFRYFNASGADESGRIGEKHDPETHLIPLVLQTALGERDEIYIFGTDYDTRDNTCIRDFIHVNDLADAHIRGLERLFEGDNSEVFNLGSGDGYSVREIIDKAKEITQVNFKVVEDDRREGDPAVLIADSSKATQMLAWEPKYNLEDIIRTAWNWHK----------- 217076811 ----TVLVAGGAGYIGSHVCKMLRERGYDVVVIDNLSHGYKSFTRYGEFVLGDISDENLLDLVFKTYKIDAVMHFCAYIEVGESVVDPNKYYQNNVSNTLTLLNSMLKHDVKYFIFSSTAAVYGMPQRIPIKEDDPKMPINPYGKSKYMVEQILDDFDRAYGLKSIRFRYFNAAGADESLEIGEAHEPETHLIPLILDAALSVRDSIKIFGTDYETKDGTCIRDFVHVNDLADAHIKGLEYLISEKKTDYFNLGSGSGFSVREVIEKVKEVTNVDFKVEEVDRRPGDPAYLIADNTKARKILGWEPKYDLEKIIQTAWNWHK----------- 90417976 --TKRVLITGGAGYIGAHTCLLLAERGYEAVVYDNLSNGHAEFVRWGAFEQGDIRDTNRLRSVFSQYQPDAIIHFAGLIEVAQSVRDPLAFYDNNVSGTLSLITAAEAAGVDKIVFSSTCATYGIPQFTPLTEDHVQAPISPYGWSKLLVEHILRDLSGLDRIRCAILRYFNAAGADPEARIGEWHTPETHAVPLVIETALGQRDCFTIFGDDYDTADGTCIRDYVHVIDLADAHVRAVEYLLNDGASVALNLGTGTGTSVAELVETVALVSGRPVKTRRADRRPGDPSILLADNRRARDVLGWQPQHDLASSIESAWRWHTK---------- 66737312 MQNNNILVVGGAGYIGSHTCLRLAEKGYHPVVYDNLSNGHEEFVRWGVLEKGDIRDRRRLDEVLARHKPRAVLHFAAMIEVGESVKDPVAFYDNNVIGTLTLLSATLAAGIEAFVFSSTCATYGLPDSVPMDETHKQAPINPYGRTKWVCEQALKDYGLYKGLRSVILRYFNAAGADFEGRIGEWHEPETHAIPLAIDAALGRRDGFKVFGTDYETRDGTCVRDYIHVLDLADAHVRAVDYLLEGGDSVELNLGTGTGTTVKELLDAIEKVSRRPFDVSYVGRRDGDSTTLVANNDKARQVLGWEPQYDLAAITESAWNWHSR---------- 251795043 MRSMAVLVTGGAGYIGSHAVAALAERGEEIVVVDNLQQGHREAVVGGKLYVGDLRDAEFMDTVFKENKIDAVIHFAANSLVGESMTNPAKYYHNNVYGTLCLLEKMIEHDVKKIVFSSTAATYGEPENVPIDEFDRTLPTNTYGETKLAMEKMMKWFDVAHGLKYVSLRYFNAAGAHASGKIGEDHSPETHLIPIVLQAALGQRPHISVFGDDYATPDGTCIRDYIHVSDLADAHVLAVDKLRQGSESAIYNLGNGQGFSVKEVIEIARKVTEREIKAVIEPRRAGDPATLVASSARARKELGWNPSRNLEDIIRSAWNWHVNHPNGYSN--- 307153797 ----TILVTGGAGYIGSHAVQALQKAGYSVIILDNLVYGHREKVLQVELIQGDISDRALLDDLFKRYSIAAVMHFAAYIFVGESVTNPAMYYRNNVVGTLTLLEAMVAANVKKIVFSSTCATYGVPQFMPLTEDHPQNPINPYGHTKLMVEKILADFDHAYGLKSVCFRYFNAAGADPSGQLGEDHQPETHLIPLILLAALGQRESISVFGTDYPTPDGTCIRDYIHVVDLADAHVLGLEYLLEGGESNAFNLGNGNGFSVKEVIETARVVTGKPIKVVECERRAGDPPVLVGSSAKAKTVLGWKPQYELKNIIAHAWNWHQ----------- 218441151 ----TILVTGGAGYIGSHAVKALQQAGYGVIVLDNLVYGHAEKVLEAELIVGDISDRPFLDDLFSRYPIAAVMHFAAYAYVGESVSDPAKYYRNNVMGTLTLLEAMVAANVKRIVFSSTCATYGVPQFMPLTEDHPQNPINPYGSTKLMVEKILADFDRAYGLKSVCFRYFNAAGADPEGKLGEDHQPETHLIPLVLLTALGRRDAISIFGTDYPTPDGTCIRDYIHVVDLADAHILGLEYLLEGEESNIFNLGNGNGFSVREVIETAKTVTGKSIKVLECDRRPGDPPVLVGSSEKAQKILGWQPQYSIKDIIDHAWNWHQ----------- 15606348 ---KKVLVTGGAGYIGSHVVKALGEKGYEVLIYDNLSTGNEWAVLYGKLVKADLADKETLRRVFEEFKPDAVMHFAAYIVVPESVKEPLKYYRNNVVNTINLLEVMQEFGVNKFVFSSSAAVYGIPESIPVKEDAPLNPINPYGETKATVERILRDLKNSKDFNYVSLRYFNVAGADPEGKIGFAYPNPTHLIIRAVKTAAGEFDRLEIYGTDYPTPDGTCIRDYIHVTDLAEAHILALEYLFSGGKSEVLNCGYGHGYSVLEVVNAVKKVTGVDFKVVEAPRREGDPPALVADNKKIKRVLNWEPKYDLEFIIKTAWEWEKKF--------- 218661458 MACETVLVVGGAGYIGSHTCLDLANKGFKPVVFDNFSNGHREFVKWGPVEEGDIRHRMRLDEVLAKHKPAAILHFAALIEVGGSVKDPVAFYENNVIGTLTLLAAAQAAGVKSFVFSSTCATYGLPQSVPLDETHRQVPINPYGRTKYIVEQALADYDTYTGFRSVVLRYFNAAGADFEGRIGEWHQPETHAIPLAIDAALGRREGFKVFGTDYQTRDGTCVRDYIHVLDLADAHVRAVEHLLRGGESVALNLGTGTGTTVKELLGTIERVCEWPFPVEYVGRREGDSHTLVANNDKAREVLGWTPQYDLSQIIRSAWNWHLK---------- 258517372 ----NILVCGGAGYIGSHVVRQLQKKGYEVLVLDNLINGHLSAVDGVPFVKADITDKQALQEVFSRNSIDAVMHFAAFSIVGESMSKPGLYYRNNVLGTLNLLEAMRENKVSKLIFSSTAAVYGEPEEIPICEEHRTKPTNPYGATKLAVEEMLNWFNHAYGLNYVSLRYFNAAGADESGDIGEDHNPETHLIPLVLKTALGVLPEIKIFGTDYPTPDGTCLRDYIHVNDLADAHIMGLQSLSGGGQSTIFNLGNGNGFSVKEIIETARKVTGKPIQAVETDRRTGDPAVLVASSEKIKQELGWQPRYDIEQIISSAWRWHQRNFN------- 150377061 MQNNNILVVGGAGYIGSHTCLQLAAKGYQPVVYDNLSNGHEEFVKWGVLEKGDIRDRQRLDEVLARHKPRAILHFAAMIEVGESVKDPAAFYDNNVIGTLTLLSAALAAGIDAFVFSSTCATYGLPDSVPMNETHKQAPINPYGRTKWICEQALKDYDHYKGLRSVILRYFNAAGADFEGRIGEWHEPETHAIPLAIDAALGRREGFKVFGTDYDTRDGTCVRDYIHVLDLADAHVRAVDYLLEGGESVALNLGTGTGTTVKELLNAIEDVAGRSFNIGYAERREGDSTTLVANNDKAREVLGWEPQYDLAAITRSAWNWHSRRNQG------ 187251018 ---KNILVVGGAGYIGSNTVRVLEIKGYSPIVYDNLSKGHKKAVRGIPFIKGDLGDKKKLKTVFSKFKIDAVMHFAAFTEVGESVITPAKYYENNVAKVLNLLDAMVESGINYFVFSSTAATFGEPVKELIDETHPQNPINPYGRTKLMVENILKDYDHSYGLKSVCLRYFNACGASPDGKTGESHDPETHLIPLVFQAALGKRESIKVFGNDYPTPDGTAVRDYIHVNDLASAHILALEKMAKENKSAWYNLGSGSGYSVKEIIETVKEVTGLDFKVENAPRRAGDPAVLVADSAKAKQDLKWEPQFNLKEIIKTVAKWERK---------- 146296525 -----ILVTGGAGYIGSHMVWLLLERGYDVVVIDNLEKGHSKAVLGGKFYKGDLKDKEFLDKVFSENEISAVIHFAASSLVGESVQNPIKYYYNNVYGTLNLVDTMIKHNVKKLVFSSTAAVYGEPENIPILETDKTEPTNPYGETKLAIEKMLKWMDTAYGLKFVSLRYFNVAGAHPDGIIGEDHNPETHLIPIVLQVALGMREKVTVYGNDYNTKDGTCIRDYIHVLDLCDAHLKALEYLEKYNKSDIFNLGNGLGFSVMEVIEKANEVVGKKIPYEIGQRRPGDPSILVASSKKAQEVLGWEQKYSLEVIISTAWKWHSTHPNGYDEK-- 261405466 -----ILVTGGAGYIGSHTVAELLEQGEDVVVIDSLETGHREALLGGKLYVGDLRDKALLKQLFSDNDIDAVIHFAANSLVGESMKDPVKYYDNNVYGTLCLLEAMNEAGVRKIVFSSTAATYGEPEKVPIEETDRTQPTNVYGETKLMMERMMSWFDTVLGVKYVSLRYFNAAGSHASGKIGEDHRPESHLIPLVLQTALKQRASISVFGDDYATPDGTCVRDYIHVSDLANAHLRAVEYLRRGESSNVFNLGNGLGFSVKEVIETSKRVTGADIPVVMEARRAGDPAVLVASSDKARTVLGWTPRTTLEEIIESAWKWHSSRPDGYGD--- 300811801 --NMKILVVGGAGYIGSHAVRRLVADGNDVVVLDSLFTGHKEAIPKAKFYQVDLLDKDAVADVLKKEKIEAVMHFAAYSLVGESVKKPLKYYKNNVSGMISLLEAMEETGVKYLVFSSSAATYGIPEKLPITEETPLNPINPYGETKMMMEKIMHWADKANGIKSIALRYFNVAGASLDGSIGEDHHPETHLIPNIMKAALAGGGDFTIFGDDYDTKDGTNVRDYVHVVDLIDAHVLALKHLMETNQSDVFNLGTATGFSNLEILKAAIKVTGVDIPYTIGPRRGGDPDSLVADSSKARKVLGWSPKYNVDDIIASAWNWHQKYPNGFSK--- 303241599 -----VLVTGGAGYIGSHTVAELLERNEEVIIVDNLEKGHKPAVLGGKLIVGDLRDKEFIKNVFLQNDIEAVIHFAAYIEVGESVTDPLKYYNNNVAVTLNLLTAMKEAGVKKVVFSSTAATYGEPENIPILETDRTFPTNPYGETKLSVEKALKWSDGAYGIKHVILRYFNACGAHISGNIGEDHSPESHLIPLIIQAAMGKRDSIKMFGNDYNTPDGTCVRDYIHVSDLAQAHYLALQKLRNEDKSDIYNLGNGKGFSVKEVVDVVRKVTGKSITAVDAPRRPGDPAILVASSEKIKKELNWKPRMDLETIVSTAWEWHSKHPNGYNDK-- 257057960 MSQKKILVIGGAGYIGSHVVRVLLEAGYQVIILDNLIYGHRETILKVELIIGDIGDLALLDHLFSSHSIEAVMHFAGFGYVGESIQHPQKYYRNNVANTLTLLEAMNQASVNKLVFSSTCATYGIAQTFPITEKHPQQPINTYGKSKLMVERMLKDFSQAYPLKYVCFRYFNAAGAHPDGLLGEDHNPESHLIPLVLLTALGKRESISIFGTDYPTPDGTCIRDYLHVMDIAQAHLLGLEYLLANETSNVFNLGNGNGFSIQQVIDTSMDITQRPISVNLVNRRPGDPPILVSSNEKARQILGWKPQYPLEEILAHAWQWHQKRHQ------- 170078322 MADKTVLVTGGAGYIGSQAVLSLQRRGYRVIVLDNLVYGHQDSVLKAELIVGDTGDRPLLDQIFADHKIDAVMHFAAYAYVGESVTAPGKYYRNNVVGTLTLLEAMVAAGVKQFVFSSTCATYGEPSEIPIPEAHPQNPINPYGMSKLMVEKMLWDFDRAYGLRSVMFRYFNAAGADPNGHLGEDHNPETHLIPLVLFAALGKRDSISIFGTNYPTPDGTCVRDYIHVSDLADAHVLGLEYLRQGGVTEAFNLGNGNGFSVKEVIEAARKITAKEIKAIATDRRPGDPPSLVGSSEKARTVLGWQPQYDLETILQHAWHWHQQ---------- 225851526 ----KVLITGGAGYIGSHIVKVLGEKKYEILVIDNLSKGHKEAVIYGDLVVIDLKNKTALEDIFKRFKPDAVMHFAASIEVGESVKKPLKYYQNNTANTLNLLETMLEYGVNKFIFSSTAAVYGTPVKVPIPEDHPINPINPYGQSKSFIEKVLQDLDRSSGLKYISLRYFNAAGADPEGRIGESHDPETHLIPLILKTAKGERESIKIFGTDYPTPDGTCIRDYIHVDDLADAHLLALEYLLNGGESEVFNCGYGHGYSVREVINTAKKVTDIDFKVEEADRRPGDPPVLVADSTKLKQKLNWIPQFDLEYIIQTAWNWELN---------- 257061920 MSKKTILVTGGAGYIGSHAVLALQKSGYDVIILDNLSYGHPELVKEVLKVEGDTNDRPLLDQLFANREITAVMHFAAYIAVGESVVNPSIYYRNNVVGTLTLLEAMLAAKINKFVFSSTCAIYGMPQEIPMTENHPQDPLSPYAASKFMVEQILQDFDHAYGFKSVAFRYFNASGADPQGNLGEDHHPETHLIPLALLTALKKRDSLSIFGTDYETPDGTAVRDYIHVNDLASAHVLGLEYLLNGGKSEVFNLGNGNGFSVREVIETAKKITGIDFLVKESDRRPGDAPILVGSSEKARNILGWQPQYDLETIIDHAWSWHQK---------- 220932900 ----NILVTGGAGYIGSHVVKSLFEAGYNVVTLDNLEKGHREAVLGGEFIKGDLKDRELLDSIMKDYEIDGVIHLAAHSLVGESMENPGKYYKNNVSNGLNLLEAMVDNDVKYLVFSSTAAVYGEPREVPITEDHPTAPTNTYGESKLFFEKMMKRYDEIYGLKYVSLRYFNAAGADLSGKIGEDHDPETHLIPIVLQKALGLRDKLYIFGNDYPTRDGTCIRDYIHVNDLADAHVLAIEGLTRGLESRIYNLGNGEGYSVKEVIETASRVIGKPIEAGVGDRRPGDPAVLVASSDKIKEELGWDPQYDLETIIETAWQWHK---RGGFNENE 302872188 -----ILVTGGAGYIGSHMVWLLLEKGYDVVVVDNLEKGHRKAVLGGKFYSGDLKDKEFLENVFAENDISAVIHFAASSLVGESVQNPIKYYYNNVYGTLNLVETMIKHNVKKLVFSSTAAVYGEPENIPILEEDKTEPTNPYGETKLAIEKMLKWMDVAYGLKFVSLRYFNVAGSHPDGIIGEDHNPETHLIPIVLQTALGIREKVIVYGNDYNTKDGTCIRDYIHVVDLCDAHLKAMEYLEKYDKSGIFNLGNGMGFSVMEVIEKASEVVGKKIPYEIGPRRAGDPSILVASSQKAQELLGWQQKYSLETIISTAWKWHSTHPHGYEE--- 193213768 ----TVLITGGAGYIGSHAVRRLKQAGYKTLVLDNLVYGHSEFVEADELIIGDLENTKLLNQVFSKHKIQAVMHFAAYAYVGESVQNPAKYYRNNVASTLNLLDAMLAYKVKKFIFSSTCATYGEPDEIPITETHPQRPINPYGQSKLMVEKILDDYDHAYDLRSVRLRYFNAAGADPDGGIGEDHDPETHLIPLVLDAALERRAHISMFGTDYDTPDGTCVRDYIHVTDLAEAHVLGLKYLENGGKTDFFNLGNGNGFSVKEVIETARKITGKEIPAKIAPRRPGDPASLVGSSEKIKSALGWKPQFDLPAIIETAWQWHK----------- 218682004 MAGETVLVVGGAGYIGSHTCLDLANKGYKPVVFDNFSNGHREFVKWGPAEEGDIRDRARLDEVLAKHKPAAILHFAALIEVGESVKDPVSFYENNVIGTLTLLSAAQAAGVNAFVFSSTCATYGLPQSVPLDETHRQVPINPYGRTKYIVEQALADYDQYRSLRSVVLRYFNAAGADFEGRIGEWHQPETHAIPLAIDAALGRRQGFKVFGSDYETRDGTCVRDYIHVLDLADAHVRAVEYLLKGGESVALNLGTGTGTTVKELLGAIEEVSNRPFPVEYIGRREGDSHTLVANNDKARDVLGWVPQYDLSQII------------------- 282896292 ----TVLVTGGAGYIGSHAVKALLQDGYHVLVLDNLAYGHRDQVLQVELIQGDIQDTPLLNSIFQRYKVGVVMHFSAYAYVGESVVNPAKYYRNNVAATLSLLETMLEYGINKFIFSSTCATYGVPQFIPLTEEHPQNPINPYGATKLMVERILSDFDVAYGLKSVSFRYFNAAGADPTGILGEDHNPETHLIPLILQTALGQRSSISIFGTDYPTPDGTCIRDYIHVTDLATAHILGLEYLLQGGTSTVFNLGNGNGFSVREVIAAAEEVTGKNIPITECDRRPGDPPILIGSSEKARKILGWQPVYDIKQIVSHAWNWHQK---------- 167644109 --GETILVAGGAGYIGSHTCLRLAEAGFTPVVYDNLSNGWESFVQWGPLEVGDINDAAQLDEVFAKHRPVAVIHFAAFIEVGFSVTEPGAFYANNVGGTLTLIEAARRAGVDKLVFSSTCATYGAPVYVPMDEKHPQAPLNPYGRSKLMVEQILEDLDRYKSFRSVALRYFNAAGADPQSRIGEKHEPETHAIPLAIAAARGERDKFMLFGDDYDTRDGTCVRDYIHVLDLADAHVLALKWLLTGGETTALNLGTGTGTTVRELVGAIERRSNRPFPLELAGRRPGDAPSLVADNSKAAALLGWSPRYGLDEIIEHAWAWHAK---------- 282899925 ISKPTVLVTGGAGYIGSHAVKALLQDGYHVLILDNLVYGHREQVLQVELIQGDIQDIPLLNSIFQRYKVEVVMHFSAYAYVGESVTDPAKYYRNNVVATLSLLEAMLGAGIYKFVFSSTCATYGVPQFIPLTEEHPQHPINPYGATKLMVERILSDFDIAYGLKYVSFRYFNAAGADPSGILGEDHNPETHLIPLVLQTALGKRSSISIFGTDYPTPDGTCIRDYIHVTDLATAHILGLEYLLQGGTSTVFNLGNGNGFSVKEVIAAAKEVTGNNIPITECDRRPGDPPILIGSSEKARKILGWQPVYPINEIVSHAWKWHQK---------- 32474159 -SSMKVFVVGGAGYIGSHAVALLLDAGHEVVVFDNLSRGHAKSVPEGLLVEGDLNDQAKLTSLLKEHSIDAVMHFAAFAEVGESVRDPAIYYQNNVVATLSLLEAMRAADVKKIVFSSTTATYGQPDTVPIPETTPQNPINPYGFSKLVIEKALADYAHAYGFAYAALRYFNAAGARPDGTIGEHHDPESHLIPIVLQVALGQRESISIFGDDYPTPDGTCIRDYIHVDDLGDAHLRALDR-LKPGEGIQVNLGTGRGTSVREIVDACRAVTGHPIPEVMGERRPGDPAELIADAKLAGEVLGWKPRYDIQDIVKTAWNWHQTHPQ------- 158338673 MNKPTVLVTGGAGYIGSHTVLALQQAGYSVVVLDNLVYGHRDIVESVLQVEGDICDRTLLDQIFSQHDIAAVIHFAAYAYVGESVEDPAKYYRNNVLGTLTLLEAMLAAKVSNVVFSSTCASYGHPNQIPIPEEHPQDPINPYGMTKFMVEKILTDFDQAYSLRSVRFRYFNAAGADPEGRLGEDHNPETHLIPLVLMTALGKRESITIFGTDYKTSDGTCVRDYIHVTDLAQAHVLGLEYLLSGGETSVFNLGNGDGFSVREVIDMAKKVTGLPIPVVEGYRRAGDPALLIGSAAKARKILNWQPQYDLEKIISHAWQWHQK---------- 307265739 -----ILVCGGAGYIGSHTVVALLRRKEEVVIIDNLITGHKESVLGGKLYIGDLKDEVFLDKVFTENEIEAVIDFAAFSLVGESVSEPFKYYENNVCGTLSLLKAMKKHNVGKIVFSSTAAVYGEPERIPIKEEDKTNPTSPYGETKLAVEKMLKWADVAYGIKYVALRYFNVAGAIETGEIGEDHSTETHLIPIILQVALGKREKIMIYGDDYPTKDGTCIRDYIHVMDLADAHIRALDKLRKDNESAIYNLGNGEGFSVKEVIEVARKVTGHPIPAEVTGRRPGDPAVLVASSEKITKELGWTPKYSLEEIIESAWEWHKNHPQGFGRK-- 298491212 -QKPTILVTGGAGYIGSHTVLALVQAGYQVIILDNLVYGHREQVLQVKLIEGDIEDRSLLDNLFQTDNIEAVMHFSAYTYVGESVTNPDKYYRNNVLGTLTLLEAMLAASIKKFVFSSTCATYGVPQFIPLTEHHPQNPINPYGITKLMVEQILSDFDVAYGLKSVRFRYFNAAGADPRGLLGEDHNPESHLIPLVLMTALGKRESISIFGTDYPTPDGTCIRDYIHVSDLADAHILGLEYLLKDGESAVFNLGNGNAFSVREVIAAAEEVTGITIPVQECDRRPGDSPILIGTSEKAKNLLGWKPCYDIKDIVAHAWQWHQKRHK------- 295687938 ---QTVLVTGGAGYVGAHCCLALAEAGFQPVVFDNLSNGHREHVQWGALEVGDIRDAARLDAVFAAHAPIAVLHFAARIEVGESVKNPGAFFDNNVGGAITLIEAARRAGVNAMVFSSTCATFGDPVTLPMNESHPQSPLNPYGRSKLMVEQALADYDRYVGFKSVVMRYFNAAGADPKGRIGELHEPETHAIPVAIQVALGQRPHFTIFGDDYDTRDGTAIRDYVHVLDLADAHVTALKRLLAGGSSETFNLGAGTGTTVRELVDGVVHATGRPLPLQMAPRRAGDAPVLVADNTKARQMLGWAPSRDLNVILSSAWRWH------------ 119510282 MSNGKILVTGGAGYIGSHTVLALKQAGYEVVILDNLVYGHQEKVLQVELVVGDTGDRPLLDDLFKSRNITAVMHFSAYAYVGESVTDPAKYYRNNVVGTLTLLEAMLAASIHKFVFSSTCATYGVPEIVPIPENHPQNPINPYGATKLMVERILSDFDVAYGLKSVRFRYFNAAGAHPGGLLGEDHQPETHLIPLVLLTALGKRKSISIFGTDYPTPDGTCIRDYIHVNDLADAHVLGLQYLLENGDSEVFNLGNGNGFSVREVIAAAKEVTGLTIPVEECDRRPGDPPSLIGSGEKARKILNWQPQYDIKNIVTHAWQWHQK---------- 15613671 -----ILVTGGAGYIGSHTVLFLLEQGEQVIVLDNLQKGHAGALSDVTFYHGDIRDDQLLDTIFTTHSIDTVIHFAANSLVGESVKQPIEYYENNVIGTHTLLKKMLEHDVKKIVFSSTAATYGEPVQIPIQESDPTIPTNPYGETKLAIEKMFHWCQEAYGLQYVCLRYFNAAGADPNGRIGEDHSPESHLIPIVLQVALGQRERVAIFGDDYQTEDGSCIRDYIHVMDLANAHYLACEHLRKDGQSGSFNLGNGKGFSVKEVIEVCRQVTGHPIPAEIAPRRSGDPASLIASSEKAQTILGWEPKYSLETMVEHAWNWHKEHPHGYSTENK 150020972 -----ILVAGGAGYIGSHVCKMLHSKGYKVIVYDNLSHGYKEFAKWGEFIPGDISDVELLDNIFKHYHIDAVMHFCAYIEVGESVVDPQKYYENNVGNTIKLLKVMRKNNIDKFIFSSTAAVYGMPEKVPIKEDDKKDPINPYGKSKWMVEQMLEDYDKAYGLKSIRFRYFNAAGADEEGEIGEAHKPETHLIPLILDAAIGRRDSIKIFGTNYDTKDGTCIRDFVHVNDLADAHIKGLEYLLDGGKTDYFNLGSGEGYSVYEVIEAVKRVTKKNFKVVETDRRPGDPAYLIADSTKAKEKLGWEVKYSLDEIILTAWNWHRN---------- 186680757 ----TILVTGGAGYIGSHAALALKNAGYEVIVLDNLSNGHRELVEEVLQVKGDMSDRSLLDDIFSTHNITAVMHFAAYIAVGESVTDPAKYYQNNVAGTLTLLEAMLAASVNKFIFSSTCALYGVPKFVPLTEEHPQDPISPYAISKWMVERILSDFDTAYNLKSVRFRYFNAAGADPNRLLGEDHEPETHLIPLVLLTAFGKRESILIFGTDYPTPDGTCIRDYIHVTDLAQAHILGLEYLLKGGESEVFNLGNGSGFSVREVIETAKEITGKEIKIEERDRRPGDPPILVGSSDKATKILGWHPEYPLNEIIAHAWKWHQQRHK------- 284049269 ----NVLVTGGAGYIGSHVVEELTANGYTPIVYDNFSTGHVDAVSDKVQIEGDIHDFNFLKHILGEYEIDGVLHFAASSQVGESMVNPGKYYYNNVAGTLGLLDAMREAGVEQLVFSSTAAVYGEPDRVPITEDMPLQPTNVYGRTKLMIEKMMEDYSHAYGLRYVALRYFNAAGASLLGNIGENHRPETHLIPLIIQAALGQRESVSIFGTDYPTPDGTCLRDYIHVKDLASAHVLAMDHLRNGGGCRTYNLGTENGFSVREIIDAVKTVTGRNFTVKEEARRAGDPAKLIASSEKIKKELHWEPRHSIEEIVETAWRWHEGHPKGYEK--- 288941681 -----VLVTGGAGYIGSHTCKALACAGYRPITLDNLVYGHEWAVRWGPLEIGDISDRACLDAVIERHRPEAVIHFAAYAYVGESVRDPGRYYRNNVAGTLTLLEAMRDHAIPHIVFSSTCATYGIPDRTPITEDHPQRPINPYGASKLMVERMLADFEQAHGLGWIALRYFNAAGADPEGEVGEDHDPETHLIPLALQAAAGRGPQLQVFGDDYPTPDGSCIRDYIHVADLAEAHVRSLAHLRAGEGSGAFNLGTGQGASVLEVIAAAERVTGRPVPRAIGPRRPGDPPVLYADADKARRLLGWEPRYDLAETIEHAWKWHQ----------- 242279503 MGNKSLLVCGGAGYIGSHMTRMIAEAGHDVTVFDNLSTGHAEALKWGKFVQGDLRNPEDLAKLFAENSFDAVFHFSGLIVVSESVEKPFEYYDNNVTGTLNLLQAMRKHGVNKFVFSSTAAVYGEPVMEMITEDHPLKPLNPYGRTKLQVEEILQDYAVAYGLNSVCFRYFNAAGAHPDSTIGEAHSPETHLIPNILLSCIDEGRRLKIFGSDYPTPDGTCVRDYIHILDLCDAHLKAIGFMDSNKGAHSFNLGNGKGFSILDVIKSSSEVIGREIQFDYEPARAGDSPRLVADSSKAAKTLNWTPQYDLRDIIETAYRWH-KNP-------- 297545125 -----ILVCGGAGYIGSHTVAALLNRKEEVVVVDNLVKGHKKSVLGGKLYIGDLRDEAFLDKVFKENEIEAVIDFAAFSLVGESVEEPFKYYENNVCGTLSLLKAMKKHNVKKIVFSSTAAVYGEPERIPIKEEDKTNPTSPYGETKLAVEKMLKWADVAYGIKYVALRYFNVAGAIETGEIGEDHSPETHLIPIILQVALGKRDKIRIYGDDYPTKDGTCIRDYIHVMDLADAHILALDKLRKDNESAIYNLGNGEGFSVKEVIEVARKVTGHPIPAEVTHRRPGDPAVLVASSEKITKELGWTPKYSLEEIIESAWMWHKNHPKGFEER-- 206900269 -----ILVTGGAGYIGSHVVKELLKKGYKVVVLDNLQKGHKKAVLTPYFENVDLKEKNLLKGVFEKYEIDAIMHFAALSTVGESMREPFKYYENNILGGLNLLELMKDHNIKYFIFSSTAAVYGEPQVIPIPEDHPKNPTNVYGSSKLMFEEILNWYDRIYGIKYVSLRYFNAAGADPEGELGEDHRPETHLIPIVLKTALGQREYVEIYGTDYPTPDGTCIRDYIHVVDLAEAHILALEALFDGMSSEIFNLGNERGYSVREVISIAEKVVGQKIPVKEGQRRPGDPAVLIASSNKIKKNLKWKPKFDLETMISTAWNWMKKHPFGYSE--- 85717075 -----VLVVGGAGYIGSHTCLNLSQRGFTPIVYDNLVNGHREFAKWGPFEFGDVRDRERLDQVLSSYKPIAIVHLAGLIDVGLSVKKPIDFFDSNLAGSTTLFAAAMQARIDKLVFSSTCATYGIPLQVPIREDHPQSPINPYGKSKLMVEQILKELQFRNDFRSVILRYFNAAGADPQGRIGEWHTPETHLIP-LTIASVQSNSALKIFGTDYPTRDGTCIRDFIHVCDIAEAHGRGIDHLMAGGESVALNLGTGRGTSVSEIIEAVRRISGKEMKVELCPRREGDPPELVADNALAKATLGWRPTFDLESIIESAWNWHQK---------- 303249101 ----NILVTGGAGYIGSHTCKALKAAGFTPVTFDNMVYGHDWAVNWGPLVRGDILNKGELDEVFAEYKPAAVLHFAAFAYVGESVADPEKYYRNNVAGSLSLLSAMRRAGCKHIVFSSTCATYGAPERVPLTEDHPLRPLSPYGTSKLMIEQMLRDFDAAYGMTYTALRYFNAAGADPDGEIGEDHNPETHLIPLVIAAALGRIPRVEVFGTDYPTPDGTAVRDYIHIADLADAHILAVKKLLDGGASAVYNLGTGTGNSVREVIRAVEKVSGKPVPMVEGPRRAGDSPGLYADSGAIIRELGWQPKYNLEAIVETAWRWHVAH--------- 78357230 MQPQKVLVCGGAGYIGSHMVRALMRAGHLPVVFDNLSTGHLESIGQAEFVRGDLLDMQALRRVFGEYCFDAVMHFSAKSLVGESVVHPALYYTNNVTGTCNLLEAMRESGVGRLVFSSTAAVYGDPQAPVIAEDHPCLPVNPYGRSKLMVETMLADHAAAYGLRSVSLRYFNAAGADEDGGIGEAHSPETHLLPNVLLAALGRNDGLKIFGDDYTTPDGTCVRDYIHVTDLCSAHLAALEYMTLHAGAAVFNLGNGNGFSVREILDAACRVTGVDIPFTVEGRRAGDPPMLVADAARARTALGWTPVYDIDRIIETAWRWHSD---------- 16126622 ---QTVLVAGGAGYVGSHCCLALAAAGFRPVVYDDLSNGHREHVQWGPLEVGDIRDGARLDAVFAAHAPVAVLHFAARIEVGESVKNPGAFFDNNVGGTITLIEAARRAGVNAMVFSSTCATFGDPVHLPMAEDHPQAPLNPYGRSKLMVEQALADYDRYVGFKSAVMRYFNAAGADPEGRIGEWHEPETHAIPLAIQVALGQRSHFTIFGDDYDTRDGTAVRDYIHVLDLADAHVSALRRLLAGGSSDSYNLGTGTGTTVRELVDGVGRVTGKPLPVQMAPRRAGDAPVLVGDNTRAREHLGWKPSRDLDATLSSAWRWHQA---------- 304406101 -----VLVTGGAGYIGSHTVAALHERGEEIVIVDNLQQGHRDAILGGKLYVGDLRDEAFLDHVFSENEIDSVIHFAANSLVGESMTNPGKYYHNNVYGTLCLLEAMNKHGVKRIVFSSTAATYGEPERVPIAESDRTMPTNTYGETKLAMEKMMKWFDTAHGIRYVSLRYFNAAGAHESGKIGEDHSPETHLVPIVLQAALGQRSHISVFGEDYSTEDGTCVRDYVHVSDLADAHILAVDRLRREEASAIYNLGSGQGFSVKQVIDIARAVTERDIPVVYEARRSGDPAVLIASSERARQELGWEPKRDLEDIIRSAWQWHLANPQGYAK--- 168704624 MKGKRILVTGGAGYIGSHTVRQLLAGGHEVTVFDSLEYGHRRAVPDVNLVVGNLRDIDHVDNLLVVNRIEAVIHFAAYAYVGESVTSPAKYYTNNLIYSLQLLDRCRRNGVQKFVFSSTCATYGVPDAVPIAETAPQRPVNPYGNSKLAFEHALADYAAAYPFGYCALRYFNAAGAAEDGTIGESHDPETHLIPLVFRAATGKIPHVSVFGTDYPTPDGTCVRDYIHVDDLARAHILALDK-IGPGSKLQYNVGLGRGYSVREVIAAVEQVTGLKVPVKEEPRRAGDPPALVADAGKIARELGWSAKYDLTAILETAWRWHKTHPNGFEE--- 52082327 -----ILVCGGAGYIGSHAVAELLSRNEDVVIIDNLQTGHEEAALKGAFYNGDLRDEAFLRKVFQENDIEAVMHFAADSLVGESVTDPLKYYDNNVYGAVCLLKVMKEFDVKQIVFSSTAATYGEPDRVPIMETDPTDPTNPYGETKLAIEKMLKWSEKAYGIRHVVLRYFNVAGAHVDGLIGEDHQPETHLIPIILQVALGKRDKIMIFGDDYPTPDGTCIRDYIHVMDLVDAHILAVERLRNGGESAVYNLGNGTGFSVKEVVETARKVTGCPIPAEVAERRAGDPAQLIASSEKAVKELGWQPKYELETIIDSAWKWFKAHPNGYQS--- 283852851 ----NILVTGGAGYIGSHTCKALKAAGFTPVTYDNMVYGHDWAVKWGPLVRGDILSRGSLDEVFAEYKPAAVLHFAAFAYVGESVTDPEKYYRNNVAGSLSLLSAMRKSGCKHIVFSSTCATYGAPERVPLTEDHPTRPMSPYGTTKLMIEQMLKDFEAAYGIGYTALRYFNAAGADPEGLIGEDHDPETHLIPLVIAAGLGRRPQVEIFGTDYPTPDGTAVRDYIHVTDLAEAHILAVQKLLDGGPSATYNLGTGTGNSVREVIRAVEKVSGQPVPVVEGPRRAGDSPGLYADSGAIIRELGWKPRYDLDAIVETAWRWHQSH--------- 255659098 -----ILVCGGAGYIGSHAVHQLVEKGEDVVIVDNLQTGHRDALPKAKFYEGDIRDAAILDKIFTENDIEAVIHFAANSLVGESVQKPLKYFNNNVYGMQVLLESMVRNHVDKIVFSSTAAVYGEPKRVPIMEDDPTEPTNPYGESKRTMEKMMKWVSRADGIRYVSLRYFNAAGALDDGSIGEDHNPETHLIPLILQVPLGKRDHITVFGDDYPTPDGTCLRDYIHVIDLADAHVLALEYLRKGGESNIFNLGNGKGFSVKEMIEAAKKATGKDIKVEMGARRAGDPAQLIASSEKARKLLGWKPRYTVEQVIGTAWTWHQKHPNGYEK--- 297529688 -----ILVCGGAGYIGSHAVYRLLEKGERVVVVDNLQTGHREAVHPDAVFQGDIRDREFLREVFRQHDIEAVIHFAANSLVGESMEEPLKYYDNNVYGTQVLLEVMREFGVKQIVFSSTAAVYGEPKQIPIVETDPTEPTNAYGETKLAMEKMMKWADRAYGIRSISLRYFNVAGA-YGTTIGEDHNPETHLIPLILKVPLGQREEISVFGDDYDTPDGTCIRDYIHVLDLVDAHWLALEKLRSGADSDVYNLGNGNGFSVKEVIEAARQVTGHPIPARVVARRPGDPARLVASSEKAKRELGWEPKYSITDIVASAWEWHKARPNGY----- 256756182 -----VLVTGGAGYIGSHTVAELLDAKEEVVVLDNLEKGHREAVLGGKFIQADLRNIDEIRKVFRENDIEAVIHFAAYIEVGESVTNPLKYYNNNVIASLNLLTAMQEAGVNKIVFSSTAATYGIPEEIPIKERDKTAPINPYGETKLTVEKILKWADDAYGIKYAVLRYFNACGAHISGKIGEAHSPESHLIPIILQVAQGKREEIKLFGNDYNTKDGTCVRDYIHVSDLAQAHVLALKNLRKGAESNTFNLGNGTGFSNREVVEVARAVTGKNIKAADAPRRPGDPDILVASAEKAMNILGWKPKYDLNKIVETAWNWHSTHPNGYK---- 307823714 ---KTVLVVGGAGYIGSHMVWLLGRKGVDVVTLDNLSSGHRDAVLHGQFVHGDIADRLILDKVFREHKFDAVMHFASFIQVGESMQDPAKYYQNNVVNTLNLLNAMRSHGVDKFIFSSTAAIFGEPEYTPIDEAHPKQPINPYGWSKLMVEQALADYDRAYGLKSVCLRYFNAAGAHPDGLLGERHDPETHLIPLVLQAASGRRPHITVFGRDYDTPDGTCIRDYIHILDLAEAHWLALQHLQSAGESAAFNLGNGNGYSVESVIQAAKRVTGKTITVQEGQRREGDPARLVANADKIKQQLGWEPAYSLDAIVEHAWQWEYNHASGFA---- 302389143 ----KVFVTGGAGYIGSHVVKLLTKKGYEVMVFDNLSTGRRDAVLAGELVEGDILDHEALERAMDEFRPDAVMHFAAKIVVPESVQKPLLYYENNTCGALNLLKAMRRCGVNKLIFSSTAAVYGEPARMPITEDFPLNPVNPYGRSKAAVETVLKDISAAEDFRYVSLRYFNVAGADPEGKIGEMKEDATHLITMCVRTACGKRDKLYVYGTDYPTHDGTCVRDYIHVMDLADAHILALEYLLSGGRSEVFNCGYGRGYSVREVVDEAKKVTGVNFQVEYTARRPGDPPELVADSRKIREKLGWKPLYDLGFIIKTAWEWEKK---------- 307592115 -----ILVTGGAGYIGCHAVKALQKAGYGVIVLDNLVYGHREIVEEVLQAEGDINNRPLLDEIFSRYSIDAVMHFAAYAYVGESMMDPAKYYRNNVVGTLTLLEAMVAANVKRIVFSSTCATYGVPESIPVTEDQPQNPINPYGRSKLMAEKILADFDRAYGLKSVCFRYFNAAGADPQGLLGEKHEPETHLIPLVLQTALGLRDSISILGTDYPLPDGTCIRDYIHVVDLANAHILGLEYLLKGEESNVFNLGNSKGFSVREVIETAKVVTGKPIKVIEEKRRLGDPPVLVGSSEKAQKILGWRPQYSLKDIITHAWNWHQ----------- 113477688 ----TILVSGGAGYIGSHAVQALQNAGYDIVILDNLVYGHRENVLKVEMIVGDTSDRSLLDKIFATHNIAAVMHFAAYIFVGESVKDPQKYYHNNVVGTLTLLEAMLKASIKKFVFSSTAAIYGKPQTIPIPEDHPKNPINPYGASKRMIEQILADFEIAYDFKSVCFRYFNAAGAHPNGLTGEDHNPETHLIPLVLFAALGKRDSISIFGTNYKTPDGTCIRDYIHVCDLADAHVLGLEYLLNGGESNIFNLGNGNGFSVREVIETVKQVTGREFKVEERDRRPGDPPILVGSSEKARKVLGWSPKYPVKEIVSHAWQWHQK---------- 135048198 LQPMNILVTGGAGYIGSHACKALAQAGYTPVTYDNLVYGHRWAVRWGPLEVGDIADRARLDAVIAQYRPAAVMHFAAYAYVGESVQDPGKYYRNNVAGTLTLLEAMRDHGIDTLIFSSTCATYGIPDQVPIAEDHPQRPINPYGASKWMIERILQDFDAAHGLRSIALRYFNAAGADPDGDIGEAHDPETHLIPLVLDAAAGKRPAITVYGDDYDTPDGTCIRDYIHVTDLANAHVVALKALQAGPATTAYNLGNGQGFSVREVIAAAEAVTGRPVPVHIGARRSGDPARLVGDARRIRQALGWTPRHQLEAMLATAWRW------------- 166368986 ----TILVTGGAGYIGSHAVLALKNAGYSVIVLDNLSYGHAEIVKDILKVEGDTRDRSLLDNLFASRDIAAVMHFAAFIAVGESVQEPAIYYQNNVSGSLTLLQAMIAADVKKFVFSSTCAIYGMPKEIPMTENHPHHPLSPYAASKEMVEQILRDFDRAYGLKSVAFRYFNASGADPSGLLGEDHQPETHLIPLALLTALKKRDYLSVFGTDYDTPDGTAVRDYIHVNDLAQAHVLGLEYLLNGGESNVFNLGNGNGFSVREVIETAQAVTGLDIPVIESPRRAGDAPILIGSSDKAKQVLGWHPQYDLKVIVEHAWNWHQK---------- 150020317 ----NVLVAGGAGYIGSHVCKKLNEKGYHVIVIDNLSNGHKEFAKYGEFILGDISDKKLLEIVFSNYHIDAVMHFCAYIEVGESVVNPHKYYENNVSSTLVLLHSMLKHNIKKFIFSSTAAIYGLPNKIPIKEDAPKNPINPYGKSKYMVEEILDDFDKAYGLKSIRFRYFNAAGADESGEIGEAHNPETHLIPLILDAAMGRRESIKIFGTDYDTKDGTCIRDFVHVNDLADAHIKGLEYLLSENKTDYFNLGSGEGFSVKEVIEKVKEITNVDFKVEETDRRPGDPAYLIADNKKAKDILSWKINYSLEDIIKTAWNWHR----------- 121533464 ----KLLVTGGAGYIGSHTVHELVRAGHTVTVFDNLSKGHRAAVPAGPLIVGDLRDQDLLTKTLREHQIEGVVHFAADSLVGESMQQPAKYYHNNVVATLALLDAMREGGVGKIVFSSTAAVYGEPAEWPITEDMPTRPTNVYGRTKLVIEGMLADFAMAYGLRFVSLRYFNAAGALEGGAIGEDHTPETHLVPLILKTALGQRPAVEIYGTDYPTPDGTCIRDYIHVTDLAVAHVLASEHLAAGGESKIYNLGSETGFSVREVIERAKAITGVDFPVRQAPRRAGDPAVLVASSARIRRELGWQPVLSLDTIIASAWQWHKSHPQGYADEK- 153876000 MKKKTILVVGGAGYIGSHMVKMLLNTGYHVVTLDNLSTGYRKAVLGGDIVFGDLGDSKGLDLLFTGYQFDGVMHFASFIQVGESVQIPSKYYQNNVAATLNLLDAMINHGVKTLIFSSSAAIFGEPQYVPLDEQHPKQPINPYGLSKWMVEQILADYNRAYELKSICLRYFNAAGADPDGELGECHDPETHLIPLVLQAASGRRDTITVFGQDYDTPDGTCIRDYIHINDLCQAHLLALEQLLNGAESTAYNLGNGSGFSVKQVIDIAEKVTGKSIPVTMGMRREGDPARLVADSKQAQSLLGWQPQYDLALIISHAWQWEQK---------- 118586628 --KMNVLVTGGAGYIGSHTVDRLLAHGDRVSVVDNLWTGHRQAVPQAKFYEADVRDKTALKKIFDENDFDAVVHFAALTQVGESMKKPLFYFDNNTFGVISLLEAMRDHNVKKIVFSSSAATYGVPKHNPITEDEIQKPINPYGLTKLQMEQIMAWSDQAYGIKGVALRYFNVAGAKADGSIGEDHNPETHMIPNILMVAQGKKDKMVIFGDDYNTPDGTNVRDYVHVVDLADAHVLALEYLQRENKSNRFNLGTETGMSNKQLISAAKKVTGIDFKVEIGPRRAGDPDALVASPKKAKEVLGWDPKLSVEDEIKSAWNWMTKHPNGYDDKEQ 253999527 ----KVLVVGGAGYIGSHMVKMLLGEGHDVITFDNLSSGYRDAVVGGTFVQADLADKAALEEVFVKHAPDAVMHFASYIQVGESVRHPDKYYLNNFTNTMNLLDAMVKHGVNYFIFSSTAAVFGEPEYVPIDEAHAKNPLNPYGRSKLMVEQALGDYERAYGIKSVCLRYFNAAGADPEGQLGERHEPETHLIPLVLQAISGRRDNITVFGRDYDTPDGTCIRDYIHIVDLCSAHSLALRKLMETNISRRYNLGNGAGFSVQEVIAAAQKVTGKPIKVAEGERREGDPARLVADASLARSELGWKPVYDLETIIQHAWQWESK---------- 255523231 ----NILVCGGAGYIGSHMVAYLLENGHDVVILDNLQTGHKDSLLGGKLYIGDLKDNEILDKVFTENKIDAVIDFAANSLVGESVENPLKYFDNNIGSTINLLEAMNRHNIKYIVFSSTAATYGEPENIPILEQDKTFPTNPYGESKLAVEKILKWCDKAYGIKYTALRYFNACGAHINGNIGEDHEPESHLIPIILQAALGKREKIMIFGDDYNTEDGTCVRDYVHVSDLASAHLLALKRLKNGGESKIYNLGNGKGFSVKEVVDVARKVTGINIKAEIAARRAGDPATLIASSEKAIKELGWEPKFSLETIIETAWKWHKNHLNGYEK--- 194016409 ----TILVCGGAGYIGSHAVAALLAKGERVVVVDNLQTGHKEAVLEGAVEEGDLRDHAFLRQVFKRHQIEAVMHFAADSLVGESVTDPLKYYNNNVGGATALLQVMNEFDVKHIVFSSTAAAYGEPMRVPIDETDETNPTNPYGETKLAIEKMLKWSEQAYGIEYVVLRYFNVAGAHTEGLVGEDHQPETHLVPIILQVALGKRDQIMIYGDDYETEDGTCIRDYIHVMDLVEAHILAVDRLRAGKGSATYNLGNGTGFSVKQVVEAVRKVTGHAIPAQVAKRRAGDPAKLIASSEKALQELGWTPQYDLHTIIQSAWEWFQKHPDGYQSE-- 126657358 ----KILVTGGAGYIGSHAVLALKKAGYDVIILDNLIYGHQDLVEKILKVEGDLGDRSLLKDIFKQYSIDAVMHFAAFAYVGESIKEPQKYYRNNVANTLTLLEAMKATSINKLVFSSTCATYGVAQFSPITEQHPQNPINPYGASKLMVEQILKDFSKAYDLNYVCFRYFNAAGAHPEGLLGEDHDPEPHLIPLVLLTALGKRKFISIFGRDYPTPDGTCIRDYIHVLDIAQAHLLGLNYLQQGGKSDIFNLGNGNGFSIQEVIDTAIEVTQKNINVKIGDRRPGDPPILVGSGEKAAKILGWKPQYSLENILSHAWQWHQK---------- 184154772 -----ILVAGGAGYIGSHMVKDLLANGEEVVVADNLSTGHRKAVPRAKFYIGDIRDRAFLDQIFINEDIKAVVHFAAFSIVPESMSEPLKYFDNNTGGMITLLEAMRDFKVKYIVFSSTAATYGVPEHMPIKETDPQKPINPYGLSKLMMEHMMAWADSAYGVKFVALRYFNVAGAAPDGSIGEDHGPETHLVPIIMQVAQGKRAELSIFGDDYNTPDGTNVRDYVHVMDLADAHLLALKYLFAGNESNAFNLGSSTGFSNKQMLEAAREVTGKPIPAKMAPRRPGDPDSLVAASDKARNVLGWKPKYDVHDIIATAWKWHESHPQGYNDRN- 153954172 -----ILVCGGAGYIGSHMAAELLERGKEIVVLDNFEKGHKSAVLGGKVYQGDLRDENAVDKVFEENNIESVIDFAAYSLVGESVEEPLKYFENNVVGTLNLLKSMRKYGVKYIVFSSTAATYGEPKNIPIFEDDSTYPTNPYGESKLTVEKLLKWSDRAYGIKYAALRYFNAAGAHISGLIGEDHNPETHLIPIILQVALKKRDKIFIFGDDYSTEDGTCIRDYVHVMDLANAHLLALNKIIEDGKSGIYNLGNGRGFSVKEVIEVSRKVTGQKIKAEIAPRREGDPETLIASSKKAEEELNWKPKYSLETIIDTAWKWHKEHLNGFEE--- 119485401 ----TVLVTGGAGYIGSHAVLALQRSGYEVVILDNLVYGHREQVLKVELIVGDTNDRQLLDNLFSMRKIDAVMHFAAYAYVGESVSAPDKYYRNNVVGTLTLLEAMKDASINKFVFSSTCATYGIPQQVPIPEDHPQNPINPYGMTKLMVEKILSDFDHAYDFKSVWFRYFNAAGADPDGSLGEDHQPETHLIPLTLMAALGKRESLSIFGTDYPTPDGTCVRDYIHVTDLATAHVLGLEYLIKGGKTDVFNLGNGNGFSVKEVIETARQVTGRDIIAVECDRRPGDPPALVGSGEKARQILGWNPQYSLNTIISHAWQWHQK---------- 227825088 ----NVLVTGGAGYIGSHVIDDLIKSGYTPIVYDNFSTGHAEAVPETVQIQGDLHDFTFLKHIMGQYEIDAVLHFAASSQVGESMVDPGKYYYNNVAGTLGLLDAMRESGVEYIVFSSTAAVYGEPDQVPITEDMPLHPTNSYGRTKLMIENMLRDYSMAYGLHYVALRYFNAAGASLLGNIGEDHNPETHLIPLTIQAALGKRDAISIFGTDYDTPDGTCLRDYIHVKDLASAHILALNHLVKGGASRVYNLGTKTGLSVREIINAVKEVTGRDFMVKEEKRRAGDPARLIASSEKIAKELHWKPEHSVKEIVETAWRWHSGHPNGY----- 56751202 MAGATILVTGGAGYIGSHTVRALQAQGYQPIVLDNLSNGQAAEVLQVPLIVGDTRDRLLLDRLFAEHPIQAVIHFAASIEVGESVRDPGNFYANNVSGSLTLLQAMVAAGCQQLVFSSTCATYGLPQVIPIPEDHPQAPINPYGRSKWMVEQFLQDFQAAYGLRSVIFRYFNAAGADPKGDLGEAHDPETHLIPLVLQAAAGQRPSIQVYGTDYPTSDGTCIRDYIHVCDLADAHVRGLTYLADGGATTAFNLGNGNGFSVKEVIATAERVTQRSIPVQLSDRRPGDAIALVGSSDKARQILGWEPQFSLETMIQHAWQWQQR---------- 254422086 ----TILVTGGAGYIGSHAVLALEETGYQTVILDSLEYGHKELAERHLKAKLIVADRALLDDIFSTYNVAAVMHFAAYIAVGESVSEPAKYYRNNVCGTLNLLEAMVAAGFKRLVFSSTCAIYGPPKTVPIPEDHPQNPISPYATSKLMVEKMLLDFQSAYGLQSVCFRYFNAAGADSQGRLGEDHNPETHLIPLVLFAALGKRDSISIFGSDYETRDGTCIRDYIHVSDLADAHVKGVEYLLEAGETAFFNLGNGNGYTVKEVIETARQVTGKEIVAVPCERRPGDPPSLVGTSDRARKVLGWQPQYDLENIIAHAWQWHQK---------- 254478401 -----ILVCGGAGYIGSHAVYALLKRNEEVVVVDNLATGHSESVLGGKLYIGDLRDEDFLDKVFSENNIEAVMHFAASSLVGESVENPFKYYENNVCGTLSLLKAMKKHGVKKIVFSSTAAVYGEPERIPIEEEDRTEPTNPYGETKLAIEKMLKWADAAYGIKYVALRYFNVAGALETGEIGEDHSPETHLIPIILQVALGKRDKVMIYGDDYPTKDGTPIRDYIHVMDLVDAHILALEKLRKENKSEVYNLGNGEGFTVKEVIEVARKVTGHPIPAEVTGRRPGDPAVLVASSEKAMKDLGWRPKYSLEEIIKSAWMWHKNHPNGFKRD-- 142041472 --DMKVLVTGGAGYIGSHTCKALAAAGHEPVAYDNLSTGHRDAVRWGPLVAADILDRDALSKAFAAHRPDVVIHFAALAYVGDSVLAPERYYTVNVAGTCTLLSAMHAAGVGRIVMSSSCATYGIPDALPISERTPQQPINPYGFTKYAMERMAADFERAYGLKWVALRYFNAAGADPDGEIGECHEPETHALPLAIRAALGTGHAFQVMGTDYPTPDGSAIRDYVHVSDLADAHLKATAYLCGGGHSVALNLGTGKGTSVLDALRAVEAVTGRRVPTVTASRRPGDPPELYADATKAALVLGWRPRFTIEPMVEHAAAWFQK---------- 167463396 -----VLVTGGAGYIGSHTVAALLEGGQEVVIVDNLQQGHRKAVLGGRLYEGDLRDAVFMDLVFRENEIEAVIHFAANSLVGESMNHPIKYYHNNVYGTLCLLEKMNEYNVKRIVFSSTAATYGEPERVPIQETDRTVPTNTYGETKLAMEKMMHWFDIAYGIRYVSLRYFNAAGAHISGKIGEDHSPETHLIPLILQVPLGQREHISIFGEDYPTPDGTCIRDYIHVSDLADAHVLAVQKLLTGGESSIYNLGNGQGFSVKEVIDIARKVTGHAIPAVVEPRRAGDPAILVASSDRIKEELGWKPTRSLEAIIESAWIWHQSHPDGYDTR-- 206563875 ----KVLVTGGAGYIGSHTCKALAEAGHEPVAYDNLSTGHRDAVRWGPLVAADILDRDALSRALAAHRPDVVIHFAALAYVGESVLAPERYYTVNVTGTCTLLSAMRAAGVGRIVMSSSCATYGIPEALPISERTPQRPINPYGFTKYAMERMAADFERAYGLKWIALRYFNAAGADPDGAIGECHAPETHALPLAIRAALRTGDAFRVMGTDYPTPDGSAIRDYVHVSDLADAHLKASAYLCGGGPSVALNLGTGNGTSVLAALRAVEAVTGRRVPTVLAARRPGDPPALYADATMAARVLGWRPRFTIEPMVEHAAAWFQK---------- 256848123 -----ILVCGGAGYIGSHMVKRLVENGEDVVVADNLSTGHKKAIPQAKFYQGDIRDRAFLDRIFLNEDIKAVVHFAAFSIVPESMSEPLKYFDNNTGGMITLLEAMRDFGIKYIVFSSTAATYGIPEHMPIKETDSQKPINPYGLSKLMMEHMMAWADKAYGIKFVALRYFNVAGAAPDGTIGEDHGPETHLVPIIMQVAQGKRPELSIFGDDYNTPDGTNVRDYVHVMDLADAHILALKYLEDNNQSNAFNLGSSTGFSNKQMLEAAREVTGKEIPAKIAARRPGDPDSLIAASDKARSVLGWKPQYDVHDIIATAWKWHSTHPKGYDDRN- 239905942 ----NILVTGGAGYIGSHTCKALAAAGFTPITYDNMVYGHDWAVNWGPLVRGDILNRGELDEVFAEFKPVAVLHFAAFAYVGESVTDPEKYYRNNVAGSLSLLSAMRKAGCRHIVFSSTCATYGAPERVPLTEDHPTRPMSPYGTSKLMIEQMLKDFDAAYGMTYTALRYFNAAGADPDGQIGEDHDPETHLIPLVIAAALGRIPRVEVFGTDYPTPDGTAVRDYIHVADLADAHILAVKRLLDGGKSAIYNLGTGTGNSVREVIRTVEEVSGKPVPMVEGPRRAGDSPGLYADSGAIIRELGWNPRYGLRDIVATAWRW---HEQGLPSKR- 15894708 ----NVLVCGGAGYIGSHMAAYLLENGHNVVIVDNLTTGHKESILNNKLYVGDLRDEDFLNKVFDENKIDAVIDFAANSLVGESVANPLKYFDNNIQSVVKLLEAMKNHDVKYIVFSSTAATYGEPDNIPILEGDKTFPTNPYGESKLAVEKILKWCDNAYGIKYTALRYFNACGAHISGNIGEDHNPETHLIPLILQVALGKRDKIMIYGDDYDTEDGTCVRDYVHVSDLASAHLLALERLKNGGKSAIYNLGNGKGFSVKQVVEATRKVTGINIKAEIGERRAGDPGTLIASSDRAILELGWKPKFSLETIIETAWKWHKNHPNGYK---- 154501003 -----ILVLGGAGYIGSHTVYELIDAGRDVVVADNLQTGFKAAVPKARFYQADIRDRAAMDALFEQEHIEGVIHFAASSQVGESMSDPLKYYDNNLGGTMVLLASMVAHGVDKIVFSSTAATYGEPEQVPILEGDKTQPTNCYGETKLAMEHMMSWVSRAHGLRYVALRYFNACGAHPSGAIGEAHNPETHLVPIILQVPNGQREAVSVFGDDYPTRDGTCVRDYIHVTDLAQAHILALDYLLQGGENNVFNLGNGVGFTVNEVIECARKVTGHAIPAVVSPRRAGDPAQLVASSEKAKTVLGWKPQYDLETIISTAWTWHKAHPNGYN---- 296126695 -----VLVCGGAGYIGSHVVCELLKQNIETVIIDSLEYGHKEAIKECKFYQGNIGDSDLLDKIFKSHDIDSVMHLCAYIEVGESVQNPAKYYQNNVSNSINLLNAMLKAKVKNFIFSSTAAVYGEPEKIPLEEDSRKEPTNPYGDSKLALEKILSWYTKAYDFNYVALRYFNASGAHPDGHIGEDHKPESHLIPLILQVPLGKREAIKIFGDDYPTRDGTCLRDYIHVCDLALAHIAAMNYLKNGGKSVSCNLGNGNGFSVKEVIEVARKVTGHPIPAEVCPRRAGDSSELIASSERAKEVLGWTPTISLETIVETAWNWHKNHPNGYNDR-- 295792722 -----ILVTGGAGYIGSHTVQALLEQEEQVIVVDNLSTGHQNAVKNVELVQCDIRDKEALGQVFRKYNIEAVVHFAASSLVGESMQNPGKYYDNNLYGTLCLLEIMVQHGVTKIVFSSTAATYGNPEIIPITESEATKPTNAYGETKLAMESMMQWFDIAHGLKYVALRYFNAAGAHENGIIGEDHQPETHLIPLILQVPLGKRDYISVFGNDYDTADGTCIRDYIHVRDLADAHIKSIYYLKSGGESAIFNLGNGNGYSVLEMINAARAVTGHPIPAKMEERRAGDPAVLVANAQKSREILKWEPKWSIEEIIYSAWKWHSANPNGYER--- 220910181 -----ILVTGGAGYIGSHAVLSLKQAGYSVIVLDNLVYGHRELVEDVLQVKGDINDRALLDQIFAQHQIEAVMHFAAYAYVGESVTNPAKYYRNNVAGTLTLLEAMVAAGVSKLVFSSTCATYGVPQQLPIPETHPQNPINPYGMSKLMVEAMLTDFDHAYGLRSVRFRYFNAAGADPSGRLGEDHNPETHLIPLVLLTALGKRESISVFGQDYDTPDGTCIRDYIHVTDLAEAHVQGLAYLLEGGATEVFNLGNGNGFSVQDVIATAARVTGRSIPVVMADRRPGDPAVLVGSAVKASQILNWKPLYPLDVIVEHAWKWHQ----------- 118444364 ------LICGGAGYIGSHTVAELLQNGQDIVVLDNLEKGHREAVLGGKFYEGDLRDSKILDRVFTENNIDSVIDFAAYSLVGESVEEPLKYFENNIGSVINLLDVMKRHGVKNIVFSSTAATYGEPENTPILESDKTFPTNPYGESKLAVEKILKWCDKAYGIKYTALRYFNAAGAHISGEIGENHNPETHLIPIILQVASGKREKIMIFGEDYPTEDGTCIRDYIHVTDLANAHILALERLKKGKESATYNLGNGKGFSVKEVVEVARKVTGCNIKAEIAERRAGDPAILIASSDKAKKELGWNPKYSLETIIETAWNWHKKF--------- 121997562 ----TILVTGGAGYIGSHMVRRLLADGYEVVALDNLSTGHRWAVPEECLEVGDLQDRDALSTLFQRYRFSAVVHFAASSLVGESEERPLEYHENNVGGTLNLLRACLELGTTRLIFSSSAAVYGAPSESPIPESVAPAPINPYGVSKMVCERMLADVSVGTSLRFVSLRYFNAAGADPKGRLGECHEPETHLIPRLLQVVSGRSAGFTLYGDDYPTPDGTCIRDYIHVEDLVEAHVIALAHLEAGGESRTFNCGYGRGYSVREVIEVARAVTGHPLPVDVGPRRPGDPSQLVADGSALRETLGWRPRYSLETIVRDAWRWESR---------- 182415102 ----NVLVVGGAGYIGSHCVRQLLAAGHRPVVLDNLVYGHRAAVPSIPFHDVNLGDAPAVERILRAEQIDVVMHFAAYCYVGESVTDPLKYYFNNVAATLHLLQAMLAANVKKFVFSSTCATFGIPATLPIHENLPQAPINPYGQTKLDVENLLKALAGAHGLSFAAFRYFNAAGAAEDGRIGEDHDPETHLIPLAIDVATGKRPQLQLFGTDYPTPDGTCLRDYVHVDDLSRAHIAVFDRLGTPGTQLFYNLGTGAPTSNREVIRAVEKVVGRKVNVVESPRRAGDPPALYADSARAQRELGWQVKFSIESIVATAWKWHASHPNGYDDR-- 297539427 ----KILVVGGAGYIGSHMVKMLLDEGHKVVTFDNLSSGFRDAVLGGDFVEGDLANTAMLDDVFTKYKPEAVMHFASYIQVGESVQHPAKYYFNNFTNTLNLLNTMVKHQVNSFIFSSTAAVFGEPEYVPIDEAHPKAPLNPYGRSKLMVEQILADYEHAYGLKSVCLRYFNAAGADPGALLGERHEPETHLIPLVLQAISGRRSHISVFGRDYDTPDGTCIRDYIHIVDLCSAHLLALTQLVKDGVSQRFNLGNGAGFSVQEVISVAEQVTGKKVNVIDAPRRAGDPARLVADATLAKNTLGWSPVYDLATIIAHAWAWETK---------- 280959008 MSARNILVTGGAGYIGSHTCKALAARGYTPVTLDTLVNGHEWAVKWGPLVVGDIADRALLDEVFATYRPEGVIHFAAYCYVGESVEDPGKYYANNVAGTLTLLEAMRDHKVVPIVFSSSCAVYGAARIVPITEDHPQWPVNPYGWSKFMVERMLEDFGRAHGTRHCALRYFNAAGADPDGQIGEAHDPESHLIPLVLQAAREPDSPVTVFGTDYDTPDGTCIRDYIHVCDLAEAHILALGH-LAGNPSLAVNLGTGTGNSVREIIEAACAVTGKPIEPKIGPRRPGDPPRLVADRTLAGKILGWQPKYDIRETIRHAWTW------------- 310779467 ----TILVCGGAGYIGSHAVARLVEKGEEVVVLDNLYTGHRDAVPEGVKLIGNLADEKFMDKVFQENKIDAVMHFAAYSLVGESVEKPLKYYENNVYGSLCLLKTMKKFGVNKIVFSSTAATYGEPENIPILETDKTEPTNPYGESKLAVEKMLKWCEKAYGINHIVLRYFNVAGAHESGRIGEDHSPETHLIPLVLQVALGKREKIFMYGEDYDTHDGTCIRDYIHVMDLVDAHILAIEKLKNNGKSSIYNLGNGNGFTVKEVIETSRKVTGHPIPAEVAPRRAGDPAKLVASSEKAMKELGWKPEHDMEKIIESAWKWHKNNSEGYKN--- 77919403 ----NILVCGGAGYIGSHMVKMLTASGHIVTIFDNLSTGHREAVKWGKLVVGDLLDEAALRQLFAENSFDAVMHFSAKSLVGESVAQPAMYYKNNVIGTFNLLEAMRAADVKRFVFSSSAATFGNPVADRIDENHPQAPINPYGETKLMVEHMLRDYAAAYGLSSVSLRYFNAAGADPSGEIGEAHDPETHLIPNILKAALDPHKTLKVFGQDYPTPDGTCVRDYIHINDLCQAHLLALGYMDNNDGAFGFNLGNGQGFSIFEINNAAECVTGGEIQYESCDRRPGDPPILVADATLAKKELKWRPQYDIIEILRTAWDWHRK---------- 142199925 ---KHILVTGGAGYIGSHACKALAKAGYTPVTYDNLIYGHEWAVQWGPLVIGDIADPDHLRHTIQQYNPEAVMHFAAYAYVGESVQDPAKYYINNVSGTLQLLDVMREQTIHHLIFSSSCATYGTPSQLPITEDHDQNPINPYGRSKWMIETVLADYAKAYGLRSISLRYFNAAGADPDGLIGESHEPETHLIPLVLEAASGKRPYVTIYGDDYDTPDGTCIRDYIHVEDLANAHVLALEALQQGKVSKAYNLGNGNGFSIKEIIQSVERVTGLNVPVKMGPRREGDPASLIGSAETIKQELGWNPRIVLDDIIKTAWNWHQK---------- 294055379 ----NVLVVGGAGYIGSHCVRQLQQSGHNPVVLDNLVFGHRKAVSEDIFYDCDLGDCEAVAKILKDEQIELVMHFAAFAYVGESVTEPRKYYENNFVATLRLLETMLDNGVKKFVFSSTCATFGVPETLPLVEDLPQAPINPYGQTKLDVENCLKAFANAYGLSFAAFRYFNASGAAEDGSIGEDHNPETHLIPLVIDAATGRRDNIKIFGTDYPTPDGTCLRDYVHVDDLARAHIAVFDKLAEPGAEYFYNLGTGTPNSVREIINAVEEVTGLKVPVVEDVRRAGDPPALYADSSKAQEELGWKIKYTVKDIIATAWKWHQSHPNGFED--- 298247134 ----KVLVTGGTGYIGSHTVRELTARGYDVVVIDTLERSSRQTIGSLPFYQGSITDDAFLERVFAQEKPGAVIHFAAYKAPGESMLEPEKYFRTNVGGSISLLETMARHQVRFIVFSSSCSVFGTPTQLPVTENAPFSPESVYGETKLMGETLLRWFEKTRGIRYAALRYFNASGASLDNVIGEDWDRTQNLIPLVMKAALGVTPSIKVFGTDYPTADGTAVRDYIHVVDLAIAHVKALEYIQRTNTSEAYNLGTGVGNSVQEVINAAKRISGVDFKVEYVPRRPGDPAAVWADCHKAESELDWKTQYDLEVILQTAWRWHSTHVQGFRN--- 134893246 ----KVLVTGGAGYIGSHMLRALQSQNHEAVCFDNLSTGHRDLVDCDSFIEGDLADKSLLSDIFANNNFDAVIHFAGSSQVGESIKKPDKYYRNNITNTQNLLDAMIQSNVKKIVFSSTAAIFGNPQYIPINEDHSCNPINPYGKSKLMIEHMLADYDKAYDLKFVALRYFNAAGADSSGLIGERHIPETHLIPLVLQAASGRKKEITIFGNDYNTNDGTCVRDYIHVSDLCDAHILSLDWLEKGGSSKRYNLGNGNGFSVNDVIRIAEKITGNSIAVIKSSRRPGDPEILVADSKLIKQDLGWKPKYNLDGIIADAWNWELDNKR------- 78061717 ----KVLVTGGAGYIGSHTCKALAAAGHEPVAYDNLSTGHRDAVRWGPLVTADILDRDALSKAFAAHRPDVVIHFAALAYVGDSVLAPDRYYTVNVTGTCMLLSAMHAAGVGRIVMSSSCATYGIPDGLPISERTPQRPINPYGFTKYAMERMAADFERAYGLKWVALRYFNAAGADPDGEIGECHEPETHALPLAIRAAFGTGHAFQVMGADYPTPDGSAIRDYVHVSDLADAHLKATAYLCGGGHSVALNLGTGKGTSVLDALRAVEAVTGRRVPTVTAARRPGDPPELYADATKAALVLGWRPSFTIEPMVEHAAAWFQK---------- 56421831 -----ILVVGGAGYIGSHLVKELVEK-EQVIVLDNLSTGHRYLVDRAVFVQGDLGNKADLEPIFGKYPIQAVMHFAANSLVGESVVNPLKYYQNNVAATLTLLETMLKYNVKNFIFSSTAATYGIPNVELITEDCPTNPINPYGRSKLMIEQILADFASAYGLNYVVLRYFNAAGAHESGEIGEDHNPETHLIPLVLQHLLGQRDKISVFGTDYDTPDGTCIRDYIHVTDLAKAHILALEALLSKKKTAVYNLGNGLGYSVKEVIETCEKVTGRKAVIEYTDRRPGDPARLVASSQKIYEELGWKAEYSLEQIIESAWKWHSRN--------- 294791630 ----NILVTGGAGYIGSHTVRALQQAGYTPIIVDNLSRGHVESIPEGKFYNMDIADPKLV-GIMKEHNILGVMHFAAHSQVGESMQNPAIYYENNVVGSYHLIESARTAGIKHFVFSSTAAVYGEPKVVPICEDAQLQPTNVYGRTKLMIEKMLSDYSSIYGSTYVALRYFNAAGADPSGMIGEDHHPETHLIPLVLDAARGKREHITVFGTDYDTADGTCVRDYIHVNDLAAAHVLAMDYLRKGGESQVFNLGSGNGFSVKEIIETAKEVTGIDIPIQYGDRRAGDPGTLIASSEKIKNLLGWDPKFSVADVIKDAWHWHTSHPDGFNSK-- 86607290 ----RLLVTGGAGYIGSHTCKALAAHGHLPIAYDNLVYGHPWAVRWGPLEIGDIADRQRLDQVIRQYRPEGVIHFAAYAYVGESVKDPGKYYRNNVAGSLTLLEAMRDHGIPYLVFSSTCATYGVPEQIPIPETHPQRPINPYGQSKLVVEQMLRDFQTAHGIRFISLRYFNAAGADPDGEIGEAHDPETHLIPLVLEVAAGQRPHITVFGDDYDTLDGTCIRDYIHVADLAQAHVLALEALASQPVQAAYNLGNGQGFSVQEVIATAAAVTGRQIPVQVGARRPGDPPCLVGDATAIQRDLNWQPRYDLAEILQTAWRWHQRRP-------- 307692005 -----ILVLGGAGYIGSHTVYELIDAGRDVVVADNLLTGFRAAVPKARFYQLDIRDRSALDELFTKEKIEGVIHFAASSQVGESMSDPLKYYDNNLHGTMVLLQAMVAHGVDKIVFSSTAATYGEPERVPILETDRTDPTNCYGETKLAMEHMMRWVSRAHGLKYVALRYFNACGAHPSGAIGEAHNPETHLIPLILQVPNGQREKISIFGDDYPTKDGTCIRDYIHVSDLAQAHILALDHLLQGGESDVFNLGNGVGFTVKEVIDVARAVTGHPIPAETCPRRAGDPAQLIASSKKAVEQLGWKPKYDLNTIIASAWKWHSAHPRGYEE--- 172037883 ----TILVTGGAGYIGSHAVLSLQKAGYHVIVFDNLSYGHPEIIKDVLQVELIVGDRTLLDELFSTRNIAAVMHFAAFIAVGESVQAPAIYYQNNVVGTLTLLEAMMAANINKFVFSSTCAIYGMPQEIPMTEQHPNHPLSPYASSKYMVEKILKDFDQAYGLKSVIFRYFNASGADPSGNLGEDHTPETHLIPLALLTALKKRDHLFIFGTDYDTHDGTAIRDYIHVNDLASAHILGLEYLLNGGESEMFNLGNGNGFSVKEVIDMAKKVTGIDFLVKESDRRPGDVPILVGSSQKAQSVLGWQPQYSLQTIVNHAWQWHQK---------- 237737956 -----VLVCGGAGYIGSHVTRALIDSGEEVVVLDNLITGHVDAVHEAKLVLGDLRDEEFLDKVFTDNKIEGVIDFAAFSLVGESMTEPLKYFENNFYGTLCLLKAMRKHNVKNIVFSSTAATYGEPENIPILETDRTFPTNPYGESKLAVEKMMKWCDVAYGIKFTALRYFNVAGAHPTGEIGEDHNPESHLIPIILQVALGKRESIGIYGDDYPTPDGTCIRDYIHVMDLADAHILALKRLYNGGESAIFNLGNGEGFSVKEVIEVTRKVTGHPIPAVVSPRRAGDPAKLVATSEKAMRELNWKPKYSLEKIIETAWNWHKSHPNGYED--- 114777549 -----VLVTGGAGYIGSHACKALAKAGYTPVVFDNLVYGHEWAVQWGPFERGDLADETRLNEVFARYDFAAVMHFAAYAYVGESVGEPGKYYRNNVAGTLNLLDAMRRHGVNKIVFSSTCATYGEAEVIPIPETHAQQPINPYGASKLMVERILKDYDSAYGLRFVALRYFNAAGADPDGEIGEDHEPETHLIPLVLDAAAGVRDSIMINGTDYDTPDGTCIRDYIHVTDLANAHLLALQALLRGEQSRAYNLGNGKGYSIRELIEVAASVTGKEIPVQYGPRRPGDAPALAGDAAVISRELGWQPTLGLETIIETAWKWHMK---------- 262037187 ----NILVIGGAGYIGSHTVNLLKKSGYNPIIYDNLSKGYEQEILGVKLIKGDLGDKKKLKEVFGKEKIDAVMHFAAFIEVGESVQKPSEYYDNNVAKVLKLLDQMVESGVKKFIFSSTAATFGEPKKEKIDETHIQFPINPYGKTKLTVEKILEDYDTAYGLKSTVLRYFNASGSDKDGLIGESHIPETHLIPLILQAASGKRESIKIFGNDYNTKDGTCIRDFVHVYDLGKAHILGMEKMFKENRSLNYNLGSGEGYSVKEVIEKVKEVTGKDFKVDEVKKRAGDPAVLVADSTKAEKELNWKPEYDLEEIIKSAWKW------------- 300909179 --KMSILVAGGAGYIGSHMVKDLIENGEDVVVADNLSTGHRDAIPKAKFYEGDIRDRQFLDKIFDNEDITAVVHFAAFSIVPESMSKPLKYFDNNTGGMITLLEAMHDHDIKYIVFSSTAATYGVPEHMPIKETDPQNPINPYGLSKLMMEEMMAWADKAYGIKFVALRYFNVAGAAPDGTIGEDHGPETHLVPIILQVAQGKRDELSIFGDDYNTPDGTNVRDYVHVMDLADAHILAIKYLKEGNKSNAFNLGSSTGFSNKQMLEAAREVTGKPIPAKMAPRRPGDPDSLVAASDKARNVLGWSPKYDVHDIIATAWKWHSTHPKGYDDR-- 304437349 -----ILVCGGAGYIGSHAVHALVEKGEQVVIVDNLQTGHRGALPAATFYEGDIRDAAVLDKIFTENKIEAVIHFAANSLVGESVEKPLLYFNNNVYGMQVLLEAMVRHGIDKIVFSSTAAVYGEPKRVPIHEDDETHPTNPYGETKLTMEKMMKWVSRANGVRYVSLRYFNAAGALPDGSIGEDHATETHLIPLILQVPLGKRDHITVFGEDYPTPDGTCLRDYIHVIDLADAHVRALDYLRQGGESNIFNLGNGQGFSVKEMIAAAQKATGRSIKVEIGARRAGDPAQLIASSEKARTVLGWNPQTDVEQVIGTAWTWHEKHPNGYGD--- 304385459 MEEKSILVVGGAGYIGSHMVKRLIEQGQEVVVVDNLSTGHRKAVEKARFYEGDIRNHVFLKGVFDRENIDTVVHFAAFSIVPESMEKPLKYFDNNTAGMVALLEEMRDHDVKRIIFSSTAATYGVPEKSPIEENDRQAPINPYGESKLMMEKIIRWADQAYGIKFVALRYFNVAGAYPDGSIGEDHGPETHLTPIILQVSAGQRDQLKIFGDDYNTPDGTNVRDYVHVLDLVDAHILAINYLKAGNDSDVFNLGSSTGFSVKQMVEAAREVTGEPIPAEIAERRPGDPDSLIAASQKARDVLQWQPQYDVKEIIQTAWNWKQQHPRGYEDR-- 227551782 ----TILVLGGAGYIGSHAVDQLVQKGYQVAVVDNLLTGHKQAVPDAHFYEGDIRDKEFLRSVFEKEPIEGVIHFAASSLVGESVEKPLMYFNNNVYGMQILLEVMHEFNVNKIVFSSTAATYGEPKESPITENTPTNPKNPYGESKLMMEKMMKWCDQAYGMRYVALRYFNVAGAKADASIGEDHTPETHLVPIILQVALGQRKALAVYGDDYDTPDGTCIRDYVQVEDLIAAHILALEYLKEGNESNFFNLGSNKGYSVKEMLEAAREVTGKEIPAEIAPRRAGDPSRLVASSEKAREILGWKPEYDIKAIIKTAWDWHVSHPNGYEE--- 288560726 -----ILITGGAGYIGSHINKLLNKSGYETIVLDNLSKGHKKAVKWGSLVNADLSDSDKLREIFQNNDIEAVMHFAAFSSVAESVEEPEKYFKNNFENTANLLRIMKEFRVRKFIFSSTAALYGIPKEIPISESAELKPINPYGESKLMVENLLKDESDFGGLKYVSLRYFNAAGADLDCEIGEDHNPESHLIPLVLDAAIGRRNSISIFGDDYDTPDGTCIRDYIHVQDLADAHLKALQYLEEPNDSNIFNLGNGNGFSVKEVIDTCKKVTGIDFEVKVEGRRPGDPDILIADSKKAEEVLKWKPEYDLEDIVESAWNWHKK---------- 217967734 -----ILVTGGAGYIGSHVVKELLRRNYKVVVLDNLHKGHKKAVLTPYFEIVDLKKMDSLREVFEKYDIDAVMHFAALSTVAESMKEPFKYYENNILGGLNLLELMREYNIKYFIFSSTAAVYGEPQVVPIPEDHPKNPTNVYGSSKLMFEEILSWYDEIYKLRYVSLRYFNAAGADLEGELGEDHRPETHLIPIVLKTALGQREYIEIYGTDYPTPDGTCIRDYIHVVDLAEAHILALEALFDGMRSEVFNLGNERGYSVREVINIAEKVVGQKIPIKEGQRRLGDPAILIASSNKIKKVLKWQPKFDLEIMISSAWNWMKKHPFGYSE--- 220905212 -----ILVCGGAGYIGSHNVRSLLARGEEVVVIDNFFTGHRASLPDGVTYEGDIRQGELLDRIFSEHRVDAVLHFAASSLVGESMEQPLKYFHNNVHGMQSLLEAMVRNHVDKIVFSSSAAVYGEQDNVPISEDAALSPTNPYGESKLIMERMMHWVGKAHGIRFVSLRYFNVGGAWPGGIIGEDHRPESHLIPLILQVPLGRRETVTIFGNDYPTPDGTCIRDYIGVMDLADAHMRALDYLRAGGGSEVCNLGNGKGFSVREMVAAACRVTGHDIGVTMATRRYGDPARLVASADRARELLGWEAKAGIDDIIASAWEWHKNHPDGFS---- 116493213 -----ILVVGGAGYIGSHMVKRLVEQNRDVVVVDNLSTGHRGAVDKGKFYEGDIRDHAFLKEVFDQEAIDTVVHFAAFSIVPESMEKPLKYFDNNTAGMVALLEEMRDHDVKRIIFSSTAATYGVPEKSPIEETDRQAPINPYGESKLMMEKIIKWADQAYGIKFVALRYFNVAGAYPDGSIGEDHGPETHLTPIILQVALGKRDQLQIFGDDYHTPDGTNVRDYVHVLDLADAHILAIDYLKAGNDSDVFNLGSSTGFSVKEMVEAAREATGEAIPAKIAARRPGDPDSLIAASQKARKILKWNPQYDVKEIIKTAWNWTQKHPNGYED--- 254409739 -----ILVTGGAGYIGSHTVLALQRAGYNVVVLDNLVCGHREFVLKAKLVIGDVGDRTLLDQIFASHNIAAVLHFAAYIAVGESVIYPAKYYRNNVSGTLTLLEAMVAADVKTLVFPSTCAVYGMPQEVPMTEVHPQNPINPYATSKWMMERMLADFDHAYQLRFIVFRFFNAAGANPEGLLGEDHYPETHLIPLVLWTVLGKRDSIAIWGTDYSTPDGTCLRDYIHVSDLADAHILGLEYLLQGGKSEIFNLGSGNGFSVRQVIDTAKQVTQKEIKIIECDRRPGDAPILVGSSDKARKILGWSAKYPLSKIISDAWQWHQR---------- 67924512 ----TILVTGGAGYIGSHAVLSLQKAGYNVIVYDNLSYGHPEIVQDVLKVEGDTNDRTLLDQIFSSRNITAVMHFAAFIAVGESVRDPAIYYQNNVAGTLTLLEAMIAANIKKFVFSSTCAIYGMPQEIPMTEKHPNNPLNAYASSKYMVEQILKDFDRAYGLKSVVFRYFNASGADPSGNLGEDHDPETHLIPLALLTALKKREHLFIFGTDYETPDGTAVRDYIHVNDLASAHVLGLDYLFNGGESEMFNLGNGNRFSVREVIEMAKKVTGVDFLVKETDRRPGDAPILVGSSEKAKSILGWQPQYELKTIVNDAWQWHKK---------- 120602752 ----NVLVCGGAGYIGSHMVRALVEHGYVPIIFDNLSTGHAESVGDVDLVRGDLLDRQALRRLFAEHSFDAVMHFSAKSLVGESMTDPGIYFVNNVVGTINLLEAMREAGVSRLVFSSSAAVFGNPLTARIAEDHPRQPVNPYGRSKLMIEQALRDYANAYGMRSVSLRYFNAAGADEAGDIGESHKPETHLIPNVLRAALGTGPELKIFGDDYDTPDGTCVRDYIHVADLCDAHLRALSYMQLKPGAHAFNLGNGNGFSVKQVVEAAWRVTGRDVPHSMAPRRPGDPAWLVADSTLAGRELGWKPSTDIDAIIDSAWRWHQK-PR------- 254423437 -KTQTVLVTGGGGYIGSHTVKALQAAGYEVVILDNLIYGHRDNVLKTKLIEGDIGDRALLEQLFSTYSVAAVVHFAAYAYVGESATNPAKYYANNVCATLTLLEAMVEAGIDKLVFSSTCAIYGVPDTLPILETHDKRPVNPYGKTKLMVEQILDDFDRAYGLKSVCFRYFNAAGADPSGDLGEDHMPETHLIPLVLAAAQ-KRDSVSIFGKDYPTADGTCIRDYIHVSDLADAHVLGLDHLLQGNSSQKINLSNGSGFSVRQVIETAKAVTGRPIEVVEEARRPGDPPALVGNSDKAATLLGWQPRYPLVDIIDHAWQWHQK---------- 269119203 ----NILVVGGAGYIGSHTVNLLKKEGYTPIIYDNLSKGHKEEILGVKLINGDLGDKERLKKVFSDEKIDIVMHFAAFIEVGESVTAPAKYYNNNVSKVLELLDAMVESNVKYFVFSSTAATFGEPETEKISETHPQKPINPYGKSKLMVEEILKDYDNAYGLKSTVLRYFNASGSDENGLIGESHDPESHLIPLILQAASGRRKSIKIFGTDYDTKDGTCVRDFVHVYDLAKAHILGMEKMKNENMSLNYNLGSGEGFTVKEIIEKTKAITGVDFTVEEEGPRAGDPAVLVADSEKAGKELGWKPEYNLDSIISSAWKWELN---------- 305676528 -----ILVTGGAGYIGSHTCVELLNSGYEIVVLDNLSNSSAEALNRVKFYEADLLDREAVDSVFAENEIEAVIHFAGLKAVGESVAIPLKYYHNNLTGTFILCEVMEKYGVKKIVFSSSATVYGVPETSPITEDFPLGATNPYGQTKLMLEQILRDYTADNEWSVALLRYFNPFGAHPSGRIGEDPNGPNNLMPYVAQVAVGKLEQLSVFGNDYPTKDGTGVRDYIHVVDLAEGHVKALEKVLNSTGADAYNLGTGTGYSVLEMVKAFEKVSGKEVPYRFEDRRPGDIATCFADPAKAKRELGWEAKRGLEEMCADSWRWQSSNVNGYKS--- 257867696 -----ILVLGGAGYIGSHAVDQLIEQGKQVVVVDNLLTGHRQAIPAATFYEGDIRDKAFLQSVFEKETIDGVIHFAASSLVGESVEKPLKYFNNNVYGMQVLLEVMKEHQVKHIVFSSTAATYGEPDHSPITEETPTNPKNPYGESKLIMEKMMRWCDEAYGMKYVALRYFNVAGAKSDASIGEDHDPETHLVPIILQAALGQRKEVAIFGEDYDTPDGTCVRDYVHVEDLIAAHLLALEYLQKGNESNVFNLGSNHGYSVKEMVEAARNVTKKEIPARVAPRRAGDPSTLVASSEKARKVLGWKPVYDVEAIIETAWNWHVSHPNGYQGQEE 221200577 ----KVFVTGGAGYIGSHTCKALAEAGHAPVAYDDLSTGHRDAVRWGPLVVGSILDRDALGRALAAHRPDIVIHFAALAYVGESVLAPDRYYATNVTGTCTLLNAMRTAGVGRIVLSSSCATYGIPDALPICEHTPQHPINPYGFTKYAMERMAADFERAYGLQWVALRYFNAAGADPDGLLGENHEPETHALPLAIRAALGTGRPFTVMGTDYPTPDGSAVRDYVHVSDLADAHVKATAYLCRGGNSVALNLGTGTGTSVLELVRAVETATGSRVPTVMAARRPGDPPALYADATKAALVLGWRPRFGIEPMVEHAVRWFRQ---------- 296328346 -----ILVCGGAGYIGSHVVKYLLEKNEDVVVVDSLITGHIDAVDEKAHELGDLKDEEFLNRVFEKYQIDGVIDFAAFSLVGESVGEPLKYFENNFYGTLCLLKVMKNNNVDKIVFSSTAATYGEAENMPILETDRTEPTNPYGESKLAVEKMFKWCANAYGLKYTALRYFNVAGAYPSGEIGEAHTCETHLIPLILQVALGQREKISIYGDDYPTPDGTCIRDYIHVMDLADAHYLALNRLRNGGDSQIFNLGNGEGFSVKEVIEVTRKVTGHPIPAEVSPRRAGDPARLIASSKKAIDELKWKPKYDLEQIIETAWNWHKNHPNGYED--- 206889234 MNSKKILVTGGAGYIGSHVVKALGERGYQVLTYDNLSYGCRDSVLYGDLVVADLADKEKLRRVFEEFKPDAVIHFAASIVVPESVREPIKYYRNNFCNTLNLIEACIEQGVKNFLFSSSAAVYGIPEKSPVDETASLAPINPYGRTKAMVEHLLADLSQAEDFRYVSLRYFNVAGADISGRLGQRRPDATHLITLAVKTALGKRPFLEIYGTDYPTRDGTCIRDYIHVDDLAEAHLLALEYLIQNGKSDIFNCGYGHGYSVREVVDATKRVSGVDFKVIETTRREGDPPELVADNRKIKNTLCWMPKYDIQYIVKTALEWERKLPR------- 229087736 MKSQSILVCGGAGYIGSHAVKKLVDEGQSVIVVDNLQTGHEDAIAEGKFYKGDLRDKSFLRDVFKQETIDAVMHFAADSLVGVSMEKPLQYYNNNVYGALCLLEVMDEFKIDKFIFSSTAATYGDVDVELISEETPTNPTNTYGETKLAIEKMLHWYSQASNLKYKVFRYFNVAGATPSGIIGEDHRPETHLIPLVLQVALGQREKIMMFGDDYNTPDGTCIRDYIHVDDLVAAHFLGLKDLQNGGDSDFYNLGNGNGFSVKEIVEAVREVTNHEIPAEVAPRRAGDPARLVASSQKAKEKLGWSPAYTVKTIIEHAWNWHQSKPQGYDK--- 304316176 -----ILVCGGAGYIGSHTAYELFKRGEDVIVVDNLITGHKKAVLGGKLYIGDLRDSEFMDKIFEQNDIEAVIDFAAFSLVGESVGKPLEYYENNVYGTMCLLKKMVKYGVKKIVFSSTAATYGEPERIPIKEEDSTVPTNPYGETKLAVEKMLKWCDNAYGIKHVVLRYFNVAGADESGMIGEDHNPETHLIPLILQVPLGKRDLINVFGDDYETKDGTCIRDYIHVTDLADAHILALNKLRRDNSSATYNLGNGEGFTVNEVIDAARRVTGHPIPAKVVARRPGDPAKLVASSDKIINELGWNPKHSLEEIIESAWKWHKSNPNGFDDK-- 238852727 ----RVLVIGGAGYIGSHAVRKLIEEGNDVVVLDSLYTGHRKAVKKAKFYQGDIEDTNLVSKILRDENIDAVMHFAAYSLVPESVKKPLKYYDNNVSGMISLLQAMDDAKVKYLVFSSSAATYGIPKTLPITEDTPLDPINPYGETKMMMEKIMHWADKADGIKSIALRYFNVAGASSDGSIGEDHGPETHLIPNILKSAISGDGNFTIFGDDYDTKDGTNVRDYVQVEDLIDAHILALKHVMETNKSDVFNLGTAQGYSNLEILEAAKKVTGIDIPYTIVPRRGGDPDSLVADSSKARKVLGWKPKHNVDDVIATAWNWHKSHPKGYEDK-- 126660154 ----TILVTGGAGYIGSHAVLSLQKAGYNVIVFDNLSYGHPEIIKDVLKTEGDTNDRPLLDELFSTRNIAAVMHFAAFIAVGESVKDPGIYYQNNVVGTLTLLEAMMAANIKKFVFSSTCAIYGMPQEIPMTESHPNNPLSPYASSKYMVEKILKDFDKAYGLKSVIFRYFNASGADPSGNLGEDHTPETHLIPLALLTALKKRDHLFIFGTDYDTPDGTAIRDYIHVNDLASAHVLGLEYLLDGGESEMFNLGNGNGFSVRQVIEMSKKVTEIDFLVKESDRRSGDVPMLVGSSKKAKSILGWKPQYELETIVNHAWQWHQK---------- 162451720 --SKTILVTGGAGYIGSHTCVELLHAGYRVVILDNLCNSRKAAVKQVTFIQGDIRDAALLDRLLAEHPIDATIHFAGLKAVGESVEKPLSYYENNVAGTVCLLKALDARGARKLVFSSSATVYGDPERVPLDEGSRLGPTNPYGQSKFMVEQILRDVAATGGWSLGSLRYFNPVGAHESGLIGEDPNGPNNLTPFITQVLVGRRDKLRVFGGDWPTPDGTGVRDYIHVVDLALGHLAALNRIFTVGDSFTVNLGTGRGHSVLEMVAAFEKVSGRRVAHEIVPRRPGDVASVYADPARAIELLGWRAERDLETMCRDSWRWQEQNPKGFAD--- 168210399 -----ILVCGGAGYIGSHMVAELIENNKEVVILDNFEKGHEDAILGGKLYKGDLRDRKILDKIFTENNIEAVIDFAAYSLVGESMTEPLKYFNNNVSGTISLLEAMRDHNVKYIVFSSTAATYGEPENIPILETDKNLPTNAYGESKLLVEKILKWCDTAYGIKYTALRYFNAAGAHVNGKIGEDHAPETHLIPLILQVALGKRDKIMMFGDDYDTKDGTCVRDYIHVSDLASAHSLALERLMNGGESRIYNLGNGTGFTVKEVVEVARKVTGHPIPAEVAPRRAGDPAILIASSDKAIEELNWKPKFSLETIIETAWNWHKNHPNGYEK--- 81428379 -----ILVLGGAGYIGSHTVDQLIERGYDVAVVDNLVTGHKAAIANARFYKGDVRDKEFMRNVFQQEDVSGVIHFAAFSIVPESMQSPLKYFDNNTYGMTALLEVMNEFGVKRIVFSSTAATYGEPKAIPIKESDPQVPTNPYGESKLMMETMMKWADKAYGIKFVALRYFNVVGAKPDGSIGEDHHPETHLLPIVLQVAAGKRDQLSIFGDDYDTPDGTNVRDYVHVLDLADAHILAFEYLKDGHDSNAFNLGSSTGFSNMEIVEAARKVTGKAIPVTMAPRRAGDPSTLIAASDKARETLGWAPKYDMEAIIETAWNWHLNHPNGYADR-- 300868559 -EKGTILVTGGAGYIGSHAVLALKLAGYDLVILDNLVYGHQELVEKVLQVKGDTNDRSLLDRLFATHNITAVMHFSAYAYVGESVTDPAKYYRNNVVGTLTLLEAMLAAGVNKFVFSSTCATYGVPNKVPLTEDHPQNPINPYGATKLMVERILSDFDAAYNLKSVSFRYFNAAGADPNGLLGEDHNPETHLIPLVLLTALGKRDSISIFGTDYDTPDGTCIRDYIHVNDLASAHILGLEYLLKGGKSDFFNLGNGGGFSVKEVIEMARKITGREIKALECDRRPGDPPILVGSSEKARQILGWIPEYDLDNIVSHAWQWHQQ---------- 16801682 -----IVVLGGAGYIGSHAVDELINRGYEVVVVDNLRTGHKESIKKAKFYEGDIRDKAFLSTVFEKESIDSVIHFAASSLVGESMEVPLEYLNNNVYGTQVLLEVMEQFGVKHIVFSSSAATYGEPERVPITEDMPTSPESTYGETKLIMEKMMKWCDKAYGMKFVALRYFNVAGAKADGSIGEDHKPETHLVPIILQVALGQREKLAIYGDDYNTPDGTCIRDYVQVEDLIDAHIRALEYLKKGGESNIFNLGSSNGFSVKEMLEAARIVTGKEIPAEVVPRRAGDPGTLVASSDKAREILGWQPTYDVKDIIATAWNWHVSHPNGY----- 229541582 -----ILVLGGAGYIGSHAVYQLIDQKYDVVVIDNLQTGHRAAIPKAKFYEGDIRDRAFMQEVFGKEKIDAIIHFAANSLVGESMEQPLKYFDNNVYGTQIVLEMMKEFHVPHIVFSSTAATYGEPEHVPITETMPTVPTNTYGETKLTMEKMMKWCEKAYGIKYVALRYFNVAGARSTGEIGEDHHPETHLIPVVLETVLGKREAITIFGEDYDTKDGTCVRDYIHVEDLIDAHILALQYLQNGGASDVFNLGSSNGFSVKEIVDTVREVTGKGFNVKIGDRRAGDPSTLIASSDKAKRVLGWNPKTDIRQIIKDAWNWHESHPNGYDDRR- 256851512 ----TIAVLGGAGYIGSHTVKRLLATGEDVIVLDNLITGHRRAVKRARFYQGDIRDFQFLSSVFSQEKVDGIVHFAAFSVVPESMKNPLKYFDNNTCGMVTLLEAMNQFGIKRIVFSSTAATYGEPKQIPIKETDPQLPTNAYGESKLAMEKIMHWADLADGLKFVALRYFNVAGAMPDGSIGEDHNPETHLIPIILQVAAGKRPSLQIYGNDYPTKDGTNIRDYVHVLDLADAHVLALKYLEAGNSSTAFNLGSATGFSNMEILQAARKVTGEPIPASIGPRRLGDPSTLIASSDKAKELLGWKPQFDIEKIIETAWKWHENNPEGFGDRN- 241895195 -----VLVLGGAGYIGSHMVATLLADHRDVVVVDNLLTGHRASVPEGPFYEVDIRDKAALSEVFEKENIEQVVHFAASSIVPESVEKPLKYFDNNVYGMINLLEVMLDFDVKQIVFSSTAATYGVAKENPIKETTPQNPINPYGESKLQMEHIMKWADQAYGLKWVALRYFNVAGAKADGSIGEDHPTETHLVPIILQTALGQREKIMMFGDDYNTPDGFNVRDYVHVMDLADAHVLALDYLAKGNESNQFNLGSANGFSVKQMVEAAREATGQPIPAEIGPRRAGDPDSLVASSDKAREILGWTPKYDVKEIISTAWNWHQKHPNGYGDR-- 37522389 -----ILVTGGAGYIGSHVVLALQAAGFEILVYDNLVYGHAEFVPAPMLIRGDLEDRVGLARLFAAHPIEAVIHMAAYAYVGESVTKPAVYYRNNVHGTLCLLEAMVEADVKQIVFSSTCATYGEPEQIPITETHPQRPINPYGFTKLVVERMLRDFDRAYGLRSVVFRYFNAAGADPDARVGEDHDPETHIIPLVLDVAIGRRPHITVFGSDYETPDGTCVRDYIHVSDLADAHVLGLKYLKSGGPTEVFNLGNGSGFSVQQVIDTAGQVAGREIAVQMGARRPGDPATLVGSAERARAILGWQPRFDLQTIVSTAWTWHQK---------- 257420168 -----ILVLGGAGYIGSHAVDQLISKGYAVVVVDNLLTGHRSAVHEATFYEGDIRDKAFLRSVFEKESIEGVLHFAANSLVGESVEKPLMYFNNNVHGTQIALEVMQEFGVKHIVFSSTAATYGEPKAMPITEETPTNPKNPYGESKLMMEKIMKWCDNAYGMKYVALRYFNVAGAKKDASIGEDHTPETHIVPIILQVALGQRAELSIFGDDYDTPDGTCIRDYVYIEDLIAAHILALEYLKNGGESDVFNLGSNNGYSVKEILDAAREVTGQEIPATIAPRRAGDPSTLIASSEKAKRVLGWQPEVTVKDIIATAWQWHQAHPHGYQEK-- 114319325 ----RVLVTGGAGYIGSHVVRQLLAAGHEPVVYDNLSTGFAWAVGEAPLVRADLADTAQLAETLARHAFDAVLHFAAHTVVPESLSDPLRYYGNNTRNTWQLLQACHEAGVKRFVFSSTAAVYGMPETMPVAEDAPLAPINPYGASKMMSERMLMDLGAASDLRYVCLRYFNVAGAEPSGRLGQATPQATHLIKVACEAAVGRRDGVTVFGTDYATPDGTCVRDFIHVEDLARAHIQALSHLVDGGDSQVLNCGYGRGYSVLEVLEAVKRLSGADFPVTLGTRRAGDPAQVVADNRRILRTLDWSPRYDLDTIVAHALAWERD---------- 300769776 -----ILVLGGAGYIGSHMVDRLVEHGTDVVVVDNLVTGHRAAVNAAKFYQGDLRDADFLNHVFDTEDIEAVVHFAAFSIVPESMSKPLKYFDNNTGGMITLLETMQAHDVKQIVFSSTAATYGTPKQIPIKETDPQLPINPYGASKLMMEQIMHWADVAYGIKFVALRYFNVAGAKPDGSIGEDHGPETHLVPIILQVAQGKRDELKIFGDDYNTPDGTNVRDYVHVVDLADAHILALKYLAAGNDSNAFNLGSSTGFSNKQMLAAAREVTGQPIPAKLAPRRPGDPDSLVAASDKARDVLGWQPNYDVNDIIETAWAWTQKHPNGYDDR-- 163782189 ----RVLVTGGAGYIGSHMVKLLGERGYEVLTYDNLSTGHDWAVLYGRLVVGDLAYKDKLREVFGEFKPDAVMHFAAYIVVPESVREPLKYYRNNVVNTINLLEVMEEFGVDKFIFSSSAAVYGIPEKIPVSEGAPLNPINPYGETKATVERILRDLSNSKDFRYVSLRYFNVAGADPEGKIGFAYPNPTHLIIRAVKTAKGEFERLEIYGTDYPTPDGTCIRDYIHVVDLADAHIVALEYLLEGGQSDVFNCGYGHGYSVKEVVETVKRVTGRDFKVVEAPRREGDPPVLVADSKKIRERLGWEPKYDLKFIVKTAWEWEVK---------- 241202962 MDAPRVLVTGGAGYIGSHTAKLLRSEGIEPVVYDNLTTGNRSSVRWGPFVEGDVLDTSCLIEVIEKYAPDAVIHFAASAYVGESVENPAKYYNNNVCGALSLIDACRQTGLQNVIFSSSCAVYGVPSVLPIDETLPKAPINPYGKTKLIFEHMLADYAAAYGLRYVALRYFNACGADPDGELGEWHVPETHLIPRALLAAAGRIPHLEIFGDDYDTPDGTCIRDYIHVADLARAHVQAFTHLAKGGANLAVNLGTGRGYSIREVLRVIQETTGCEVPVVIHPRRPGDPPSLYADASLARETLCFQPRYSLETIVRTA---------------- 167765804 ----KILVLGGAGYIGSHTVYELIDAGEEVVIIDNLETGHIEAVPKAKFYKGDLRDKDFVDSVLDQEDIDAVIHFAANSLVGESMVNPLKYYDNNLCGTKTMVQSLVEHGIDKIVFSSTAATYGEPEKVPIVETDRTEPTNTYGETKLSMEKMFKWVGRAHGLRYVSLRYFNACGAHVSGQIGEDHNPETHLIPLILQVPNGKREAISIFGTDYDTKDGTCVRDYIHVTDLAQAHILAVKYLMEGNESNIFNLGNGVGFTVKEVIETARKVTGKPIKAVEEGRRAGDPAVLIASSEKAKNILGWKPEHDLEEIIASAWKWHSSHPQGY----- 83589532 ----KVLVTGGAGYIGSHVVKALGERGYRVLTYDSLVTGHPWAVLYGDLVVGDLLDAAKLEAVIRDFRPDAVMHFAAHIVVPESVAQPLKYYINNVQGTLNLLACMQKSGVNKLIFSSSAAVYGIPERIPVPEEAPLHPINPYGHSKAMVERILQDLSAAGGITYVSLRYFNVAGADRDGRIGEGKEDATHLITLATRTAAGKRPYLSVFGTDYPTPDGTCIRDYIHVEDLAAAHVLALEYLLDGGKSEVFNCGYGRGYSVLEVIAAAKKVTGVDFPVRYEGRRPGDPPALVADARKIRERLGWVPAYDLEGIIYSAWQWERK---------- 257468983 -----ILVCGGAGYIGSHVTRALIDSGEEVIVLDNLQTGHVDAVHEAKLVLGDLRDDEFMERVFKDNKIDGVIDFAAFSLVGESVEEPLKYFENNFYGTLCLLKAMKKYNVNKIVFSSTAATYGEPENIPILESDATFPTNPYGESKLAVEKMLKWCDKAYGIKYTALRYFNVAGAHPTGEIGEDHSPESHLIPIILQVALGKREHIGIYGDDYPTADGTCIRDYIHVMDLADAHILALKRLNNGGESEIFNLGNGEGFSVKEVIEVARKVTKHPIPAIVSPRRAGDPAKLVASSDKAMKELKWKPKYTLEKIIDTAWTWHKNHPNGYED--- 229553677 ----TIAVLGGAGYIGSHTVKQLLADGEDVIVLDNLITGHRKAVPRARFYQGDIRDYHFLSQVFSQEKIDGIVHFAAFSIVPESMKDPLKYFDNNTGGMITLLEAMNQFGIKKIVFSSTAATYGEPKQVPIKETDPQVPTNPYGESKLAMEKIMHWADVAYGLKFVALRYFNVAGAMPDGSIGEDHHPETHLIPIILQVAAGTRTGLQIYGDDYPTKDGTNVRDYVHVVDLADAHVLALKYLNAGNPSSAFNIGSAHGFSNMEILNAARKVTGQKIPATVGPRRAGDPSTLVASSEKARDILGWKPNYDIDKIIETAWNWHENHPEGFGDRN- 163797707 ----RILVTGGAGYIGSHACKALACTGHEPVVLDNLSQGHRSLVRWGPLEIGDIADASCLDSVFRRHRPDAVMHFAAVASVGESVGNPGLYYRNNVGGTLNLLDAMRRNGVPTLVFSSSCAIYGSPDAGPIREDQSPKPVNPYGASKLMAERILSDFDAAHGLRSVSLRYFNAGGADPEGEAGESHDPETHAIPLLLMAASGRVAQFDVFGTDYPTHDGSAIRDYVHVSDLATAHVSALEHLLRGGSSMTVNLGTGSGTSVMQLIDAVERVVGRSVPRRLQPRRPGDPPILVAATDMAGSLLGWRPRVELDDMISSAFAW------------- 296442901 ----KILVVGGAGYIGSHAVKQLTENQIDVIVIDSLETGHIESVPSNPFYQVDIRNKSAVKEILEKEKVDGVIHFAANSLVGESMSNPLKYYNNNVGGTESLLEALVETGVKYIVFSSTAATYGEIATMPITEDMTTCPTNTYGETKLAIEKMLKWTHGAHNLNYVCLRYFNVAGADFSGTIGEAHTTETHLIPLILQVPLGQRSHITVFGQDYPTEDGTCIRDYIHVTDLVNAHILALKYLMNGGESNIFNLGSNSGYSVLEMIEAARKVTGHPIPMQIGERRVGDPALLIASSEKAKRVLGWEPQYTIEEIIASAWKWHQAHPHGYKE--- 160933120 -----ILVTGGAGYIGSHTCIELLEAGYQVVVVDNLCNSSKKSLKELTFYQDDLLDSEALDAIFQRESIDAVIHFAGLKAVGESVQKPLEYYHNNLTGTFILLEKMKKYQVKNFVFSSSATVYGSPKSVPIREDFPLHVTNPYGRTKLILEEVLTDHTADPAFNVILLRYFNPIGAHRSGRIGENPKGPNNLLPYITQVAIGKLPKINVFGDDYDTPDGTGVRDYIHVVDLAKGHVKAIEKLKENPGVEIYNLGTGIGYSVLDIIHNFEKACGRKLPYEVTARRPGDIAECYADCSKAKKELGWEAQYTLKDMCEDSWRWQKNNPNGYED--- 28210582 -----ILICGGAGYIGSHMVARLLEEKEDIIILDNFQKGHRKAILGGKVYKGDIRNKALLDKIFNENSIEGVIDFAASSLVRESVEKPLEYFDNNIGGTIVLLKSMIEHNVKNIVFSSTAATYGEPENIPIREEDKTNPTNPYGESKLAVEKILKWCDKAYGLKYTVLRYFNAAGAYDTGKIGEDHRPETHLIPIIIEVALGKRDKIQVFGNDYNTKDGTCIRDYVHVMDLTEAHLLAMNKLKNGGKSGIYNLGNGEGFSVKEVIDMVQKVTGRKIKWEVANRRKGDPRVLIASSEKAKTQLGWHPKYSLEKIIETAWKWHKNNPDGY----- 261855689 ---QSILVVGGAGYIGSHMVKYLDRAGYRVVVLDNLSTGHADAVRYGELIVGDMADSELLDRLFRSHRFDAVMHFAAFSLVGESVGNPGKYYRNNVANTLNLLDAMVRHQVRHFVFSSTAATFGEPQSDRIDESHPQKPINPYGRSKLMVEHLLADYDAAYGLKSVCLRYFNAAGADPEGELGERHNPETHLIPLVLQAASGRRSHIHVFGTDYDTPDGSCLRDYIHVQDLCSAHQLALQWLQARQQSQQFNLGNGDGYSVLDVIETARTVTGQQIPVLIEDRRAGDPARLIADATFAHQHLGWKPEYPLEDMIAHAWHW------------- 116618170 -----VLVLGGAGYIGSHMVKRLVEAGRDVVVVDALFTGHRAAVPAATFYQVDIRDKAELSAVFDKENIEQVVHFAAFSIVPESVANPLKYFDNNTSGMITLLEVMKAHDVKQIVFSSTAATYGNPVHIPIKETDPQNPINPYGESKLMMEKIMNWSDQADGVKWVALRYFNVAGAAEDGTIGEDHNPETHLVPIILQAGLGQRDYIEMFGDDYNTPDGFNVRDYVHVLDLADAHILALQYLADGHESNQFNLGSATGFSVKEMVEAAREATGVDIPAKIGPRRAGDPDILIANSDKAREVLGWAPKYDVQDIIKTAWQWHQSHPKGYDERHE 256750684 -----VLVCGGAGYIGSHTVAALLRRNEEVVVVDNLVTGHKESVLGGKLYIGDLRDEAFLERVFTENEIEAVIDFAAFSLVGESVEEPFKYYENNVCGTLSLLKAMKKHNVNKIVFSSTAATYGEPERIPIEEEDRTNPTSPYGETKLAVEKMLKWADSAYGIKYVALRYFNVAGAIETGEIGEDHSPETHLIPIILQVALGKREKIMIYGDDYPTKDGTCIRDYIHVMDLADAHLLALDKLRKDNNSAIYNLGNGEGFSVKEVIEVARKVTGHPIPAEVAGRRPGDPAVLVASSKKAIEELGWVPKHSLKEIIESAWMWHKNHPNGFKR--- 154685647 -----ILVLGGAGYIGSHAVDQLLNKGFKTIAVDHLQTGHRKAVHEAVFYHGDIRDKPFLRSVFAKENVTGVLHFAAQSLVGESMKDPLSYFNNNVYGTQITLEVMQEFGVKHIVFSSSAAVYGEPEKVPITEDMPEKPESAYGESKLMAEKMLKWCETACGIKYVSLRYFNVAGAKTDGSIGEDHHPETHLIPILLQTALGQREEVLIFGTDYDTKDGTCIRDYVHVSDLIDAHILALEYLQNGGDSGIFNLGSSSGFSVSEMAEAARQVTERDIKVRAAARRPGDPSTLIASSDKARNILGWNPKTDISEIIASAWNWHRQHPNGYKDK-- 288960454 ---KTILVTGGAGYVGSHCVAELLDHGHRVVVFDNLRQGHAAAVPEAAFVRGDLADEAALARLFGQWRFDAVFHFAALSVVGESMRDPHAYLHGNSVTSLNLIRAAVKAGVMKLVFSSTANLFGAPKRIPIDEDEAIDPGSPYGESKFIIERALHWADRCHGLRSACLRYFNAAGAHPNGKLGEDHSPETHLIPLVLDAATGKRPHIEIFGDDYDTRDGTCIRDYIHVCDLADAHLRVLDALEER--SVRYNLGNGTGYSVREVIASVERITGRSVPVKIGPRRPGDLPVLIASSERIRRDLGWRPRFDLDSIIGSAWAWRSAHPNGF----- 15678659 -----ILIVGGAGYIGSHVNKFLSERGYETLILDNLTRGHRDFVKWGEFIEGNLGDRRLLDRTFETHDVDAVMHFAAFTDVGESVLKPGRYYHNNVVNTINLLDSMVDHGVRDFIFSSTCAVYGNPMEIPISEEHPLNPISPYGRSKLMVEEILGDYRDAYGLNYVSLRYFNAAGADPEGEVGELHNPETHLIPIVLDVALGLRDAVRIFGTDYPTPDGTCVRDYIHVMDLADAHWRALRY-LEGGESGVFNLGNGNGFSVMEVIETCREVTGASINAVEDDRRPGDPPELVGSAGRARRVLGWRPEFTLEDIIETAWNWHSR---------- 15896213 -----ILVCGGAGYIGSHTVHELIESGKEVVIVDNLQSGHLQAVKKAKFYKGDIRDSEFLDKVFSENKIQSIIHFAANSLVGESMEKPLLYFNNNVYGMQILLESMVKHNIKNIVFSSTAAVYGEPKKIPILESDDTNPTNTYGETKLTMEKMMKWSSKAYGINYVALRYFNVAGALDDGSIGEDHNPETHLIPLILQVPLKKRPFITVFGTDYPTPDGTCIRDYIHVIDLAAAHIKAVEYLENGGKSSIFNLGNGVGFSVKEMIDAAREVTKQNIKVVLGERRSGDPAQLVASSQKAKEVLGWSPKFTVKDIIKDAWKWHETHPNGFD---- 300945837 ----NVLVTGGAGYIGSHGVLALQRAGYNPIVLDNLVYGHQEDVLKVELIQGDTNDRLLLDQLFSSRKIDAVMHFAAYAYVGESVTNPEKYYRNNVVGTLTLLGAMNSAGIDKFVFSSTCATYGVPQIIPIPENHPQNPISPYGASKLMVERILADFDTAYNLRSVSFRYFNAAGADPDGLLGEDHDPETHLIPLTLFTALGKRDHISIFGTDYPTPDGTCIRDYIHVSDLADAHVLGLQYLLSGGKTEFFNLGNGKGFSVQEVIESAREITGREIKTVECDRRPGEPPSLVGTSEKAQEILGWNPQYSIHDIISHGWQWHQK---------- 116334467 ----TVLVLGGAGYIGSHAVDRLVEKGYDVAVVDNLVTGHRAAVAKARFYEGDVRDQAFLDGVFDKEDIEGVIHFAAFSVVPESMKKPLKYFDNNTAGMVSLLEVMNKHNVKKIVFSSTAATYGEPKQIPIKETDPQVPTNPYGESKLMMEKIMRWSDEAYGIKFVALRYFNVAGAKEDGSIGEDHHPETHLVPIILEVAAGERDQLAIFGDDYPTKDGTNVRDYVHVVDLADAHILALEYLKAGHDSDAFNLGSSTGFSNKEMLEAARKVTGKEIPAKMAPRRAGDPSTLIAASDKARKTLNWQPRFDVEDIIRTAWNWKQTHPAGYNDRNE 254443159 FRHMNVLVVGGAGYIGSHCVRQLEVAGHTPIVLDNLVKGHKESIPEGVFHQADLADSSAVVKILKDEAIDIVMHFAAFIEVAESMQDPLKHYENNVAKTISLLQSMREAGVNKFVFSSSCTVLGEEAKPPFTEDMPLRPISAYGQTKADVEVILDYCTKAYGMSAAIFRYFNASGAAEGGVIGEDHDPETHLIPIAIQVALGQREKMLVFGDDYPTPDGTCLRDYVHVDDLSRAHIAAFPQLEETGKLLTYNLGTGTPASVLEIIKAVEEVSGKPIPYDLAPRRAGDVPSAYADSAKAKSDLDWTPKYDIRSIVESAWAWHQSHPNGYDK--- 150019231 -----ILVCGGAGYIGSHTVHELVKQNKDVIIVDNLQSGHMKAVPKAKFYKGDIRDSEFLDKVFSENKIDAIIHFAANSLVGESMVKPLLYFNNNVYGMQILLESMVKHDIKNIVFSSTAAVYGEPKKIPISEDDETNPTNTYGETKLTMEKMMKWVSKANGINYVSLRYFNAAGALEDGSIGEDHSPESHLIPLILQVPLGKREAITVFGEDYDTPDGTCIRDYIHVLDLADAHIKAVEYLQSGNKSNIFNLGNGIGFSVKEMIDSAKEATSEEIKVVVGERRAGDPARLIASNEKAQKILGWTPKYDVKAIIKTAWTWHKNNPNGYDDK-- 295693280 ----KVLVIGGAGYIGSHAVRELVKEGNDVVVLDALYTGHRKAVPKAKFYQGDIEDTFLVSKILRDKKIDAVMHFAAYSLVPESVKKPLKYYDNNVAGMISLLQAMNDASVKYLVFSSSAATYGIPKKLPITEDTPLNPINPYGETKMMMEKIMAWADKADGIKYTALRYFNVAGAASDGTIGEDHAPETHLIPNILKSAISGDGKFTIFGDDYDTKDGTNVRDYVQVEDLIDAHILALKHMMETNKSDVFNLGTAQGYSNLEILNAAKKVTGIDIPYTMGPRRGGDPDSLVADSTKARTILGWKPKHSVDDVIATAWNWHKSHPKGYEDK-- 260437502 ----TILVLGGAGYIGSHTVYALIEKGVDVVVIDNLETGHIEAVHEARFYKGDIRDRAFVDSVLDKEKIDAVIHFAANSLVGESMVNPLKYYDNNVNGTKVLLQSMVAHGLDKIVFSSTAATYGEPEKVPILETDRTEPTNTYGETKLAMEKMFKWTDRAHGLKYVSLRYFNACGAHVSGKIGEAHSPETHLIPLILQVPLGQREYISIFGDDYDTSDGTCIRDYIHVTDLAQAHILAVDYLMKGNESNIFNLGNGVGFTVKEVIDTARKVTGHEIPARIAERRAGDPARLIASSDKARQVLLWKPEHDLEEIISTAWNWHKNHPNGYN---- 297205241 MKGMRVLVTGGAGYIGSHTVNALLEDGNDVVVLDNLYTGHRQAVKKAKFYHGDVLDTNLVTNILMNEKIDAVIHFAAYLLVGESVQEPLKYYHNNVSGMISLLKAMKTAGVKNLVFSSSAATYGVPKDLPIKETAPTNPINPYGETKLMMEKIMRWTDQADGIKSIALRYFNVAGASLDASIGEDHAPETHLVPNILLAAKNGTGNFTIFGNDYNTPDGTNVRDYVHVVDLAQAHVLALHYVVKNQKSDIFNLGSANGFSNLEILQSAIKVTGEDIPYTIGPRRGGDPDSLVADSTKARTVLNWQPQHDIDDIIASAWKWVQIHPNGFSE--- 291534187 -----VLVCGGAGYIGSHMVYELINNGEDVVVIDNLETGHLASVHEAKFYKGDIRNMADLDKVFTENDIEAIIHFAANSLVGESMQVPLKYFNNNTCGMEVLLEAMVKYDVKKIVFSSTAATYGEPKRVPILETDETNPTNPYGESKRMMEKMMKWVDMANGIKYVSLRYFNVAGAVEDGHIGEAHTTETHLIPIILQVPLGKRDHITVFGTDYPTADGTCIRDYVHVMDLADAHMKALNYLREGNESNIFNLGTGEGFSVKEMIDAAEKATGLKIKVEYGQRRAGDPARLIASSEKAQKILNWHPKYSMEEIIKTAWKWHQAHPNGYED--- 125717843 -----ILVLGGAGYIGSHMVDRLIAAGEDVVVVDNLVTGHRAAVPQAVFYEGDLADKDFMRDVFAKHSIDAVIHFAAFSLVAESMVDPLKYFDNNTAGMVSLLEVMQECGVKNIVFSSTAATYGIPEEVPILETTPQKPINPYGESKLMMETIMRWADKAYGIKFVALRYFNVAGAKPDGSIGEDHGPETHLLPIVLQVAQGKREKIAVFGDDYDTPDGTNVRDYVHPFDLADAHILAVEHLRAGQPSDAFNLGSSTGFSNLQIVEAARKVTGHPIPLEIAERRPGDPDTLIASSEKARKVLGWQPKFDIETIIETAWKWHFSHPNGYDDRE- 84489882 -----ILIVGGAGYIGSHVNKVLNERGYETIILDNLSYGHEESVKWGTLCKCDLANINEVDDIFTKYDINAVMHFSSFIDVGESVRNPEKYYNNNVVNTMNLLNVMLKHDVKKFIFSSTCATYGIPQKIPLTENHPQNPINPYGWTKLMVERILKDYDTAYGLKSVILRYFNASGADESGIIGEWHNPETHLIPLILDAAMGKREDIKIFGTDYDTPDGTCIRDYIHVTDLADAHILSLEYLNKNNQSNQFNLGNGQGFSVREVIESVKRVTGRNFNVTQTQRREGDPAILIGSSKKAKDTLGWDPQYNIDKIIETAWNWHQK---------- 120554631 ----KVLVTGGAGYIGSHVVRQLAAAGHDIVVFDNLSTGYRWAVTAGELVVGDLADEQALSAVFSQHKFEAVLHFAANIVVPESVSNPLKYYSNNTRNTLNLLKMVEHYQVPYMVFSSTAAVYGMPEQTVLTEDLPLAPINPYGASKMMSERMMMDLAAASSLNYVILRYFNVAGANPDGLLGQATPEATHLIKVACECVTGQRDGMSVFGTDYETRDGTCVRDYIHVEDLAKAHVMALDYMAKGGESQVLNCGYGRGFTVREVIDVVKAESGVDFLVQETGRRAGDPAALMADNTRIKNVLGWQPDFDLNTIVRTALAWEK----------- 222148124 ---KNILVVGGAGYIGSHACKALSKAGYTPVVYDNLVHGHADSVKWGPFEQGDIADGARLDAVLSQYQPECVMHFAAFAAVGESVTDPAKYYNNNIHGSVCLLDAMRRNGVDTIVFSSTCATYGEVKSLPIVEEAPQSPVNPYGFSKLVIEQALKDYGHAYGLKWVAMRYFNAAGLDPEGDLGERHDPETHAIPLAVLAAM-RQTEFNVFGTDYDTPDGTAVRDYIHVCDLADAHVLAIDYLQKGGESGAFNLATGKGTSVKDLLEAVSEAVGAQVPIKYAPRRAGDAPALYASGDKARSLLGWTPRYDINLIVKTAADWFKAHRN------- 78043738 -----ILVTGGAGYIGSHIVRQLCLKNEKVLVVDNLSKGHKKAVTRAKLIVGDFGDENLLLEIFKKYDIKAVIHMAAQSLVGESMSQPEKYFEENISKTLSLLKVMLKANVKKMVFSSTAAVYGEPEKWPITEDFPQKPTNVYGYSKLVIEQCLEWYRQIHGFNYVSLRYFNAAGADPSGDIGEDHNPETHLIPLIFKVILGEQEELTVFGTDYPTPDGTCIRDYIHVNDLAEAHILALNK-LNKDESGVYNLGNQKGFSVKEIIKVAEEVTGVKVKVRYGQRRPGDPAVLVASSEKIQKELNFTPKFDIKTIVQTAWEWHKNNPRGYDR--- 227528591 -----VLVLGGAGYIGSHTVDRLVEQGQDVVVVDSLVTGHKAAVPEAKFYQGDLADKPFMRKVFSENEIDAVIHFAAFSLVAESMKDPLKYFDNNTAGMVKLLEVMHEFNVNYIVFSSTAATYGIPEKMPIKEDDPQNPINPYGESKLMMEKIMRWADQAYGVKFVALRYFNVAGAKPDGSIGEDHHPETHLLPIVLQVAQGKREKLQIFGNDYNTPDGTNVRDYVHPFDLADAHILAVDYLRKGNESNAFNLGSSTGFSNLEIVEAARDVTGKPIPAEMAPRRGGDPDSLIACSDKAREVLGWKPQFDIHKIIETAWAWHSTHPNGYDDK-- 86609640 ----RLLVTGGAGYIGSHTCKALAASGHLPITYDNLVYGHPWAVRWGPLEIGDLADRQRLDQVIQHYRPEGVIHFAAYAYVGESVKDPGKYYRNNVAGSLSLLEAMRDHGIPYIVFSSTCATYGVPERIPIPESHPQRPINPYGQSKLMVEQILQDFQVAHGIRSISLRYFNAAGADPDGEIGEAHDPETHLIPLVLEVAGGQRLHITVFGDDYDTPDGTCIRDYIHVTDLAQAHVLAIEALASGRPIQPYNLGNGRGFSVKEVIATAAAVTGKRIPVQMGARRPGDPPRLVGDAGAIFRDLNWKPLYDLAEIIQTAWRWHQKRP-------- 301056730 -----ILICGGAGYIGSHAVKKLVDEGLSVVVVDNLQTGHEGAITEGKFYNGDLRDKAFLRDVFTQENIEAVMHFAADSLVGVSMEKPLQYYNNNVYGALCLLEVMDEFKVDKFIFSSTAATYGEVDVDLITEETMTNPTNTYGETKLAIEKMLHWYSQASNLRYKIFRYFNVAGATPNGIIGEDHRPETHLIPLVLQVALGQREKIMMFGDDYNTPDGTCIRDYIHVEDLVAAHFLGLKDLQNGGESDFYNLGNGNGFSVKEIVDAVREVTNHEIPAEIAPRRAGDPARLVASSQKAKEKLGWDPQYNVKTIIEHAWNWHQKKPNGYEK--- 297616454 -----ILVTGGAGYIGSHVVKALGQAGYDVVVYDNLSTGHEWAVLYGKLIRGDVRDQEALDKVFKQHSIDAVMHFAAHIVVPESVRQPLKYYLNNVTGSLSLLEAMKRNGLRKFIFSSSAAVYGIPDKIPITEENRLDPINPYGQTKAVVEKVLADMAYAQDLDYVALRYFNVAGADPQARIGEGKEDATHIITMCVRTAAGLRPCLEIFGTDYPTPDGTCIRDYIHVDDLAHAHLLALEHLLAGGKSRVYNCGYSRGYSVLQVVEAAKKVTGVDFPVKYSGRREGDPPALVADATKLQAELGFTPRYDLDHIIETAWRWEQKRIR------- 52082565 -----VLVLGGAGYIGSHAVYQLIDRGENVVVVDNLETGQRQAVPDAIFYEGDVRDRDFLRTVFEKERIEEVIHFAASSLVGESMKDPLKYYDNNVSGTQVLLQAMLEHDVKKIVFSSTAAVYGEPESLPITEDMPTMPTSTYGETKLIMEKLMKRTEEAHGISFVSLRYFNVAGARETGEIGEDHRPETHLVPLILQTALGQRPHITIFGDDYDTPDGTCIRDYVHVEDLIDAHLSALDYLRKGGKSDIFNLGSSEGFSVKEMIDAARKATGKDITAEIGKRRAGDPSILIAGSHKAKQVLGWRPRTSVAKIIEDAWKWHSSHPNGYRKE-- 304314773 -----ILIVGGAGYIGSHVNKFLSERGYETLILDNLTKGHREHVKWGELIEGDLGDRRLLNRILTEYDVEAVMHFAAFTDVGESVQKPGDYYRNNVVNTMNLLDSMLKNGVGRFVFSSTCAVYGNPSEIPITEEHPLNPISPYGRSKLMVEEILRDYSDAYDLSYVSLRYFNAAGADPEVEIGELHEPETHLIPIVLDAAMGLRDSVRIFGTDYPTPDGTCIRDYIHVMDLADAHWRALEY-LEGERSGVFNLGNGNGFSVMEVIETCREVTGASIRVVEDQRRPGDPPELVGSSERAREILRWSPVFTLEEIIKTAWNWHR----------- 310827640 ----KVLVCGGAGYIGSHVVRALLDKGYGVVVLDNLSTGHRQSVPEGAAEVGDIRDAACLERLFLRHEVDCVMHFCANSLVGESMEKPIEYYDNNVYGTLCLLRSMVNNDIKHFIFSSTAATYGEPERLPIDEDTPKHPTNTYGETKLAVEKMLHWMEVAYGLHYKVFRYFNASGAHPSGEIGEDHAPETHLIPLILKTAQGIRDKIYVFGDDYDTPDGSCIRDYIHVMDIAEAHILGMEDLVKSETSDVYNLGNGNGFSVLEVIEKVKAVTGKDFEVEITDRRAGDPGVLIASSEKAQKALGWSPNSSLEYIIETAWKWHQGHPEGYG---- 87309300 ----NVLVSGGAGYVGSHTARLLSRQGHDVWIYDNLSQGHRGAVPADRLIVGDLHEGERLTGLMRELKIEAVMHFAASALVGESVTDPAKYYRNNIVATLSLLDAMRAADVRRIVFSSTCATYGEPDQMPITESTKQSPVNPYGFTKLCIEHALADYSHAYGFGYAALRYFNASGASPDGDIGEDHDPESHLIPIVLQVALGQREAISIFGDDYPTPDGTCVRDYIHVDDLASAHLTAMQK-LEPGVALRLNLGTGEGVSVRQVIQACRDVTGREIPEKIAPRRPGDPPELVADASLAAEQLGWRAKYDIRETVKTAWNWHVAHPNGYAD--- 149375104 ----KVLVTGGAGYIGSHVVRQLGEAGHDIVVFDNLSTGYRWAVTCGELVIGDLADEQAIADLFSQHRFEAVLHFAANIVVPESVENPLKYYRNNTRNTLNLLKAVEEHQVPYMVFSSTAAVYGMPEETVLTEDLPLAPINPYGASKMMSERMIMDLAAASSLNYVILRYFNVAGANPDGLLGQATPEATHLIKVACECVTGKRDGMSVFGTDYDTRDGTCIRDYIHVEDLAKAHVMALEYMAGGGESRVLNCGYGRGFTVREVIDVVKRQSGNDFPVQETGRRAGDPAALMADNSRIKKVLGWQPDYDLDTIVGTALAWEK----------- 295398886 -----ILVCGGAGYIGSHAVHRLIEKGEQVIVVDNLQTGHREAVPEAIFYQGDIRDRAFLRDVFRKHEIDAVIHFAANSLVGESMQEPLKYYDNNVYGTQVLLEVMNESSVKQIVFSSTAAVYGEPKQIPIVETDPTMPTNTYGETKLAVEKMMKWVEQAYGIRYISLRYFNVAGA-YGTLIGEDHDPETHLIPLILKVPLGQRDEIHIFGDDYDTHDGTCIRDYIHVLDLVDAHILAVEKLRSGAESNVYNLGNGNGFTVKEVIEAARKVTGHPIPARVMARRPGDPAKLVASAEKAKRELGWEPKYSIIDIVASAWEWHQAKPYGY----- 299821933 -----ILVLGGAGYIGSHAVDQLIEKGYAVAVVDNLQTGHEKAVHEATFYQGDIRDKAFLESVFEKENVEGVVHFAANSLVGESMEIPLTYLNNNVYGTQIVLEVMEKFGVKAIVFSSSAATYGEPEQIPITEDMRTNPESTYGDTKLIMEKMMKWCDIAYGIKFVALRYFNVAGAKADGTIGEDHDPESHLVPIILQVALGQREELSIFGDDYPTEDGTCIRDYVHVVDLIDAHILALEYLKKGGESNIFNLGSSSGFSVQQMLEAAREVTGKEIPAKVVPRRKGDPSTLIASSDKARKILGWEPKTNVSEIIASAWNWHQSHPHGFEDK-- 168333305 ----KILVVGGAGYIGSHAVRNLLREGFDVAVVDSLQTGFRASVPEGPFYQVDIRDTAALEEVFEKEQVDGVMHFAANSLVGESMEKPLKYYSNNVGGAESLLSAMIKFGVKYIVFSSTAATYGDVKIMPITEETPTAPTNTYGETKLAIEKMLKWTSVAHDLNYVCLRYFNVAGADAEGGIGEAHNPETHLIPIILQVPRNQREYITIFGDDYDTADGTCVRDYIYITDLIAAHILAMKYLMAGGENNIFNLGSSTGYSVNEMIEAAREVTGHPIPLVYGERRAGDPASLIASSAKAKEILGWEPKTTVKEIISTAWAWHKAHPNGYEKE-- 296532201 ----RFLVTGGAGYVGSHVVLALVARGDEVVVLDDLRQGHRAAVPAGTEVVADLADRARLAEVFATGPFDGVLHFAALSLVGESMRDPLRYLSENLTNTLNLADAAIRAGCLRFVLSSTAALFGFPDRVPIDESATLLPASAYGESKLMAERGLDWAGRVHGLRSAALRYFNAAGADPGGRLGEDHNPETHLIPLAINAALGLGPELTVFGTDYPTPDGTCVRDYVHVTDLADAHLRVMDRLCAGAPSARYNIGNGNGYSVREVIDMVERVTGLKVPHRIGPRRPGDPAVLVASNAKLRAETGWTPRHSLEEIVRTAHAWRAAHPRGYDDR-- 308175611 -----ILVTGGAGYIGSHTCVELLNSGYEIVVLDNLSNSSPEALERVKFYEADLLDRDAVQRVFAENEIEAVIHFAGLKAVGESVAVPLRYYHNNLTGTFILCEVMQAYGIKKIVFSSSATVYGVPETTPITEDFPLSATNPYGQTKLMLEQILRDHKADPEWSIALLRYFNPFGAHPSGRIGEDPNGPNNLMPYVAQVAVGKLEQLQVFGNDYPTKDGTGVRDYIHVVDLAEGHVRALEKVLDTTGADAYNLGTGRGYSVLEMVKAFEKVSGKAVPYRFAARRPGDIAACFADPAKAKAELGWEAKRGLEEMCADSWKWQSSNVNGYQK--- 257466170 -----VLVCGGAGYIGSHVVKALLDQGEKVVVIDNLITGHVDAVERAELLLGDLRDEEFLNHAFEKHSIDGVIDFAAFSLVGESVEEPLKYFENNFYGTLCLLKAMKKYKVNHIVFSSTAATYGEPENIPILETDTTFPTNPYGESKLCVEKMLKWCDKAYGIKYTALRYFNVAGAHASGEIGEAHTTETHLIPIVLQVALGQRAKIGIYGDDYPTQDGTCIRDYIHVMDLADAHILALNRLRKGGDSTVFNLGNGEGFSVKEVIEVCRKVTGHTIPAETSPRRAGDPAKLVASSEKAMHELKWTPKYSLEKIIETAWNWHKSHPNGYED--- 257869587 -----ILVLGGAGYIGSHAVDQLITKGYQAVVVDNLLTGHRQAIPEAVFYEGDIRDKAFLQSVFEKETIDGLIHFAASSLVGESVEKPLKYFNNNVYGMQVLLEVMKDNNVDHIVFSSTAATYGEPEQSPITEETPTNPKNPYGESKLMMEKMMRWCDEAYGMKYVALRYFNVAGAKSDASIGEDHDPETHLVPLILQVALGQRQELAIFGDDYDTPDGTCIRDYVHVEDLCNAHILALEYLKKGNPSNVFNLGSNNGYSVKEMLDAARTVTQKDIPAKVAPRRAGDPGTLVASSDKAKAVLGWEPSYDVKQIIETAWNWHVSHPEGYQD--- 304394336 MTNAPILVTGGAGFIGSHTCKALAALGYKPITLDNLSTGNAGSVMWGPLIEADLQDTERVAATLMSNNIDTVIHFAASAYIGESMTDPGKYYRNNVAGMVSLLEACTVAGVDKIIFSSSCATYGIPTTLPIRETEQQKPINPYGRTKLIGEEMLADYAAAYGLRYVILRYFNACGADPDGELAEWHDPETHIIPLALRAAAGTNDKLLIYGTDYDTPDGTCIRDYIHVCDLAVAHILALEHLCDGGENLAVNLGTGTGLSLLDVVSEIEKATHRTVPVQLNARRPGDPPCLYADASLARETLGFVPQMSIESIVRTA---------------- 160879315 ----KILVLGGAGYIGSHTVYELIAANEQVVIIDNLETGHKEAVPDATFYQGDIRDRAFLDSVLEKEDIDAVIHFAANSLVGESMVNPLKYYDNNLCGTKVLLEALVVHGIDKVVFSSTAATYGEPERVPIVETDRTEPTNTYGETKLSMEKMFKWTSVAHNLRYVSLRYFNACGAILSGQIGEAHNPESHLIPIILQVANHKREAINVFGTDYETKDGSCVRDYIHVLDLAQAHILAVKYLMAGGESNIFNLGNGMGHTVLEVIESARKVTGEEIPVVFADRRAGDPATLVASSEKAKAMLGWKPQHDIEEIIESAWNWHKNHPNGFN---- 13476535 MSQPAILVTGGAGFIGSHTCKLLAAAGYLPVAFDNLCRGNRKSVAWGPLVVGDIRDRDALRAAIGTYRPISVIHFAALAYVGESVQEPADYYSTNVTGTIAVLDAARAHAIDNIIFSSSCATYGVPEALPVRETSLQNPISPYGRTKLMGEQIIGDYASAYGMKFAILRYFNACGADPDGELGEWHSPETHLVPRVLMAASGIIDEIEVFGTDYDTPDGTCVRDYIHVSDLARAHLKALQHLEGGGQNLAVNLGTGRGVSIREIIQAVGRITSRPVPAVFRDRRPGDPAELYADPGKARAHLGFVPQLSIDTIVRTA---------------- 239809499 -----VLITGGAGYIGSHNVKYLQSKGYQVVVLDDLSGGFAEAVSGATLVRGSIGDAALLEQVFSEHRVSAVLNFASFIQVGESVSEPSRYYLNNVGNTLVLLDAMVRHGVKRLVFSSTAAIFGDPQYTPIDEAHPKQPINPYGRSKWIVEQLLQDYANAYGLHSVCLRYFNAAGADPEGQLGERHEPETHLIPLILQAASGRRGHITVFGEDYDTPDGTCIRDYIHVDDLAQAHWLALRYLEANERSAAFNLGNGNGYSIREVIDAVRRVTGRDFRVENGPRRAGDPAVLVADSRLAHEELGWQPQYQLDEIVRHAWNWELK---------- 269123106 ---KNVLVIGGAGYIGSHTVKLLKQSGYNAIIYDNLSKGHKEDILDVKLIIGDLGDREKLKEVFETEKIDVVMHFAAFIEVGESVTAPGKYYENNVAKVINLLNQMVESNIKNFVFSSTAATFGNPQSEKISETHPQNPINPYGSSKRMVEIILKDFEKAYGLKSVILRYFNAAGADMDGLIGESHSPESHLIPVILEAASGKRESIKIFGTDYETEDGTCIRDYIHVYDLAKVHIMGMEKMLDKNLSLEYNLGNGKGFSVRSIIDTVKKVTKKEFKVVEADRRPGDPAVLIADPTKLMTELKWVSEYSLDDIISSAWLWEQN---------- 152977625 -----ILVTGGAGYIGSHTCVELLNSGYEIIVVDNLSNSSEEAINRFPFYKEDVLNREALHAIFEENTIEAVIHFAGLKAVGESVEIPLTYYHNNITSTLVLCEVMEKHNVKKMIFSSSATVYGIPETSPITEDFPLSATNPYGQTKLMIEQILRDVVADPEWSVVLLRYFNPFGAHESGRIGEDPNGPNNLMPYVTQVAVGKLKELSVFGNDYPTKDGTGVRDYIHVVDLANGHVKALEKVLKTTGIDAYNLGTGTGYSVLEMVKAFEKVSGRKVPYKITERRPGDVAICYADASKAKRELGWEAKRGLEEMCADSWRWQVNNKNGYREEE- 225164413 ----NVLVVGGAGYIGSHCVRQLIAAGHRPVVLDTMVFGHPEAVAKDPFYTSNLGDEAAVGAILEKEKIDVVMHFAAYAYVGESVTDPLKYYFNNVCATLQLLRVMLAKGVNKFVFSSTCATYGIPQSMPIVETLPQAPINPYGQTKLDIENALKALAHAHGLSFAAFRYFNAAGAAEDGTIGEDHNPETHLIPLVIDAATGRRPNVQVFGTDYPTPDGTCLRDYVHVDDLSRAHIAVFDKLQKPGAALFYNLGTGTPTSVLEVIRAVEKVTGKKVPYVTGPRRAGDPPALYADSSKAIKELGWQIKFSIEPIVETAWKWHSAHPGGF----- 186684947 --KKKILVTGGAGYIGSHVVRQLGEAGYDVVVYDNCSTSSPASVLHGKLIIGDLVDTGHLYQVFAQHEFSAVLHFAASLIVPESVAHPLDYYANNTRNTLNLLRCCSVMGVNKFIFSSTAAVYGEVEENPVTELNPTQPINPYGRSKLMSEWLIQDYAAASSMRYVILRYFNVAGSEPRGLLGQMSPNATHLIRAACDAALKRKPEVRIFGTDFPTPDGTAIRDYIHVEDLATAHLDALDYLEKRGESQIFNCGYGQGYSVRQVIERVKAISGVDFPVIAAPRRPGDPACVEACADKIRRVLGWQPKHDLDEIIDTTITWE-KHKSNLARQK- 39997338 -----VLVTGGAGYIGSHVVRQLSEAGYTVVVYDNLSTGFPDALVHGERVTGDLSDTARLDALFVEYGFSTVLHFAASIIAPESVTAPLKYYGNNTRNTLNLLGACVKHGVERFIFSSTAAVYGIPDSGVAAEESATVPINPYGTSKLMSEWMLRDVCAAHGMRSVALRYFNVAGADPQARMGQRTPEATHLIKVSCQAALGLRDKVCIFGTDYPTPDGTGIRDYIHVEDLASAHLAALSYLEKGGESTRINVGYGSGSSVREVIDMVRRVSGVHFLAEEAPRRPGDPPSLVARADRARTLLGWTPRYDLETIVADAWRWEKK---------- 220935508 MSDKGILVTGGAGYIGSHVALMLAEAGERVVVLDNLSTGFREAVLHGTFVQGDVADRDLVAETLKTHDIDTVMHFAAHTIVPESVSDPLKYYRNNTCATRNLLESCRDAGVKHFVFSSTAAVYGIPEGGLAREDTPTAPINPYGTSKLMSEWMLRDLSAASNLRHVALRYFNVAGSDPAGRIGQSTKNATLLTKVACEAALGKRKELIIFGTDYPTPDGTGVRDYIHVTDLASAHVRALDYLRDGGESCTLNVGYGHGYSVREVIEAVERAHGAPLPVREAERRAGDPPQLIAVTDAIRQTLDWSPELDLDRIVETALAWERK---------- 297531513 -----ILITGGAGYIGSHTCVELLHAGYDIVVVDSFTNSKPEALRRFPYYQADLLERDALESVFAKHSIEAVIHFAGLKAVGESVAVPLLYYHNNITGTLVLLDVMRQYGVKNIVFSSSATVYGMPERVPIREDFPLRPTNPYGRTKWMIEEMLRDYVSDPSWSIALLRYFNPIGAHPSGRIGEDPNGPNNLMPYITQVAVGKLKELRVFGNDYPTIDGTGVRDYIHVVDLAIGHVKALEKVLAATGVEAYNLGTGRGYSVLEVVSAFERATGVNIPYKIVDRRPGDVAVCYADPTKAKRELGWIAARGIEDMCRDAWRWQSQNPNGYER--- 254512471 ----HILVTGGAGYIGSHACKALAQAGYTPVTYDNLVTGWQDAVKFGPFERGDLSDRARLDAVFAKYQPAAVMHFAALSQVGEAMSEPGRYWSNNVTGSLNLIQAAVDAGCLNFVFSSTCATYGEHDNVVLDETTPQHPLNAYGASKRAIEDILRDYQAAYGLNHVIFRYFNVAGADPDAEVGEFHQPETHLVPLMLDAIEGKRDGLTVFGTDYDTPDGTCIRDYVHVCDLVDAHVLGLNWLEQGKESRVFNLGTGSGFSVMEVIEHSKAVTNRAVPYTIGPRRAGDCTRLVSGSVRAEEELGWRPKRSLETMITDAWRWHQN---------- 298290573 MNAPRILVTGGAGYIGSHACKALAANGFRPVAFDNLSLGHRDAVRWGPFVHGDILDTQRLAEAMRAHGISAVIHFAALAYVGESVSIPARYYRTNVGGTLSLLEACRLAEVRHLVFSSSCATYGIPDHLPVSESAEQCPINPYGRTKLVAEEMLADEANANGLHFAILRYFNAAGADPQLELGERHDPETHVVPLAIMAALGQAGPLAIYGDDYPTADGTCVRDFVHVSDLAEAHVRALDHLLSGGHNLTANLGSGTGTSILQLIRAVERQMNCGVPIHVAPRRSGDPPALYADITRARDLLGFAPRHSLDAIVATAVRYH------------ 295111133 -----ILVCGGAGYIGSHNVRALLDRGSEAVVVDNLWTGHRPAVPDGPFYEGDVRDAALMDRIFSEHKIEAVLHFCACSLVGESVEKPLLYFANNVGGMQSLLEAMVRHGLRQIVFSSSAAVYGEPSRVPILEDDETKPTNPYGETKRVMERMMHWVGERHGIRYVSLRYFNVAGAWHDGSLGEDHRNETHLVPIILQVPLGRRDCVTVFGDDYPTPDGTCIRDYVYIEDLAQAHLMALDYLAKGGESRILNLGSGDGYSVMEMINAARRATGRDIPVKVGPRRAGDPARLVADSALAGRVLGWRPQTSMEDIIASAWHWHSAHPQGWGN--- 302338267 ---KKILITGGAGYIASHTNIECIASGYQPVIVDSLVNASKESVRRIPFYQCDLRDEAALRRVFEQEGPDAVIHFAALKAVGESVQEPLRYYDNNLTGSLSLFAMMKAFGVKNIVFSSSATVYGDPEKVPVTEDAPVSATNPYGWTKVMMEQILTDTARAEGWKSVILRYFNPVGAHPSGDIGEDPAYPNNLVPFVSQVAAGIREKVVVFGDDWPTPDGTGVRDYIHVVDLARAHTAALRYLEGSEGNAIFNIGTGRGYSVLEIIKAFEKACGKPIPYVIGPRRDGDVAEVLGDPSRANKELGWKAEYGLEEMVASAWNWQSKNPKGYRS--- 297582745 -----VLVCGGAGYIGSHAVADLLASGEQVVVVDNLQTGHRGAVDDGVFYQADLRDEEALERVFNSHNIESVMHFAADSLVGVSMNEPLAYYDNNVYGALCLLKKMKKHGVKRIVFSSTAATYGEPDEVPITEEMATNPTNPYGETKLAIERMMKWADEAHEIRYVVLRYFNVAGAHPEKDIGEDHRPETHLIPIILQVALGQREKIMVFGDDYDTPDGTCIRDYIHVTDLIQAHILALRYLRKGGTSDVFNLGNGSGFSVQEVIDTVETVTGKTIPRELAPRRAGDPAQLIASSGKAKQVLGWAPERDLATIIATAWDWHQRHPDGYGE--- 143313036 ----NILVVGGAGYIGSHMVKRLGAAGAEVVTVDNLVSGHRDAVLGGEFVHGDIADRGLLERLFASRRFDAVMHFASHIEVGESVRQPAKHYRNNVAHTLTLLEAMIAAKVERFIFSSTAAIFGTPEYTPIDEHHPRAPINPYGRTKNMIEQVLADLDHAHGLRAVCLRYFNAAGADPTGVLGERHDPESHLIPLTLQAASGRRPSIAVFGTDYDTPDGTCIRDYVHVADLCEAHWLALQSLMAGEASAQYNLGNGDGFSVLQVIDTVRQVTGRDFVVKHEARRSGDPPRLVADSGAARARLGWKPQFPLDTIVEHAWNFEQR---------- 292669665 -----VLVCGGAGYIGSHAVHALVEKGEEVAVIDNLQTGHRGALPEARFYEGDIRSAAALDRIFTENEVDAVVHFAANSLVGESMEKPLLYFNNNVYGMQVLLEAMVRHSVDKIVFSSTAAVYGEPKRVPIREEDETKPTNAYGETKLTMEKMMKWISRASGIRYVSLRYFNAAGALPNGVIGEDHATETHLIPLILQVPNGKRDHITVYGDDYPTPDGTCLRDYIHVVDLADAHVRALEYLRSGGESDIFNLGSGQGFSVKEMIAAAEKATGRSIKAVIGARRAGDPAQLVAASDKARAVLGWRPRTDVEQIIGTAWQWHAAHPSGYED--- 83647618 ----KVLVTGGAGYIGSHVVRQLGEAGHDIVVYDNLSTGHPWAVTYGELVQADLADTDALDAVFEKGRFEAVLHFAANIVVPESVENPLKYYSNNTRNTLNLLGAIQKHKVPYLVFSSTAAVYGMPENTMITEETPLMPINPYGASKMMSERMIQDLAFATDLNYTILRYFNVAGADLESRIGQATPEATHLIKVACECVQGVRAGMKVFGTDYDTRDGTCIRDYIHVDDLAKAHVMALDHMKAGGGSNIFNCGYGRGFTVKEVIDVVKAESGKDFPVEEVARRAGDPDALVAMNDKIKSVLGWKPDHDLSVIVKSALNW------------- 149176469 ----TILVTGGAGYIGSHCVQQLLAAGQKVCVIDNLSRGHREAVPQASFFQLDLGETDELIDVMKSQRIEKVIHFAALAYVGESVENPLPYYANNTAGTLSLLQAMRQSRVSQIVFSSTCATYGIPEQIPVTEESAQTPINPYGWSKLFIEQILTDCASSYNFGFIGLRYFNVAGCAMNGSLGEDHSPETHLIPNCLNTVLGKQSHVTILGNDYPTADGTCIRDYIHVEDICRAHLLALNALTPQA-NRFYNVGLGSGFSVLDVVKTTEQVTGREIPVEYQARRPGDPPMLSASHEKITRELGWSPRTSLTEIIESAWNWFQKHPDGYQSQGQ 295703182 -----ILVIGGAGYIGSHLVKELV-KTNEVVVLDNLSTGHRWAIEKAVFVEGNLGNEKDLESVFANHKIDAVMHFAANSLVGESVTDPLKYYQNNVAATLTLLQTMMKHNVKKFIFSSTAATYGIPSVDIITEDTATNPINPYGRSKLMIEQILADFASAYDMEYVVLRYFNAAGAYETAEIGECHDPETHLVPIILQHLLGERENISVFGSDYDTADGTCIRDYIHVTDLANAHISALQALLDSKKTATYNLGNGLGYSVKEVIETCEKVTGKKANVVMADRRAGDPARLVASSDKIHAELGWKAQISLEKIIASAWNWHQN---------- 150388144 -----VLVCGGAGYIGSHTVLALLKEKVEVIVLDNLSKGHREALPEVKLYQGDLRDEKLLAGIFIDNEIDGVIHFAADSLVGESVEAPLKYYENNVYGSLSLLKAMAKHGVKKIVFSSTAAVYGEPREVPIVEESLTLPTNPYGETKLAVERMLKWAQEAHGIEFVVLRYFNAAGAEEEGTIGEDHSPESHLIPLVLEVALGKREKIYIFGEDYPTEDGTCVRDYIHVMDLADAHLLALKRLQRGEGSGTYNLGNGKGFSVQEVIETARRITGKPIPAEMAPRRAGDPAVLIASSDKARKELGWTSQYSLEKIIGTAWKWHHSNPYGFKS--- 51893849 ---------GGAGYVGSHVVRALLEAGHEPVVYDNLSTGHMEAVCDSEVIIGDVGDRDKLRTVLQARRFDGVVHLAAASLVAESMREPSVYFRNNVSASIVLFDELIRADVPWVVLSSTAAVYGEPEVVPIPEEHPTRPTNPYGESKLMLERILKWYDVAYGFKHISLRYFNAAGAHPSGQIGEDHMPETHLIPIVLQVALGLRDFVTVFGIDYPTPDGSAVRDYVHVCDLADAHVRAITRLCATGTSSVYNLGSARGYSVREIVDVAQQVVGMPIKSVVADRRAGDPPILVADNRKALSELQWMPQFDLEAIVETAWRWHRDHPQGYGNRR- 15807195 ----KLLVVGGAGYIGSHTVRQLRAAGHEVAVFDNLSSGHAEALPGEVEIRGDLLDAASIRAALEAQKPDAIIHFAALIEVGESMRAPGRYYRNNVVGTLNLLQSIVETRKVPLVFSSTAAVYGTTDAVPIPEDAAMQPESVYGETKRMSEQMIHAFHVAHGLPYTVLRYFNVCGAAPGGDIGEAHPNKTHLIELACLTALGQREKMMIFGDDYPTPDGTCIRDYVHVQDLADAHVLAVEAHAGKTDAATYNVGLGHGFSVREVLDAVDAVVGTPLQRELAPRRAGDPPRLVADASRIVDQLGFAPKTDLRDIVQTAWDWHRTHPQGLGS--- 116492015 -----VLVLGGAGYIGSHAVDRLITKGYDVAVVDNLVTGHRAAVQQARFYEGDVRDAEFMDSVFTKENVEGVIHFAAFSVVPESMKNPLKYFDNNTAGMVKLLEVMAKHDVKRIVFSSTAATYGEPERVPIQESDPQVPTNPYGESKLAMEKIMHWSDVADGIKFVALRYFNVAGAKPDGSIGEDHQPETHLVPIILQVAAGERDQLQIFGDDYPTADGTNVRDYVHVVDLADAHILALEYLKQGHDSNAFNLGSSTGFSNRQMLEAAREVTGKEIPAVMAPRRAGDPSTLIAASDKAREILGWQPQYDVKEIIKTAWNWKVNHPAGYDDR-- 226950191 -----ILVCGGAGYIGSHAVAALIEKNEEVVIVDNLVTGHEQAICGGKFYKGDLRDKEFLNKVFNQNKIDAVIHFAAFSLVGESMEEPFKYYNNNVCGTLSLLETMKEYNVQKIVFSSTAATYGEPENIPILEEDLTEPTNAYGETKLAVEKMLKWAEMAYNIKYVVLRYFNVAGAHESGNIGEAHKVESHLIPIILQVALGRREKIMIYGDDYNTKDGSCVRDYIHVMDLVEAHILALEKLRRDNTSDTYNLGNGEGFSVKEVIDICRKVTGHAIPSEIAARRSGDPAILIASSEKIIKELGWNPKKSLESIIDSAWKWHRFHPDGY----- 239632497 -----IAVLGGAGYIGSHTVKQLLAAGEDVIVLDNLITGHRKAVRRARFYQGDIRDFKFLSSVFSQEKVDGIVHFAAFSVVPESMKNPLKYFDNNTCGMVTLLEAMKQFGIKRIVFSSTAATYGEPKQIPIKETDPQIPTNAYGESKLAMEKIMHWADLADGLKFIALRYFNVAGAMPDASIGEDHSPETHLIPIILQVAAGKRLNLQIFGNDYPTKDGTNVRDYVHVLDLADAHVLALKYLEAGNSSTAFNLGSATGFSNMEILQAARKVTDKEIPASIGPRRSGDPSTLIASSEKAKKILGWKPKFDIEKIIETAWKWHENNPEVL----- 89097009 -----ILVLGGAGYIGSHAVYQLIDQNYEAVVVDSLETGHREAVPDAKFYQGDIRDKEFLRSVFEKESIDGVLHFAANSLVGESMENPLKYFNNNVYGTQVLLEVMNEFDVKNIVFSSTAATYGEQKTMPITEEMSANPTNAYGETKLTMEKIMKWCEAAHDLKYVSLRYFNVAGARPTGEIGEDHQPETHLIPIILQVALGQREHISIFGDDYDTEDGTCIRDYIHVEDLIGAHLLALQYLQNGGKSEIINLGSGQGFSVKEMIEAVREVTGHEIPAKVVPRRSGDPSTLIASSEKAKTVLGWNPRTSIKQIIEDAWSWHQAHPHGYND--- 171912019 -KTGTLLITGGAGYIGSHTVKHLLKQGEKVVVVDNMVFGHREDLERVTLVEGDLGDAELMDRVFAEHQPEAVLHFAAYAFVGESVEQPLKYYQNNLTAPLALLEAMKKHGTRRFIFSSTCATYGNPQYMPMDENHPQVPVNPYGASKLMLERVLLDCGVAWGLQSVFLRYFNASGGDLEGEIGEDHTPETHLIPRILMAAKGEIEHITVFGTDYATPDGTCIRDYIHVNDLARAHALALNHLRNGGETTAVNLGTGRGFSVREILATAEAVTGCSIPVSYGPRRAGDPPELVANPAKALEVLGWEAEYDPRQHIESAWKW------------- 260432001 ----NILVTGGAGYIGSHACKALAQAGYVPVTYDNLVTGWQDAVKFGPFEQGDLLDRARLDQVFAKYQPAAVMHFAALSQVGEAMSEPGRYWRNNVTGSLNLIEAAVAAGCLDFVFSSTCATYGDHDNVVLDENTPQHPLNAYGASKRAIEDILRDFEQAHGLNHVIFRYFNVAGADPDGDVGEFHRPETHLVPLLLDAIDGKRDALTVFGTDYDTPDGTCIRDYVHVCDLVDAHVLGLRWLEQGKGSRVFNLGTGTGFSVKEVIAQSHSVTNREVPFNVGPRRAGDCTKLVSGSTRAEAELGWRPKRSLEVMIADAWRWHQN---------- 224477254 -----VLVLGGAGYIGSHAADQLIEQGYDVAVVDNLGTGHRQAVPEARFYEGDIRDKAFLNHVFEQENVEGVFHFCAYSLVGESVEKPLEYFNNNVYGMQVLLEVMKAHDVNEIIFSSTAAVYGEPEIIPIQEDAPKAPTNPYGESKLMMEKMMHWCHNAYGVNYAALRYFNVAGAKEDGSIGEDHNPETHLIPIVLQAALGQRDAITIFGTDYDTEDGSCVRDYLHVTDLIAAHILAYQYLKDGGESGAFNLGSSQGYSVIEIVEAARKATGIDIKAEIGERRAGDPSKLVASSDKAQRVLGWKPKHDIHEIIETAWNWHQSHPNGYSN--- 291542775 ----KILVLGGAGYIGSHTVYRLIESGNEVVVFDNLETGHIEAVPKAKFYKGDLRNRSEIDNVFDKEKIDAVIHFAANSLVGESMTNPLKYYDNNLNGTKVLLQSMVAHGIDKIVFSSTAATYGEPERTPILETDPTNPTNCYGETKKSMERMFYWVEKAHGLRYVSLRYFNACGAHISGKIGEAHNPETHLIPIILQAAQGTRDHISIFGTDYPTSDGTCIRDYIHVTDLAQAHILAVEYLMKGGKSDIFNLGNGVGFSVREVIEKAKEVTQKDIKVVEESRRSGDPAVLIASSDKAKTVLGWKPEYDLGTIIKTAWKWHSTHPNGYSK--- 209965823 ---RTVLVTGGAGYVGSHTCKLLAASGYRPVVYDNLSRGQRAAVRWGPLVVGDLTDRARLDEAFAEWRPSAVIHFAALTYVGESVEKPALYHHVNVGGSRTLVEAATDAGVDRLVFSSTAAVYGTPQRTPIAEDHPLRPINPYGETKLRVEEMLAAAEAASGLRSVALRYFNAAGADPEGETGEDHEPETHAIPLAIQAVLGERREFRVFGRDYPTPDGTAVRDYVHVMDLAQAHLLALEHLERGGAGTQVNLGTGSGTSVAELLDAVARAAGRPVPAATAPRRAGDPPVLVADGRRAHDLLGWRPRFSLDAIVETAWQWH------------ 94986909 ----KVIVTGGAGYIGSHTCKELARQGYNPIVYDNLSTGHKSLVQWGEFEYGDILDLSRLQSVFHKHKPDGVIHFAAKSIVSESVSNPGYYFRNNVTGTLNLLETIYNSKVKYIVVSGTCAVYGQPEQMPIHEDMPKLPVTTYGVSKLVMESMLKSFEAAYGLSWVSLRYFNAAGCDLDGETGEWHEPETHLIPLILKT-ITSNTPLTIFGDNYPTEDGTCVRDYIHVCDLARAHVLALNYLAKGETSEAINLGTGSGFSVRQIIDSVERITGRSVPYRLGQRREGDPPCLISSNQKAASLLGWRPQYSIDQIIASAWNWHSNF--------- 260469613 MSRPPILVTGGAGFIGSHTCKLLAAAGYLPVAFDNLCRGNEKSVLWGPLVVGDIRDRDALQAAIAAHRPTAIIHFAALAYVGESVQEPADYYSTNVMGTIAVLDAARANSIENIIFSSSCATYGVPEALPVRESSSQNPISPYGRTKLMGEQIIGDYASAYGMKFAILRYFNACGADPDGELGEWHSPETHLVPRVLMAASGIIDEIEVFGTDYNTSDGTCVRDYIHVSDLARAHLKALEYLERGGQSLAVNLGTGRGVSIKEIVQTVSRVTSRPVPAVFRARRPGDPAELYADPGNAREHLGFVPELSIDTIVRTA---------------- 301301044 ------LVLGGAGYIGSHTVDRLIEKGEKTIVVDSLVTGHRQAVKDAKFYQGDIADKDFMRQVFKENDIDAVIHFAAYSLVAESMKKPLKYFDNNTAGMVKLLEVMNEFSIDKIVFSSTAATYGILEEVPIKETTPQNPINPYGESKLMMEKIMRWADEAYGIKFVPLRYFNVAGAKPDGSIGEDHMPETHLLPIVLQVAMGKRDKLQIFGDDYNTPDGTNIRDYVHPLDLADAHILAVDYLKAGNPSTAFNLGSSTGFSNREILEAARKVTNKEIPAEIAPRRGGDPDVLVASSTKAREVLGWKPQFDISKIIETAWKWHTLHPEGYQDK-- 169831808 ---KTILVTGGAGYIGSHTVLALGERGYDVVTLDNLSAGHRWAVRHGELVELDLLDREGLDRLFAARRFDAVVHFAAHIQVPESVERPLMYYVNNVGGTLNLLAAMVRHGPRYLIYSSSAAVYGIPEVIPVAEDAPLRPINPYGHTKAMVERILRDMARAGEIDYIALRYFNVAGADPGGRLGEGKEWAPHLITVAVRAAAGRRAGMTVFGTDYPTPDGTGVRDYIHVSDLAEAHVLALEHLLATGESGVFNCGYGRGYSVLEVLDAVREVTGVDFPVEYAGRRAGDPPALVADSRLIRERLGWQPRLDLRLIVETAWRWEL----------- 94986341 ----KLMVVGGAGYIGSHTVRQLRQAGYEVVVFDNLSSGHAAALPEVPLVRGDLLDLEAVKGALEAHKPDAVIHFAALIEVGESMRAPGRYYRNNVVGSLNLLQAIVKTRKVPLVFSSTAAVYGTTDAVPIPEDAPLHPESVYGETKLMTERMIHAFHVAHGLPYIILRYFNVCGAAPDGQIGEAHPHKTHLIELACLTALGQREQMMIFGADYPTPDGTCIRDYIHVLDLADAHVLAVQAAQGQRDAATYNVGLGYGFSVLQVLDAVDAVIAPPLKREIAPRRPGDPPRLVADARRIVEELGFKPQTELKEIVRTAWEWHRKHPQGYE---- 126668406 ----KVLVTGGAGYIGSHVVRQLAAAGHDIVVFDNLSTGYRWAVTAGELVVGDLADAEAIDAVFSQHQFEAVLHFAANIVVPESVSNPLKYYANNTRNTLNLLQAVDRHQIPYMVFSSTAAVYGTPEQTVLTEDLPLVPINPYGASKMMSERMIMDLAAASSLNYVILRYFNVAGANPDGLLGQATPEATHLIKVACECATGQREDMSVFGTDYDTRDGTCVRDYIHVEDLAKAHVMALDYMARGGQSQVMNCGYGRGFTVDEVISVVKRLSGVDFPVQRGGRRAGDPAALMADNQLIKHTLGWQPDHDLDTIVGTALAW------------- 283844025 -----VLVCGGAGYIGSHAVADLLDRGEQVVVLDNLQTGHEKGVLEAAFYHGDLRNEAVLDRVFQENEVDSVLHFAADSLVGVSMEKPLEYYENNVYGAICLLKKMKEYGVKHIVFSSTAATYGEPESVPILETDKTAPTNPYGETKLAIERLLKWCDEAYGIKHVILRYFNVAGAHPTYDIGEDHQPETHLIPIVLQVALGQRDAIKIFGDDYPTEDGSCIRDYIHVSDLIHAHLLALDHLRCDKDSDIFNLGNGNGFSVKQVIDAVETVTGKTIQRVVEGRRPGDPAILIASSTKAAEKLGWKPIYSLEDIISTAWKWQQAHQNGYGK--- 227510695 -----ILVLGGAGYIGSHTVDRLVEKGYDVAVVDNLVTGHRAAVPKARFYEGDVRDKTFLSGVFDKEDIEGVIHFAAFSVVPESMEKPLKYFDNNTYGMISLLEVMHEHNVKKIVFSSTAATYGEPKQIPIKETDPQVPTNPYGESKLAMEKIMHWSDVAYGIKFVALRYFNVAGAKPDGSIGEDHHPETHLVPIILQVAAGERDQLTIFGDDYDTKDGTNVRDYVHVVDLADAHILALKYLQAGRDSDAFNLGSSTGFSNKEMLEAARDVTGKPIPAKMGPRRAGDPSTLIAASDKARKVLGWDPQFDVHEIIKTAWNWKETHPKGYDDRK- 153864339 ---KTILVVGGAGYIGSQMVKMLLAADYHVVTFDNLSGGYRDAVLGGDFVFGDTHDRASLDRLFADDTFDGVMHFASFIQVGESVQNPGKYYQNNLAASLNLLDAMVAHDVKVCIFSSSAAIFGEPQYVPLDEAHPKQPINPYGSTKWMVEQILADYDHAYGLKSVCLRYFNAAGADPDGQLGERHVPETHLIPLVLQAASGRRESITVYGEDYDTPDGTCIRDYVHINDLCQAHLLALEQLLAGADSAAYNLGNGSGFSIKQVIDIAKEVTGKPIPVVMGERRGGDAARLVADSKRAQKLLGWQPQYDLATLIAHAWQWEQK---------- 254787087 -----ILVTGGAGYIGTHTCIELLSAGYEVVVVDNLCNSKREALKKVTFIQGDVTDKAVLRDIFSEYSIDAVIHFAGLKAVGESTQIPLRYYHTNLGGTVALCEVMEAFGVSRLLFSSSATVYGDPASAPILETFPTSATNPYGRSKLMVEEILRDACRVPGWRVGLLRYFNPIGAHPSGRLGEDPQGPNNLLPYVAQVAVGKLAKLRVFGDDYPTVDGTGVRDYIHVVDLARGHVRALEKLLNQPACLTYNLGTGQGYSVLQIVDAFAKACGKEIPYEIAERRPGDIAECYADPALATNELGWKAEYGLEQMVADTWRWQSSNPQGYED--- 152993516 ----TVLVTGGAGYIGSHTIILLVEAGYDVVIFDNFCNSSRESIKEIPTIEGDIRNKADLRAVFNEHKIDAVIHFAGLKAVGESVEQPLRYYDNNVYGTVALCKVMQEHGCKSIIFSSSATVYGDPATTPITENFPTSATNPYGRSKLFIEEILRDYVADNNWKIVLLRYFNPVGAHPSGTIGEDPSGPNNLMPYITQTAVGKLSCLSVFGDDYETHDGTGVRDYIHVMDLADGHVKALDRLKDFNKVMTINLGTGTGYSVLDMVKAFEKASGKEVPYCFAPRRPGDIAKCYTDPSYAKEVLGWEATKGIDEMCKDSWRWQEKNPQGY----- 222081305 MSMRNILVVGGAGFIGSHTAKLLRKQGYEPIVYDNLSTGHEESVRWGPLEKGDILDTQRLVEVLTKYSPDAVIHFAASAYVGESVEDPAKYYANNVNGTHSLLEACRRSGTDKLIFSSSCAIYGIPDHLPIREHQIPQPINPYGRTKLIAEHMLADYSAAYGLHYAALRYFNASGADPEQELGEWHDPETHLIPRALLAASGSIDFLQVYGDDYDTPDGTCIRDYIHVMDLARAHILAVEYLMRGGANLAVNLGTGHGTSIKEILTAIRRISRCPVPVEMRARRAGDPPALYAASGLAAEKLGFQPLYSIETIIRTA---------------- 182419136 -----ILVCGGAGYIGSHTVYKLIEQGKDVVIIDNLQSGHMGAVPKAKFYKGDIRDAAILDKIFTENKIQSIVHFAANSLVGESMIKPLLYFNNNVYGMQILLESMVKHDIKNIVFSSTAAVYGEPKKIPILEDDETNPTNTYGETKLTMEKMMKWCNKAYGINYVALRYFNAAGSLGDGTIGEDHNPESHLIPLILQVPLGKREAITVFGSDYPTPDGTCLRDYIHVLDLADAHIKAVEYLESGKGSNVFNLGNGVGFSVKEMIVAAEEATGQDIKVVIGERRAGDPAQLIASSDKARQLLGWVPQYDVKKIIKDAWAFHTAHPNGFEN--- 164688703 ----NILVTGGAGYIGSHTSIELINAGYEVIIVDNLCNSNFIVVKKVKFYKIDATNKEELKKVFVENKIDAVIHFAALKAVGESVEKPLEYYSNNLINTINVWELMKEFNVYKFVFSSSATVYGSPKTCPIKEDFPLSTTNPYGSTKLMIENMLRDICKADDFNVAILRYFNPIGAHESGKIGEEPNGPNNLMPYITKVAVGKLKELSVFGNDYDTHDGTGVRDYIHVVDLAIGHVKALEKLNQKPGLVTYNLGTGTGYSVLDLVKSFEKASGVKIPYKIVDRRAGDIAMCYADPSKAKEELGWVAKYDIDKMCKDSWNWQSKNPNGYNLEEK 89067374 ----TILVTGGAGYIGSHACKVLAAAGYTPVTYDNLDTGWRDSVKFGPFEQGDLLDRDRLDQVFAAYRPAAVMHFAALSQVGESMTEPGKYWRNNVCGSLSLFEAAIHAGCLDVVFSSTCATYGEQDGVVLDEDSAQQPLNSYAASKRAIEDMLDNFGASHGLRSVIFRYFNVAGADPEGEIGEFHQPETHLVPLMLDAIDGKRDALTIFGTDYDTPDGTCIRDYVHVMDLVDAHVLGVKWLEDGRGSRVFNLGTGTGFSVREVVDKSGSVTNREVPILEGARRPGDATALVSGSQRAMTELGWRPTRSLETMIADAWRWHQN---------- 16330543 -TQQTILVTGGAGYIGSHGVLALQQAGFDVLIYDNLSYGHKELVLGVELVVGHTGDRQKLDQLFATRNIAAVMHFAAFIAVGESVQKPDIYYQNNVVGTLTLLEAMLAAGIKKFVFSSTCAVYGMPKEIPMTESHPIDPLSPYAASKRMVEQILADFDQAYGFKSVIFRYFNASGADPQGRLGEDHNPETHLIPLALLTALKQRPQLSVFGTDYDTLDGTALRDYIHVCDLAIAHVLGLQYLLEGGESNIFNLGNGNGFSVRQVIEVAKAVTGLDIPYQLCPRRPGDAPILVGSSAKAREILGWNPQYDLHTIIDHAWQWHQR---------- 303229527 ----NILVTGGAGYIGSHTVGALIDAGFTPIVVDNFSRGHRSAIPKGVKVELDIADSKLVN-IMKDNNIKGIMHFAAHSQVGESMINPSIYYENNVVGSYRLIESARQAGVQYFVFSSTAAVYGEPEEVPIVETAKLQPTNVYGRTKLMIEEMLYDYSAIYGSTYVALRYFNAAGADESGNIGEDHSPETHLIPLVLEAALKKRPHITVFGTDYDTSDGTCIRDYIHVTDLASAHVLAMNYLINGGGSRAFNLGSGNGFSVKDIIETAKNVTKIDIPVEYGERRVGDPSTLIASSECIKDVLGWKPQHSLSHIIGDAWRWHQSHPMGYDDK-- 284929423 MNKSTILVTGGAGYIGSHVALLLKKAGYNILILDNLSFGHSEIVRDVLDVELIIGDTNDLDKIFATRNICAVMHFAAFLAVGESVHHPATYYKNNVVGTLTLLEAMVSANINKLIFSSTCSIYGISNNIPITENHPNNPLNPYASSKYMVEQILKDFDQAYNLKSVVFRYFNAAGADPSGDLGEDHTPEIHLIPLALLTALKKREHLVIFGTDYDTPDGTAIRDYIHVSDLATAHILGLEYLLSGGSSDMFNLGNGNGFSVREVIDVVKKITDVDFLVKEGKRRPGDAPILVGSGLKIKSKLNWKPQYSLETIVDHAWKWHRKRHK------- 160946364 ---KQILVTGGAGYIGSHTCIELLNEGYDVVIIDNFYNSSEKVLKKFKFYKGDIRDKEILRKIFSENDIYGVIHFAGLKAVGESVEKPIEYYDNNINGSIKLIEVMREFNVKNLIFSSSATVYGKPKSVPIKEDFSLSVTNPYGRTKLFLEEIFTDYISDNEWNVILLRYFNPIGAHKSGRIGENPNGPNNLVPYITQVAVGKLDYVRVFGDDYETKDGTGVRDYIHVLDLALGHIKAIKKFEDSKAVRIYNLGTGEGYSVLDMIKNFEEVTGKKIKYVVTPRRSGDIAECYADSTKAKEELGWEAKYGIREMCEDSWRWQLNNPNGYED--- 254428050 ---KSILVTGGAGYIGSHTVLLLLEAGHQVVVLDNLSNSSRESLNRVEFVEGDIRDANCLDTLFSDYDIAAVIHFAGLKAVGESVAMPLSYYDCNVTGSLRLLEAMERAGVRTMVFSSSATVYGDPATVPIRENFPLSSTNPYGATKLHIEDMLRDYRSNEKWKLALLRYFNPVGAHESGQIGEDPAGPNNLMPYIAQVAVGKREKLGVFGGDYPTADGTGVRDYIHVMDLAQGHLAALEALDADGGLLTVNLGTGRGYSVLEMVTAFSQASGRPVPYEIVERRPGDVASCYADPAHANAVLGWEAQRGIEKMCEDHWRWQKQNPEGF----- 147676776 ----NVLVSGGAGYIGSHTVRELIKRNHFVVVLDNLTKWHRAAVQGAELVAGDTADRELLRGLFRKYGIEAVMHFAASSLVGESVRRPSEYYYNNVVKGLSLMDAMVEAGVRFLIFSSTAAVYGEPREVPITEAHPANPTNPYGATKLAVEGAMRWYGEAYGLRYASLRYFNAAGADPAGDIGEDHDPETHLIPLVLKTALGLLPRLEVYGTDYPTPDGTCIRDYIHVSDLAEAHVLALEALAAGAPSAVYNLGNGSGYSVLEVVRAAEEVTGRPIPVHYGPRRAGDPSVLVAGSEKIKADLGWRPRTSLGDIIGTAWRWHLGHPGGFEK--- 300855349 -----ILVCGGAGYIGSHMIAELLENKKEVIVLDNFEKGHRSAILGGKVYEGDLRDDNILDRVFEENQIEAVIDFAAYSLVGESVDEPLKYFDNNVGGTLNLLKAMRKHNVKYVVFSSTAATYGEPKSIPILENAVTYPTNPYGESKLTVEKILKWSDRAYGIKYAALRYFNAAGAHVNGTIGEDHRPETHLIPIILQVALKKRDKIFIFGDDYNTEDGTCVRDYVHVTDLANAHLLALNKIMKENESKIYNLGNGKGFSVKEVIEVSRKVTGEKIEAEIAPRRQGDPAVLVASSKKAQDELGWKPKYSLENIIGTAWNWHKNHATGYEK--- 158521859 ---KKVLLTGGAGYIGSHTCVSLLESGCEVLVVDNLCNSSAVALERVMFEKVDMRDRAELDRVFKTFAPDAVIHFACLKAVGESTTDPLTYYANNVAGSVVLFEAMEAAGVKNIVFSSSATVYGDPETVPVTEAAAICPCNPYGRTKRMIEEMLEDHAAGKGWNIAILRYFNPVGAHASGLIGEDPRDPNNLAPYIAQVAVGRRQQLNVYGDDYPTKDGTGVRDYIHVCDLAEAHVKALEKLAQNPGLVTYNLGTGTGHSVLEVVAAFERACGRPIARTVTGRRAGDVAEYYADPSRAEKELGWKARRTLDDMAADTWRWQSGNPEGY----- 239813785 MAPKSVLVTGGAGFIGSHTCVALAAAGYTPVILDNLGNSDIRVLERLRQIEGDVRDRALLDRVLGEEGFAAVIHFAGLKAVGDSVADPLTYYDNNVHGSLVLASAMQQAGVRTLIFSSSATVYGEPDHSPIPEDAPCRPANPYGRSKHMVEEALADHRAQPGWRIALLRYFNPVGAHESGLIGEHPQGPNNLMPFVCQVAVGQRDKLLIHGNDYPTPDGTGVRDYVHVMDLAEGHVAALRHAQGHAGLVTLNLGTGRGASVLEVVQAFERASGRSLPYEIGPRRPGDVPAYWGDPSLAEATLGWRAHRGLDQMCADSWRWQQGNPNGYER--- 237809375 ----KILVTGGTGYIGSHTCLALMAAGLEPVILDNLCNSKLSVLKRIEFYQGDIRDPALLDRIFQEQQIAGVIHFAALKAVGESTQKPFEYFENNIAGTLSLLGAMRRAGCYRFIFSSSATVYGDPQAVPIQEDFPRSTMSPYGRTKLMIEQILEDMQKADPRWSMTLRYFNPIGAHESGRMGEDPQGPNNLMPYITQVAIGRRDCLNIFGNDYPTKDGTCVRDYIHVMDLAEGHVKAWQVCGEQSGLHIYNLGTGQGVSVLEMVHAFARASGLKINYKLVERRPGDVAECWADPAKAQRELGWKATRTLDDMTRDSWRWQQANPNGYEE--- 188586191 -----ILVTGGAGYIGSHMVKSLLDQSYEVIVLDNLSTGHKSAVQRAVFIKGDVIEEDKLDEIFERYSIECVMHFAANCYVGESIIKPLKYYKNNVNSSICLLNKMLEHKVTKIVFSSSCATYGTPNVEKIDESCHTNPINPYGRSKLMTEQIVKDISKAHQFDFIFLRYFNVAGADPSGKIGEHHDPETHLIPNILLHLLGKKDRIVIHGDNYPTEDGTCIRDFIHISDLVEAHLLSLESLINNNHNEIYNVGSNHGYSIKEVIKMCEEVTGKQVNVVHGDRREGDPPKLVASNQKIYRHLGWKPKYPLRDIIKTAWNWHFNNPNGY----- 289637998 ----TILVTGGAGYIGSHTCVELLESGHDVVVIDNLYNSKKEALNRPVFYEGDVRDAALLKSIFEKHSIDVVIHFAGLKAVGESVQKPLLYYENNIGGVITLCRAMQEAGVRSIIFSSSATVYGVPKTVPITEDFPLSATNPYGRTKLMTEEILRDFTADARWSVVLLRYFNPIGAHESGLIGEYPKGPNNLTPYIAQVATGKLKELKVFGNDYPTPDGTGVRDYIHVVDLAKGHVCALKKAIESGVHI-YNLGTGVGYSVLQVVAAFEKACGKTIPYAFAPRRAGDVAENYADPSKALRDLGWKTEKGIDEMCRDLWAWQSNNPDGYPDE-- 142790835 MERMKVLVTGGCGYIGSHTCLALQAAGMEPVVVDNLCNSKAGVLREPRFYQGDIRDPALLDRIFSEQRIDAVIHFAALKAVGESTRLPLAYYENNLSGTLVLLQAMQRAGVHNLVFSSSATVYGDPASTPIREDFPRSATNPYGRSKLIIEEILEDLQRAEPHWSMTLRYFNPVGAHESGTMGEDPQGPNNLMPFLTQVAIGRRDCLSIFGNDYPTVDGTGVRDYIHVMDLAEGHVKALQHCASKGGVHVYNLGTGQGQSVLQMVAAFEAASGRPLPYRIEPRRPGDIAECWADPAKAERELGWRARRDLAAMCADSWRWQSANPQGYE---- 301300506 -----VLVLGGAGYIGSHTVDRLVEAGKDVVVVDSLVTGHLAAVKEAKFYQGDLADKDFMRKVFTENDIDAVIHFAAFSLVAESMKDPLKYFDNNTAGMVKLLEVMNEFDIKYIVFSSTAATYGIPEKMPIYEDDPQLPINPYGESKLMMEKIMRWADEAYGIKFVALRYFNVAGAKPDGSIGEDHHPETHLLPIVLQVAMGEREKLQIFGDDYNTPDGTNVRDYVHPFDLADAHILAVDYLRNGNESSAFNLGSSTGFSNLEIVKAAREVTGKEIPAEIAARRGGDPDTLIAASEKARKVLGWKPEFDIHKIIETAWKWHTTHPQGYND--- 288870969 -----ILVTGGAGYIGSHTCVELLKAGYEVVVVDNLCNSCEESMERVQFYEADLLDKAALSRIFKEQKIDAVIHFAGLKAVGESVYKPLEYYHNNITGTLVLCDVMREHGVKSIVFSSSATVYGDPAFVPITEECPKGEINPYGRTKGMLEQILADHTADPEWNVMLLRYFNPIGAHESGRIGENPKGPNNLLPYITQVAVGKLESLGVFGNDYDTPDGTCVRDYIHVADLADGHVKALKKEGEKGGVLIYNLGTGCGYSVLDVIHAFEEANGLKVPYEFKPRRAGDVPQCYADPAKAERELGWKAQRDLKDMCRDSWNWQKNNPEGYAK--- 135184855 ---KHVLVTGGAGYIGSHACKLLARQGYVPVTFDSLVTGWASAVKFGPFVQGDLLDRAALDAAFTKYEPVAVMHFAALSQVGEATREPGRYWRNNVLGSLNLVEAMAATGCKHMVFSSTCATYGDQDGVVLDEQSAQMPLNAYGASKRAVEEILRDFGVSHGLEAVVFRYFNVAGADVEAEVGEWHQPETHLIPVMIEAAAGKRDALTIYGTDYPTPDGTCVRDYVHVMDLVDAHIKGLEWLLEGKGSRVFNLGTGTGFSVKEVIAECKAATGHAVPHSFGPRRAGDAAALVSGSRRAAKELGWSPERSLQHMIADAWRWYQ----------- 283835626 -----ILVTGGAGYIGSHTVLTLLERGDDVVVIDNLSNSCRVSLREPIVYIADILDRQTLKNIFTRHNITDVIHFAGLKSVSESIKEPLAYYENNVTGTLVLLDEMLAAGVNRFIFSSSATVYGNPESVPLSEQSRTGTTNPYGTSKLMVEQILADFSRQPDFRITCLRYFNPAGAHPSGRIGEDPNGPNNLVPYISQVAIGKLETVSVYGNDYPTTDGTGVRDYIHVMDLATGHLAALDCQNKGAAYKVINLGTGVGYSVLDLIEAFEKAAQTKINYRFVARRPGDIAECWSDPSLARKELGWQASFNLDDMMRDTWNWQKNNPNGYN---- 254519069 -----ILVCGGAGYIGSHTVYQLVDKGEEVIVVDNLETGHIEAVPKAKFYKVDIRNEEELDKVFKENDITEVIHFAANSLVGESMTNPLKYYNNNVHGTEVLLKVMIANNVKKIVFSSTAATYGEATKMPIVETDRTEPTNAYGETKLAMEKMMKWADIAHGVKYVSLRYFNVAGAHVSGSIGEDHSPETHLIPLILQVPLGKREFISIFGDDYDTEDGTCIRDYIHVIDLANAHILAVEHLRGGNSSNIFNLGNGSGFSVKEMIEAARRVTGHEIPAKICERRAGDPARLIASAEKARNTLKWSPKYTVEDIIASAWNWHKNNPTGFDK--- 149204257 ----HILVTGGAGYIGSHACKTLAAAGYTPVTYDNLCTGWQDAVKFGPFEQGDLTDRARLDQVFATYRPAAVMHFAALSQVGESMRDPGLYWHNNVAGSLCLIQAAVAAGCLDFVFSSTCATYGDQDNVLLDEGCVQAPINAYGASKRAIEDMLCDFGAAFGLRHVIFRYFNVAGADPEGEIGEFHQPETHLIPLMLDAIAGKRDALTIFGTDYDTPDGTCIRDYVHVSDLVDAHVLGLKWLEAGKESRIFNLGTGSGFSVREVIDQSAAVTNRAVPVVEGQRRPGDCTRLVSGSTRAAAELGWTPKRSLAQMIGDAWRWHQ----------- 255261298 ----NILVTGGAGYIGSHACKALAAAGYTPITFDNLVTGWQDAVKFGPFEQGDLLDRAALDQVFAAYQPEAVMHFAALSQVGESMVHPGKYWRNNVVGSLTLIEAAVAAGCNRFVFSSTCATYGDQDNVLLDENCPQDPINAYGASKRAIEDILANYAESDGLESVIFRYFNVAGADPDGDVGEFHQPETHLIPLMLDAIDGKRDALTIFGTDYDTPDGTCIRDYVHICDLVDAHVLGIKWLEEGKGNQIFNLGTGTGFSVREVIDASANVTNRVVPVAEGGRRPGDCTKLVSGSERASRDLGWQPSRSMKTMIADAWRWHQ----------- 210613704 ----TILVTGGAGYIGSHTCIELISAGYDVVVVDNLCNSCKEALKTIKFYEADIRDAEAMKNIFEKEDIDAVIHFAGLKSVGESVAKPLEYYDNNIAGTLVLCDAMRNAGVKNIIFSSSATVYGDPAFVPITEECPKGCTNPYGWSKSMLEQILTDHTADSEWNVVLLRYFNPVGAHKSGTIGENPKGPNNLMPYITQVAVGKLECLGVFGDDYDTPDGTGVRDYIHVVDLALGHVKALKKIEEKAGVKVYNLGTGNGYSVLDMVKAFGKACGKEIPYQIKARRPGDIASCYADPTKAKEELGWVAERGLDEMCEDSWRWQSNNPEGYAE--- 307299960 ----RILVTGGAGYIGSHTAKLLRLEGIEPVVYDNLTTGNRSAVRWGPFVQGDILDGRHLIEVIEAHQPDAVIHFAASAYVGESVADPAKYYNNNVRGTLSLLDACRQTGVDKVIFSSSCATYGVPAVLPIDEATPQAPINPYGKTKLVAEHMLADYAAAFALNYVSLRYFNACGADPEGDLGEWHDPETHLIPRALMAAAGRISHLEIFGEDYDTPDGTCVRDYIHVADLASAHVLAYRHLAKGGGNVALNLGTGRGFSIKEVLRTIGEITGHDVPVVFRRRRAGDPPALYADAGLACRTLGFLPRYSLETIVRTA---------------- 114770529 ----TILVTGGAGYIGSHTVLQLLEKGDEVVVLDNLCNASPESLVRVEKIEGDIRDKTVLRDVFTQYQIDAVIHFAGLKAVGESVEHPLKYYENNVYGSVQLCAVMSEFGVKNIVFSSSATVYGDPVELPLKESMPTGPTNPYGQSKLMVEHVLRDFTSDNEWNIAILRYFNPVGAHESGQIGEDPNGPNNLMPFISQTATGKRESLAVFGDDYDTHDGTGVRDYIHVVDLAEGHLKALDALKKKPGVLTVNLGTGVGYSVLDMVQAFKNASNVDVPYHIAPRRAGDVAACYADPSHAKAILGWEAKLDLQAMCDDTWRWQSNNPDGY----- 36958615 MDTPRILVTGGAGYIGSHTAKLLRLEGLEPVTYDNLTTGNRSSVRWGPFIEGDILDSAHLIEVIEHYAPDAVIHFAASAYVGESVADPAKYYHNNVCGTLSLLDACRRTGLGKVIFSSSCATYGVPAALPIDEATRQEPINPYGRTKLIAERMLSDYAAAFGLSYVALRYFNACGADPEGDLGEWHDPETHLIPRALMAAAGRIGKLEVFGDDYDTADGTCVRDYIHVADLAQAHVLAYRLLAEGGANLALNLGSGRGFSVREVLSAVGAVTGRDVPVAIRPRRAGDPPVLYADARLAREVLGFSPLYSLETIVRTA---------------- 306832690 -----ILVLGGAGYIGSHMVDRLVEKGDEVVVVDSLVTGHRAAVPDAIFYQGDLADQDFMRKVFTENDIDAVIHFAAYSLVAESMEKPLKYFDNNTAGMVKLLEVMNEFGVKHIVFSSTAATYGIPDEIPIKETTPQRPINPYGESKLMMETIMKWSDQAYGIKFVPLRYFNVAGAKPDGSIGEDHGPETHLLPIILQVAQGVREKIMIFGNDYNTPDGTNVRDYVHPFDLADAHLLAVKYLREGNPSTAFNLGSSTGFSNLQILEAARKVTGKEIPAELADRRPGDPDTLIASSDKAREVLGWKPQFDIEKIIASAWAWHSSHPNGYNDR-- 119775359 ----TILVTGGAGYIGTHTVVALQQAGMEVLVLDNLSNACVEALNRVPFVQGDILDKPLLMKIFMDNDIEAVIHFAGLKAVGESVAQPLRYYENNVTGTLVLCQVMAEFNVKQLVFSSSATVYGDPASLPITEDFPTGATNPYGQSKLMVEHILADHHSDPSWNIARLRYFNPVGAHESGLIGEDPNDPNNLMPFISQVAVGKREKLSIFGNDYPTHDGTGVRDYIHVVDLADGHLKALEKLRTQPGLVTYNLGTGQGYSVLDMVKAFEKASGKAVPYEIAPRRPGDIAACYADPGKATKELGWKAKLSVDEMAASSWRWQSGNPNGY----- 226354957 ----KLLVVGGAGYIGSHTVRQLRRSGHEVVVLDNLSSGHREALPEDVTVQQDLLDAEGVKATLQAHEPDAVIHFAALIEVGESMRAPARYYRNNVVGSLNLLQAIVETRKIPLVFSSTAAVYGTTDAVPIPENAPMQPESVYGETKLMTERMIHAFHTAHGLPYVILRYFNVCGAAPEGDIGEAHANKTHLIELAALTALGQREKMMIFGDDYPTPDGTCIRDYVHVQDLADAHVLAVEAHSGKTQAATYNVGLGHGFSVREVLDAVDAVTGKPLTRELAPRRAGDPPRLVADATRIVKDLGFKPRTDLQEIVQTAWTWHKSHPHDFRR--- 288941374 ----RVLVTGGAGYIGSHTCLELLQAGIHVVVIDNLCNSREESLRRVGFFEVDLRDREALGEIFSQGRFDAVIHFAGLKAVGESVAQPLEYYDNNVQGTLTLCQVMAEAGVRNLVFSSSATVYGDPTSVPIREDFPVGATNPYGRSKLFIEEILHDHVSDPRWNIALLRYFNPVGAHESGRIGEDPNGPNNLMPYIAQVAVGRLQRLRVFGNDYPTPDGTGVRDYIHVVDLARGHLAALHKLQESPGVVTYNLGTGRGYSVLEVIAAFERASGRAVPYDIVERRSGDIACCYADPSLARDELGWSAEYDLERMVVDAWRWQSQNPDGY----- 241765551 ---QHILVVGGAGYIGSHMVWLLGQRGIQVTTFDNLSGGHRDAVLHGELVVGDMADRALLDRVLSSRHFDAVMHFASYIQVGESVTDPAKYYLNNVANTIGLLNAMRDHGVARFVFSSTAAVFGEPQYSPIDERHPRQPINPYGRTKWMVEQALADYDRAYGLKSVSLRYFNAAGAHPQGLLGERHEPETHLVPLVLQAAAGRRPHVTVFGRDYDTPDGTCVRDYIHVMDLAQAHWKALDYLARGGSTSAFNLGNGDGYSVQQVVDTAMAVTGRSIAVQEGPRRAGDPARLVADASLARNVLGWEPQYDLTTIVRHAWQWECRH--------- 90020381 ----TVLVTGGAGYIGSHTCIELIEAGFDVVVVDNLRNSKSESLKRIPFHKVDICDKAALSDVFASYKVSSVIHFAGLKAVGESCEMPLEYYRNNVGGTVTLCEVMDEHSVHNLLFSSSATVYGDPASVPILESFPTGATNPYGRSKLIVEEILRDVCKNSNWRISLLRYFNPIGAHISGTIGEDPQGPNNLLPYVAQVAVGKLPKLGVFGSDYDTVDGTGVRDYIHVVDLAKGHVKALQKEKSEPAAYTYNLGTGQGYSVLQVVEAFEKASGKKVPYELKPRRPGDIAECYADPALAASELGWKAEFGIERMVEDTWRWQSSNPQGYE---- 269839235 ----KVLVTGGAGYVGSVVVDELIARGDEVVVIDNLYQGHREAIPEAKFAQVDLLDAHAVAEVFDANGIEAIMHFASHTLVGESMQRPELYLRNNIVMGVNLLEVAVPRGVRKFILSSTANLFGNPTRVPIDESQPLDPGSPYGESKLMLERILRWYERIYDLRYGALRYFNAAGATERR--GEHHDPETHIIPLVLSVALGKRDSFTIYGGDYPTPDGTCIRDYVHVYDLAQAHLLTLDALKE--GSRTYNLGNGQGFSNLQVVEAARKVTGHPIPTQIGPRRPGDPAVLVASSEKIRSELGWQPKYDLESIIRSAWEWHKSHPSGYKED-- 296876271 -----ILVLGGAGYIGSHMVDRLVNEGQEVVVVDSLVTGHRAAVPDAVFYQGDLADQDFMRTVFKEHDIDAVIHFAAYSLVAESMADPLKYFDNNTAGMVKLLEVMHECGVHYIVFSSTAATYGIPEEIPILETTPQNPINPYGESKLMMETIMRWADQAYGIKYVPLRYFNVAGAKPDGSIGEDHGPETHLLPIVLQVAQGKREKISIFGDDYQTPDGTNVRDYVHPFDLADAHLLAVEYLRKGNESTAFNLGSSTGFSNLQIVEAARKVTGHPIPLEMADRRPGDPDTLIASSEKARAILGWQPKFDIETIIQTAWAWHSSHPDGYNDR-- 73661939 -----VLVLGGAGYIGSHAVDQLISRGYDVVVVDNLGTGHRAAVKDARFYEGDIRDKPFLDQVFTTETIEGVFHFCAYSLVGESVEKPLAYFNNNVNGLQVLLEVMYDHQVKHIIFSSTAAVYGEPDTVPITEADSKTPTSPYGESKLMMEKMMHWSHNAYGVNYAALRYFNVAGAKEEGTIGEDHRPETHLVPIVLQVALGQREALTIFGDDYDTEDGSCIRDYLHVVDLIDAHILAYQHLQNGGESGAFNLGSSQGYSVFEILEAARKVTNKPIEAKVGPRRAGDPSKLVASSDKAQSILGWKPKHDIHDIIDSAWRWHNQHPNGYQEDTE 289435738 -----IIVLGGAGYIGSHAVAELVNRGYNVVVVDNLKTGHKEAIHEAKFYQGDIRDKDFLSSVFERETVDGVMHFAASSLVGESMEEPLKYLNNNVYGTQILLEVMEQFGVKNIVFSSSAATYGEPEQVPIIESMPTNPESTYGDTKLIMEKMMKWCDKAYGMKYVALRYFNVAGAKSDGTIGEDHQPESHLVPIILQVALGQREKLAIYGDDYNTPDGTCIRDYVHIEDLIDAHIRSIEYLENGGESNIFNLGSSKGFSVQEILGAARSVTEKTIPAEVVARRAGDPGILIASSDKARKILGWEPKYDIKDIIATAWKWHESHPNGYK---- 85705809 ----HILVTGGAGYIGSHACKALAAAGYTPITYDNLSTGWQDAVKFGPFEQGDLTDRARLDQVFAAYQPVAVMHFAALSQVGESMQNPGLYWHNNVAGSLSLMQAAVAAGCLDLVFSSTCATYGDQDNVVLDETCAQFPINAYGASKRAIEDMLRDFEGAYGLRHVIFRYFNVAGADPEAQVGEFHQPETHLIPLILDAIDGKRDALTIFGTDYDTPDGTCVRDYVHVCDLVDAHVLGLNWLENGKESRVFNLGTGTGFSVREVTDQSAAVTNRAVPIVEGPRRPGDCTRLVSGSTRAVAELGWKPTRSLPQMIGDAWRWHQ----------- 258517139 -----ILVTGGAGYIGSHACVELLNADYDVVVVDNLSNSKPESLKRIKFYKDDVLDKEGLEKVFSENSIEAVIHFAGLKAVGESVQVPLHYYHNNITGTLVLCQVMQKYGVKKLVFSSSATVYGIPECVPITEDFILAATNPYGRTKLMIEEILQDYLSDKAWSIALLRYFNPIGAHESGLIGEDPNGPNNLMPYITQVAVGKLEELKVFGNDYPTPDGTGVRDYIHVVDLALGHLKALERIMFGNSIDAYNLGTGRGYSVLEMVASFENASGRKVPYCIVERRPGDVAICFADPTKAKKELGWAAERGIREMCADSWRWQSSNPDGYGD--- 110638800 ----TVLVTGGAGYIGSHAVRALANLNYQVIVLDNLIYGHREAIVEVRFVEGDIANKALVIDILKTYKVDAVMHFAAFAYVGESVTEPTKYYNNNLAASITLLDAMREAGCKNIIFSSTCASYGSPKYMPIDEAHPQEPINPYGASKWMLERVIKDYHHAYGINYAFLRYFNASGCSADGLIGEDHDPEPHLIPLILKAIKGERDAITVFGTDYETPDGTCVRDYIHVEDLADAHIKAFTYLLSGKGPVICNLGTGVGYSVKEMIDAAERATGKKVPVKYGERRAGDPSHLVANAEKAWKELGWKARYNIEEIIATAWKW------------- 309389573 ----KILVTGGAGYIGSHVLKALLKAKHQVITLDNLQKGHLKAVTGGEFIEGDLADKKLLNQIFQKNKIEGVIHLAADSLVGESMQNPAKYYRNNFNNGINLLEAMVKNDVKNIVFSSTAAVYGEPKEIPIKEDNPTQPTNTYGESKLFFEKALKRYQQIHDLNFISLRYFNAAGADPEIEIGEAHSPETHLIPLVLDTALKIRDKIYIFGDDYPTRDGSCIRDYIHVNDLASAHLLALKALANGHKSAIYNLGNGAGYSVKEVIETVKKVTNCEFEVEVSARRSGDPAVLIASSEKIKNDLNWQPQYSLEKIISTAWKWHQ----------- 229817053 ----TVMVLGGAGYIGSHMVDRLVAAGKEVVVVDSLVTGHRAAVPAAKFYQGDLADQEFMRGVFRENDIDAVIHFAAFSLVAESMKEPLKYFDNNTAGMVKLLEVMKEFGVKKIVFSSTAATYGIPEKMPIREDDPQKPINPYGESKLMMEKIMRWCDQAYGIKFVALRYFNVAGAKPDGSIGEDHGPETHLLPIVLQVAQGKRDKLMIFGDDYNTPDGTNVRDYVHPFDLADAHILAVDYLRAGNESNAFNLGSSTGFSNLQILEAARKVTGKEIPAEMAPRRPGDPDTLIAASDKARTVLGWKPQFDIDKIIETAWAWHSTHPNGYDDRK- 258645828 ----NILVTGGAGYIGSHTVRALMKEEFTPFVFDNLSTGHRESVPEEVFIEGDIHDIAFVAETMKRYEIDGVIHFAASSLVAESMVEPGKYYSNNVEGTLHLLLGMRKAGVDKIVFSSTAAVYGEPEKTPIEEDFPHNPTNVYGRTKLVIEDMMRDFTAAYGLSYVALRYFNAAGAAEGGMIGEDHQPESHLIPLILKTAQGVRDHISIYGTDYPTPDGTCLRDYIHVLDLADAHVLAMKYLAGGGVSDVFNLGSENGFSVREIIEVAKKVTGVDFKVVEEGRRSGDPAALIASSAKCKKALGWNPTRSTEEIIAAAWKWHLSHPYGYGGEKQ 308182028 -----VLVLGGAGYIGSHAVDRLVAKGYDVAVVDNLVTGHRAAVEHARFYEGDVRDTAFMNTVFDQENVEGVIHFAAFSVVPESMKDPLKYFDNNTAGMVKLLEVMAKHDVKKIVFSSTAATYGEPKQVPIKESDPQVPTNPYGESKLAMEKIMHWSDVAYGIKFVALRYFNVAGAKPDGSIGEDHAPETHLVPIILQVAAGERDQLQIFGDDYPTPDGTNVRDYVHVVDLADAHILALEYLKQGHDSDAFNLGSSTGFSNKQMLDAAREVTGKPIPAVMAPRRAGDPSTLVAASDKARTVLGWTPQYDVKEIIKTAWTWKESHPAGYDDR-- 145297312 ----KVLVTGGCGYIGSHTCLALQTAGMEPVVVDNLCNSKVGVLARIAFYQGDIRDPALLDRIFAEQQIAAVIHFAALKAVGESTRLPLEYYENNLAGTLTLLQAMKRAGVNSLVFSSSATVYGDPASTPIREDFPRSATNPYGRSKLIIEEILEDLQREPHWSITLLRYFNPVGAHESGTMGEDPQGPNNLMPFLTQVAIGRRDCLSVFGNDYPTIDGTGVRDYIHVMDVAEGHVKALQHCARQGGVHIYNLGTGQGQSVLQMIAAFEAASGQPLPCRIEPRRPGDIAECWADPSKAERELNWRANRDLATMCADSWRWQSRNPQGYE---- 170021179 ----TILVTGGAGYIGSHTVLALQERNDDVVVLDNLSNASQTSLKKPIFYKGDVMDRHLLKKIFASHNITDVIHFAGLKSVSESIKDPLSYYQNNVTGTLVLLEEMRAAGVNSFIFSSSATVYGNPDRVPLNENSRTGTTNPYGTSKYMVEQILEDFSRQPEFRITCLRYFNPVGAHPSGRIGEDPNGPNNLVPYIAQVTIGRLEVLSVYGNDYPTPDGTGVRDYIHVMDLAHGHLAALDNKDKGDAYKVFNLGTGIGYSVLDLVNAFEKAAQTKINYRFAPRRGGDIAECWSDPSRARRELGWQATRTIEEMMRDTWNWQKNNPNGYRS--- 136480852 MLGKKILVTGGAGYVGSHTCNLLSHNGFEVIVYDNLSTGHKEFVKWGPLEIGDILDTNNLSDVIRKYNIDAVFHFAAKAYVGESVQDPFKYYKNNIVGTSSLLEAMQINKVKDIIFSSTCATYGIPPDQLIKEEMPQNPINPYGFTKLAIERMLRDLSIIGKIRYIALRYFNASGASIQANIGEKHNPETHLIPLAIQSHYDENYTLKVYGDDYDTPDGSAVRDYIHVDDLAYAHLKAYQYLESTNQSDQFNLGTGQGMSVLEILKALREL-GLNPKFKISRRREGDPDYLVADNSKAQRVLGWNAKKSIKEILSSANEWYKK---------- 300949428 ----TILVTGGAGYIGSHTVLQLIEGGYDVVVLDNLSNSSQESLHRIPFIEADINDKQKLEEVFKNYDIDAVIHFAGLKSVGESVKKPLEYYINNVSGTLTLLEVMKQASVYKFIFSSSATVYGNHSPVPNLEEYPIGNASPYGTTKVMLEQVLSDYAKSDDLKIIALRYFNPTGAHPSGLIGEDPNGPNNLVPYISQVAIGKLEKLSVYGGDYETIDGTGVRDFIHVVDLASGHVSALRHIDKLQGYDVFNLGTGNGVSVLQVIDAFEKASDKKVNYEIVARREGDIGSSWADVSKAERVLGWKAKYNIEDMMAHTWNWQKQNPNGYGS--- 294339370 MTTATILVTGGAGYIGSHTCVALIEAGYIPVVYDNLCNSSAESLKRPAFVQADIRDAARLDAVFAQHKIDAVIHFAGLKAVGESVEKPLMYYDNNVGGTVVLLEAMQRAKVHNLIFSSSATVYGDPASTPIREDFPLSATNAYGRSKLMIEEILGDHRAEPHWRIARLRYFNPVGAHESGLIGEDPRGPNNLMPYITQVAVGRLQKLRVFGGDWPTVDGSGVRDYIHVMDLAEGHVAALRYLLGHEGMFTVNLGTGQGYSVLQMVQAFGKSIGRDLPYEIVARRPGDIAECWADPAAAKALLGWSAKRGLDTICADAWRWQQGNPEGYG---- 94968729 ----KVLVTGGAGYIGSVVAAALVERGHSVVVYDNLSNGHRAAVPSAAQVVGDIGDRAMLDATLRNGAFDGVMHFAAFIEAGESMRFPERFFRNNTANTLTLLELMLEHRVSRFVFSSTAALYGNPERTPIEESDPLKPTNAYGESKLLVERMLEWFHSIHGLCYASLRYFNAAGATAT--LAEDHHPESHLIPIVLEAAAGKRDSIAIHGTDYPTPDGTCVRDYIHVSDLADAHLLALERRDEQPERLIYNLGNGHGSSVLEVIEAAKRVTGNPIQVKEGPRRAGDPEILVASSQKIRKELGWSPKYDIDTIIESAWRWRNSHPKGYGDEQ- 190410050 ----RILVTGGAGYIGSHTAKLLRLEGIEPIVYDNLTTGNRSAVRWGPFVLGDILDGNHLVEVLEYHRPDAVIHFAASAYVGESVADPAKYYNNNVRGTLSLLDACRRTGVDKVIFSSSCATYGVPAVLPIHETTPQDPINPYGKTKLMAEHMLADYAAAFKLNYVALRYFNACGADPEGDLGEWHDPETHLIPQALMAAAGTISHLEIFGEDYDTPDGTCVRDYIHVSDLASAHVLAYRHLAKGGENLAINVGTGRGFSIREVLRAIVEVTGRDVPVVFRRRRFGDPPTLYADGGLACRTLGFLPRYSLETIVRTA---------------- 221640108 ---QRVLVTGGAGYIGSHACKVLKRAGFEPVTFDNLSTGWEQAVKFGPLAHGDLMDRASIDAALETWKPVAVMHFAALSLVGESMRDPGTYWRVNVTGALNLLEATVAAGVKNFVFSSTCATYGDQDGVVLDEDTPQRPINAYGASKRAIEEMVANFGAAFGLNHTIFRYFNVAGADPEGEVGEQHDPETHLIPLMLDAVAGRRPALTVFGTDYPTRDGTCIRDYVHVMDLAHAHVLGLNRLLDGGPNRVFCLGTGRGFSVREVIEQSRAVTNREVPILFGGRRSGDAAALVCGSERAVRELGWEPELSLPHMIADAWRWHQA---------- 269793019 ----RILVTGGAGYIGSHCCVELLSEGYQLVVVDSLVNSKPEALRRIRFYRGDVGDRELLERVFSENRIDAVIHFAGLKAVGESVQVPLKYYRNNVCGTVALCEAMERHQIKRMVFSSSATVYGEPERCPISEDFPLEAKSPYGRTKLMIEQILGDLASSNDFSLVILRYFNPIGAHESGLMGEDPNGPNNLMPYITQVASGRLETLNVFGGDYDTPDGTPIRDYIHVVDLVKGHLKALDKATSSAGTFVYNLGTGRGYSVLEVIRAFEAATGVRIPYRIVDRRPGDVPVCYADPSKALRELGWRAERTLEDMCRDSWRWQTMNPMGYDDQ-- 167754562 -----ILVLGGAGYIGSHTVYQLIENGKEVVIVDNLQTGFKELIPKAKFYQGDLRDKTFLNNVFEQEKIDGVIHFAANSLVGVSMKEPLEYYDNNVYGMIVLLEVMKNHSVKHIVFSSTAATYGEPKRIPIEEDDETYPTNPYGETKLAMEKLMKWCSSAYGMSFVALRYFNACGAHPNGKIGELHNPETHLIPLILQVPLGIRESIYVFGDDYDTKDGTCIRDYIHVMDLADAHIKALNYLKAGNPSNIFNLGNGEGYSVLEIINAAKKVTNLPIAVTKAARRAGDPAKLVANNTKAKEILGWEPKYDIEKIISTAWNFYI----------- 212640484 -----ILVVGGAGYIGSHVVKQLVE-TKPVVVLDNLSTGHRYLVKKAVFVHGDLGDRATLVRIFEKYPIDAVMHFAANSLVGESVAEPMKYYKNNVAATLTLLETMMAYGVKRFIFSSTAAVYGIPNVDVITEQCPTNPINPYGRSKLMIEHMLADFASAYDLRYVVLRYFNAAGAHESGEIGEDHQPETHLIPLILQHLLGMRDKISVFGTDYDTRDGTCIRDYIHVTDLAEAHILALHALLDEKKTATYNLGNGLGYSVKEVIDMCERVTGKKATIEYTARRPGDPARLVASSENIARELGWKATRSLEDIITSAWRWH------------ 261350620 -----ILVTGGAGYIGAHTNKALNQAGYETVVVDNLSKGYENFVKWGHFENYDFGSK-DLREVFEKYDIDGVLHFGAFSSVAESVELPQKYFKNNYKNTINLLQIMREFGVNKFILSSTAAVYGNPEKVPITEDQELKPINPYGHSKFITEKALEREAAKGDFNYVALRYFNAAGCDFDCEIGELHEPETHLIPLVLDAAIGRRDSISIFGTDYNTPDGTCIRDYIHVNDLAKAHIDAYEYLCNENESNVFNLGNGKGFSVKEVIDMVKKVTGKEFAVKLDERREGDPDILIADATKIKDKLGWTPQYDLETIVESAWNWHKK---------- 254480966 -----ILVTGGAGYIGTHACVCLAEAGYSVVVLDNLCNASAEAVARVPLVQCDIRDAVGLDQLFASHDIAAVMHFAGLKAVGESVEKPLEYYDNNVNGTLVLLAAMERAGVDKFIFSSSATVYGDPASVPIKESFPTSATNPYGRSKLMVEEILADWQARPSWSIGRLRYFNPVGAHASGRIEDPQGWPNNLMPFVAQVAVGRRDKLSVFGSDYPTPDGTGVRDYIHVVDLAEGHVAALEYVLDNAGVLTVNLGTGVGASVLDMISAFEQASGKNIPYELVDRRAGDIAECWADPATAESLLGWRATRSLEQMCVDTWRWQEANPEGYGN--- 254387500 ----RVLVTGGAGYVGSFTVRGLAAAGHDVVVADNLSTGRREAVAEHDLRVVDILDTEALRRVFQGFRPDAVIHFAALKSSEASLRDITTYYRVNVTGTQNVLGLCAETGVGRFVFSSSCAVYGTPQICPVDESAPVRPESPYGESKYLCERMIASYGRATGMRYANLRYFNAAGAAADGALGENAGPATQILPVAVRTALGLVPTLRIFGGDYPTADGTALRDYIHVEDLARAHARVVEELDSDDRNGAVNLGRGEPVSVRELVDALEKVSGRTIDVSTVPRRPGDPALSWADPALAESRFGWRAEHSFDDIVRTAWAWHTRVPASLE---- 196045761 -----VLVTGGAGYIGSHTCVELLNNDYEVIVVDNLSNSSVESIKQFKFYKEDLVNYEALNKIFEENTIEAVIHFAGLKAVGESVAKPLTYYHNNIISTLTLCEVMQKRNVKKMIFSSSATVYGIPETSPITEEFPLSATNPYGQTKLMIEQMMRDAFADTEWSIALLRYFNPFGAHESGRIGEDPNGPNNLMPYVTQVAVGKLKELSVFGNDYSTKDGTGIRDYIHVVDLANGHVKALEKVLNTTGVDAYNLGTGTGYSVLEMVEAFEKVSGKKVPYKFTERRPGDVAVCFADASKAKCELGWEATRGLEEMCADSWRWQSENKNGYQK--- 294675681 ---QHVLVTGGAGYIGSHACKALARAGYVPVTYDSLVTGWRDAVKFGPLEEGDLSDRAWLDAVFARWQPVAVMHFAALSLVGESMKNPGLYWRHNVLSSLTLIEAACAAGCLDFVFSSTCATYGDQDGVVLDETTTQAPINAYGGSKQAIEMILRDFEASHGLRSVIFRYFNVAGADPEGEVGEFHRPETHLVPLMLDAIDGKRPALTVYGSDYPTKDGTCIRDYVHVSDLVDAHVLGLQWLQAGKGSEVFCLGSGTGFSVREVIAQSKAVTNRAVPIVEGARRPGDAAVLVSGSTKAIRDLGWAPKRSLTTMIADAWRWHQ----------- 159185688 ---RTILVTGGAGFIGSHICKALAQSGFKPIAYDNLSTGHADSVRWGPLIEGDILDGVLLKATLREFSPAFVIHCAANAYVGESVEDPRKYYRNNVGGSLSLLDACLDQNIGGLVFSSSCATYGVPQQLPIREETAQMPVNPYGRTKLIFEMALEDYAAAYGLRFVALRYFNAAGADPDGELYERHEPETHLIPRALMAAAARLPQLDVFGADYDTSDGTCIRDYIHVSDLADAHLAAVNYLSDGGETLRVNLGSGHGTSVGDIIRAIHRVTGQEVPVHFGARRAGDPPALFADIRRAEETLGFTPKRSIDTIIRTA---------------- 307544988 MSN-TILVVGGCGYIGSHMVKQLARAGNKVVVLDNLSTGFRELAKYGQLVVGDLGDVDLLERLFREHSFDGVMHFAANSLVGESVTEPSKYYRNNVGNTLGLLDVMVRHDVRHFIFSSTAATFGEPERSPIDERHPQAPINPYGASKLMVEQVLSDYAHAYGLNSVSLRYFNACGADPEGELGECHDPETHLIPLILQAASGRRESITVFGRDYATEDGTCVRDYIHIEDLCSAHALALSMILENRRSGAYNLGNGQGFSVQQVIDVVKSVVGCSLKVEEGDRRPGDPAVLVADAARAKEELGWRPAFDLEKIVTHAWQWEKQ---------- 75762844 -----ILVTGGAGYIGSHTCVELLNSGYEVIVVDNLSNSSVESIKQFKFYKEDVLNREALDAIFEENVIEAVIHFAGFKAVGESVAIPLTYYHNNITSTLVLCEVMQKHNVKKMIFSSSATVYGIPETSPITEEFPLSATNPYGQTKLMIEQIMRDAFADAEWGIALLRYFNPFGAHESGRIGEDPNGPNNLMPYVTQVAVGKLKELSVFGNDYPTKDGTGVRDYIHVVDLANGHVKALEKVMSTTGVDAYNLGTGMGYSVLEMVEAFEKVSGKKVPYKITERRPGDVAVCFADASKAKRELGWEATRGLEEMCADSWKWQSNNKNGY----- 255320635 ----KVLVTGGAGYIGSHTCVELLQAGHEVIVLDNLSNSSEEALHRVQFIQGDIRDHQVLDQIFDEYKIDAVIHFAGLKAVGESQQVPLVYFDNNIAGSIALVQAMQKAQVYRLVFSSSATVYDEANISPLKEEMPTGPSNNYGYTKLMVEQILEKLIADERWSIALLRYFNPVGAHQSGQIGEDPQGPNNLMPYITQVAVGRREKLSIYGSDYDTVDGTGIRDYIHVVDLANAHLCALNNRLNSQGCRAWNIGTGQGSSVLQVKNAFEAVNQVKIPFEFVPRRTGDVAISFADNSRAMAELGWQPRYTLEDMLKDSWKWQQQNPQGY----- 163745050 MEN--VLVTGGAGYIGSHACKALKTAGFTPVTFDNLATGWRDAVKFGPFEQGDLQDRARLDEVFDKYRPIGVMHFAALSQVGESMAEPGLYWRNNVSGSLTLIEAAVAAGCKRFVFSSTCATYGDQDNVVLNESSAQYPINAYGASKRAIEDILRDFEAAHGLQHVIFRYFNVAGADPEGEVGEFHQPETHLIPLMLDAISGKRAALTVFGDDYPTPDGTCIRDYVHVCDLVDAHVLGLKWLQADKGSRVFNLGTGSGFSVREVLDHSRAVTNQRVPHEIGPRRPGDCTKLVSGSSRAVEELGWRPERSLQQMISDAWNWHQ----------- 253997091 ----KILLVGGAGYIGSHMLKMLLAAGHQVVTFDNLSSGYRDAVLGGEFIQGDLADTVALDQVFTQHQPEAVMHFASYIQVGESVKRPDIYYQNNVSNTLNLLNTMVKHDVKKFIFSSTAAIFGEPVAVPIDELHPKQPVNPYGKSKWMIEQALADYDVAFGLKSICLRYFNAAGADPEGQLGERHEPETHLIPLLLQVASGRKPTINVFGRDYDTEDGTCVRDYIHVVDLCKAHLLALKNLEQHQQSNQFNLGNGKGFSVQEVINTVQRVTEKAIHVIDSPRRQGDPARLIADATRAQRELTWHPSLSLDTIVQHAWNWEQK---------- 94264687 -----ILTTGGAGYIGSHTTLELLNAGHEVVVLDNLSNSSPASLERPVLVQGDINDPELLAELFARHQISAVIHFAGLKAVGESVAQPLAYYHTNVGGTVNLCRAMAAAGVFRLVFSSSATVYGEQQQMPLTEDCPTGPTNPYGRSKLMIEELLQDLARADQWSVALLRYFNPVGAHPSGLIGEDPRGPNNLLPYISQVAIGKRPELQVYGNDYPTRDGTGVRDYIHVVDLARGHLAALDYLQRQTGAGVWNLGTGRGYSVLEMVEAFASAAQRPVPYRIVARRPGDIAECWSDPGKARRELGWQAEKGLPEMMADAWRWQSRNPDGYSSQ-- 134949215 MNEQKVLVTGGAGYIGSHACKALQQSGFTPVTVDNLVTGWRDAVKFGPFEQGDLLNKDDVDRVFEKYSPVAVMHFAALSQVGESIKKPGLYWQNNVLGSLNLIQAAVDYGCMDFVFSSTCATYGDQDGIVLDEDSMQHPINAYGASKRAIENILGDYQAAYGLNQVIFRYFNVAGADPEAEIGEFHRPETHLIPLILDAVDGKRESLTIFGTDYDTPDGTCIRDYVHVYDLVEAHVLGLKWLQQGRGSRVFNLGTGDGFSVREVVDHAGQVTKRPVPIIEGARRPGDCTKLVSGSSRAVSELGWSATRSMKQMIKDAWRWHQN---------- 239834580 MTRPTILVTGGAGFIGSHTAKLLHESGFSPVVYDNLSTGHRSSVRWGEFVEGDTLSQDQLVAAITSFDPAAIIHFAASAYVGESVTDPAKYYRNNVGGIQSVLEASRLTGGRPVIFSSSCATYGIPNALPIREGEMQRPINPYGRTKLIAEHMLADYSAAYGLPYAALRYFNACGADLDGELGERHDPETHLIPRAMLAAAGKADCLEVYGDDYETPDGTCIRDYIHVVDLARAHVLAVEHLLNGGGNLVLNLGTGRGTSIREIVDAIDRLTGRRVPVEMRPRRAGDPPVLYADPSEAAAKLGFRTLHSLDTIIRTA---------------- 300172674 -----VLVLGGAGYIGSHMVKTLVESGRDVVVVDALFTGHRDAVPAAKFYQVDIRNKTALSDVFDKENIEQVVHFAAFSIVPESVANPLKYFDNNTSGMITLLEVMKDHDVKQIVFSSTAATYGNPVNIPIKETDPQNPINPYGESKLMMEKIMAWSDKSDGVKWVALRYFNVAGAAEDGSIGEDHTPETHLVPIILQAGLGQREYIEMFGDDYKTPDGFNVRDYVHVLDLADAHIRALKYLADGHESNQFNLGSATGFSVKEMVEAAREATGVDIPSKIGPRRAGDPDILIANSDKARDVLGWAPKYDVQDIIKTAWHWHQNHPTGYDDK-- 306841793 ----TILVTGGAGYIGSHTCVQLIEAGHEVVVVDNFDNSHPEALHRIRREPGDIRDRALMEQVIKRHKCTAVIHFAGLKAVGESSEKPLLYYDCNVLGTLRLLQAMEATGVKKLVFSSSATVYGDPEKLPITEDQPLSATNPYGRTKLVIEDMLRDYNSDNSWAIAILRYFNPVGAHESGLIGEDPKGPNNLMPIIAQVATGRREKLNIWGNDYPTPDGTGVRDYIHVNDLAAGHLKAL-KKLDKPKCFAVNLGTGQGYSVLDVIKAFEHVSNREIKYEIAPRRPGDVAECYADPGFAKKFLGWSAEKNLREMCQDMWNWQSKNPNGYE---- 302388299 ----RILVTGGAGYIGSHTCIELLEQGHEVVVVDNLCNSSKVSLERVEFYEADLLDREALEKIFAKEAIDAVIHFAGLKAVGESVAKPLEYYHNNITGTLILCDVMRAHHVKNIIFSSSATVYGDPAFVPITEDCPKGKINPYGQTKSMLEQILTDHTADPEWSVILLRYFNPVGAHKSGLIGEDPAGPNNLTPYITQVAVGKLKEVGVFGDDYDTPDGTGVRDYIHVVDLALGHVKALEKIASSGEVRIYNLGTGQGFSVLQMIEAFSKACGKSIPYSIKPRRPGDIAACYADVSLAKKELGWEAARGIDEMCEDSWRWQSGNPNGYK---- 84500647 ----KVLVTGGAGYIGSHACKTVRAAGFEPVTYDNLSTGWEEAVKFGPFEKGDLLDRKRLDEVFASHAPVAVMHFAALSQVGESMKKPGLYWQNNVEGSRNLIEAACDAGCMNFVFSSTCATYGDQDNVVLDENSVQLPINAYGASKRAIEDMLRDYGAAFGLRHVIFRYFNVAGADPEAEVGEFHQPETHLIPLMLDAIEGKREALTIFGTDYETPDGTCIRDYVHVCDLVDAHILGLTWLMDGKQSRVFNLGTGSGFSVREVIDHSRAVTNRAVPYKEGPRRPGDCTKLVSGSTRAVSELGWNPQRSLDRMIADAWRWHQ----------- 309790903 ----KILVTGGAGYIGSATAAELLDAGHQVVVFDNLSQGHREAVPAATFIQGDLADRAALAQLFAAHDIDGIMHFASYTLVGESMQQPLLYLRDNLVNAANLLEAAVAAGVRRFILSSTANLFDDPAKMPIDEHERIVPGSPYGESKFFIERLLHWFERIYGLRYACMRYFNASGDTPER--GEHHDPETHLIPLILQVALGQREQITIFGNDYPTPDGTCIRDYVHVVDLAQAHILALQALDRLG-SRKYNLGNGSGFSVLEVIEAARKVTGHPIPHVVGPRRAGDPAILVASSETIRQELGWQPRYDIESIIATAWEWHRSHPQGY----- 187735620 ----KVLVTGGAGYIGSHTVRQLVKTGADVTVLDNMVYGHAGALPEVKLVKGDLGDASVVYPLLMKGNFDAVIHFAAFINVGESVQNPLKYYMNNIARPLVLLGAMQAAGVKRFVFSSTCATYGVPTQIPIPETEKQDPINPYGSSKYMLEKVCRDCDRAWGLKSVFLRYFNASGCSEDGLIGEDHEPETHLIPNILLTLTGEKEYIEVFGTDYDTPDGTCIRDYIHVNDLADAHLKAVDYLMKGGATECFNLGTGLGLSVREILETAEKVTGKKIPVRYGPRREGDPPRLIANPKKAKEILGWEARKDAGAIVETAWKW------------- 289638043 ----RILVTGGAGFIGSHTCVELLQGGHEVIVLDNLYNSAVTALRRVEKIKGDVRDGALLKTIFARHAVDVVIHFAALKAVGESVQKPLEYYDNNIGGVVTLCKAMREAGVHSIIFSSSATVYGVPETVPVKEDFPLSATSPYGRTKLMTEEILRDFVADNRFSVVLLRYFNPIGAHESGLIGEYPKGPNNLTPYIAQVATGKLKELKVFGNDYPTPDGTGVRDYIHVVDLAKGHVCALKKASEPGVHI-YNLGTGVGYSVLQVIAAFEKACGKTIPYVFAPRRAGDVAENYADPSKALRELGWKTTKGIDDMCRDLWNWQSKNPDGYPVE-- 119899678 ----TVLVTGGAGYIGSHTVLELLNAGEQVVVIDNLSNGSEEALRRAGFVNADVRDVQALDALFARYTVSAVIHFAALKAVGESVAKPLAYYDNNVCGLLGLVDAMRRAEVRRLVFSSSATVYGDPASVPIVEDFPTSATNPYGRTKLMCEQILADVAHADPWRIALLRYFNPVGAHPSGRLGEDPAGPNNLMPYVSQVAVGRLPALQVFGGDYPTPDGTGVRDYIHVVDLALGHLSALRRLDSLPPVDAINLGTGCGYSVLEVVEAFRKASGRAVPFRIVDRRPGDVAACWADTAKARRVLGWQAERGIAEMCADAWRWQSANPSGY----- 229076479 -----ILVTGGAGYIGSHTCVELLKSGYEIIVVDNLSNSSVESIKQFKFYKEDILNREALDTVFKENTIEAVIHFAGFKAVGESVAIPLTYYHNNITSTLVLCEVMQKHNVKKIIFSSSATVYGIPETSPITEEFPLSATNPYGQTKLMIEQIMRDAFADTEWSIALLRYFNPFGAHESGRIGEDPNGPNNLMPYVTQVAVGKLKELSVFGNDYPTKDGTGVRDYIHVVDLANGHVKALEKVIHTTGVDAYNLGTGTGYSVLGMVEAFEKVSGKEVPYKITERRPGDVAVCFADVAKAKRELGWEATRGLEEMCADSWRWQSENKNGYQK--- 302876882 -----VLVCGGAGYIGSHCVYELIERGEDVVVVDNLQTGHRAAVHEAKFYEGDVIDFDFMNKVFKENSIDAVIHFAANSLVGESMEKPLKYYNNNVYGAQKLLEAMIANDVKKIVFSSTAAVYGEPKTVPVVESLDTNPTNTYGETKLAMEKMMKWCDQAHGIKFIALRYFNVAGAHENGLIGEAHSPETHLIPLILQVPLGKRESIMIYGDDYPTADGTCIRDYIYIRDLIEGHILALERLRSGADSDVFNLGNGNGFSVKEMIEAARRVTGHPIPAKVSPRRAGDPAVLVASSEKAKEILGWTPKMNVEEIIASAWNFHNTHKNGFED--- 188533476 -----ILVTGGAGYIGSHTVLALLERGDEVVVLDNLSNASRESIARAAFYEGDILDRACLRNIFKAHDISAVIHFAGLKAVGESSRKPLEYYQNNVSGTLVLLEEMRNAGVKQFIFSSSATVYGADAPVPYVETTPIGTTSPYGTSKLMVEQILRDYAKTPEFKTIALRYFNPVGAHESGQIGEDPNGPNNLLPYIAQVAIGRLEKLGIFGDDYPTKDGTGVRDYIHVMDLAEGHLKALDHLSAIEGYKAYNLGAGEGYSVMEMVKAFEKASGRKVAYQISPRRDGDLAAFWADASLADKELNWRVRRGIDEMMRDTWNWQSQNPHGYS---- 163790004 ----TVLVLGGAGYIGSHAVDQLIEKQYDVAVIDNLRTGHQQAVKKARFYQGDIRDKSFMESIFEKEAIEGVLHFAAHSLVGESMQQPLQYFNNNVYGTQVVLEVMQKFGVKLIVFSSSAATYGEPKEIPIKETADTNPESPYGETKLMMENILKWCDHAYDMKFVALRYFNVAGAKLDGSIGEDHSPESHLVPLILQTALGQRKEMLIFGDDYPTADGTCIRDYVHVVDLIEAHILALDYLKAGNQSTIFNLGSSAGFSVKQMLDTAREVTGKEIPAVVTARRAGDPSTLIASSEKARNILGWKPQTDIKAIIASAWNWHNAFPNGYDD--- 293396940 -----ILVTGGAGYIGSHTVLALLERGENVVVLDNLSNASEVSLHRAVFYQGDIQDRVCLKRIFDAHDISSVIHFAGLKAVGESTRKPLEYYQNNVAGTLVLLQAMREAGVHQFIFSSSATVYGANAPVPYVETTPIGTTSPYGTSKLMVEQILQDFAKAEPFSIIALRYFNPVGAHPSGLIGEDPNGPNNLLPYIAQVAIGKLEKLGIFGGDYPTKDGTGVRDYIHVMDLAEGHLMAMDHLNGNSGCKAYNLGAGVGYSVLEMVHAFEKAAGVTIPYQILPRRDGDLPAFWADAALAKRELGWEVKRGIDEMMRDTWNWQSNNPQGYKK--- 309798612 MQEK-ILVTGGAGFIGTHTVIELVQAGHQVVVVDNLVNSSRKSLVEIPFYEVDIRDTDTLRDIFKHEEPTGVIHFAGLKAVGESTRIPLAYYDNNIAGTVSLLKAMEENNCKNIIFSSSATVYGDPHTVPILEDFPLSATNPYGRTKLMLEEILTDHKADSEWNVVLLRYFNPIGAHESGDLGENPNGPNNLLPYVTQVAVGKLEQVQVFGDDYDTEDGTGVRDYIHVVDLAKGHVAALKKLQKGSGLNVYNLGTGKGYSVLEIIQNMEKAVGRPIPYRIVERRPGDIAACYSDPAKAKEELGWEAELGITEMCQDAWRWQSKHPNGFED--- 288816268 -----ILVTGGAGYIGSHTTLALLEAGYQVIIVDNLTTSHFDSVLRIDFYVGDIRDKHFLHSVFSSNNIESVIHFAGLKSVGESVVLPIKYYDNNVSGTLNLISEMIQHNIHHLIFSSSATVYGNPEKIPLEECSRIGTTNPYGTTKLMVEQILDDVTAVYPFRTTILRYFNPVGAHPSGEIGEDPNGPNNLMPYICQVAIGKHKQLSVLGSDYPTKDGTGIRDYIHVMDLAEGHVAALERRNEGPNHKVYNLGTGTGYSVLEVLNAFERVTSYAVPYILSERRPGDIAECWSDPTKAQKELGWKAQRGLEDMIRDAWNWQQKNPNGYKK--- 218665669 -----VLVVGGAGYIGSHMAKMLAQAGFGVVILDNLSTGFPDAARYGDLIRGDLSNQALLDRLFHEHAFVAVLHFAALSQVGESVRAPALYYRNNVANTQNLLDAMLRHDVRRFIFSSSAAIFGEPASAYIEETHPQRPINPYGRSKRMVEEMLADYDHAYGLRSVSLRYFNAAGADPEGELGERHDPESHLIPLVLQAANGRREHIAIYGDDYPTPDGTCVRDYIHVWDLCSAHLLALEHLLADGRSDVFNLGNGAGFSVQEVIDTTRRVTGRDIPAIVQGRRAGDPAVLVADSQKARRALGWEPRFELETIISHAWGWERA---------- 134804216 IKKMNILVTGGAGYIGSHTCIELLQAGYNVVVIDNLENSSAQSLKRITFYELDIRDKKALNKVFEENCFDGVIHFAGLKAVGESVKKPMEYYNVNVYGSFILAELMKKFGCKTLVFSSSATVYGEPNAIPIKEDFPLSPKNPYGRSKLMVETYLRDFLESDSWHIGILRYFNPAGAHESGLIGEDPNGPNNLLPYISQVAVGKFDKLKIFGDDYKTHDGTGVRDYIHVVDLAKGHLMALEALRKKSQILTLNLGSGRGQSVIDMIKAFEKASSKKIPYEIVDRRPGDIPASYTDTTFAEKILGWKPKYDENKICEDAWRWQQNNPSGYE---- 283848031 -----ILVTGGAGYIGSHTCVELLNAGHDIIVLDNFSNSKYESIKRIQEYEADLLDKEALANIFNENDIEAVIHFAGLKAVGESVAIPLHYYHNNITGTLHLCEQMKKHGVKTIVFSSSATVYGMTEKMPIVETDPLSATNPYGRSKLMIEEILRDYVSDNEWSITLLRYFNPIGAHESGRIGEDPNGPNNLMPFITQVAIGKRDELKVFGDDYPTEDGTGVRDYIHVVDLAKGHLKALEKAKTTSGAHAYNLGTGNGYSVLQLVNAFEKAANQKIPYSIVDRRPGDIATCYADPTKAKEELGWTAEKGIDDMCNDSWKWQKNNPNGFE---- 222098727 -----ILVTGGAGYIGSHTCVELLNNDYEIIVVDNLSNSSVESIKQFKFYKEDLENYEALNKIFEENTIEAVIHFAGLKAVGESVAKPLMYYNNNIISTLVLCDVMQKRNVKKMIFSSSATVYGIPETSPITEEFPLSATNPYGQTKLMIEQMMRDAFADAAWSIALLRYFNPFGAHESGRIGEDPNGPNNLMPYVTQVAVGKLKELSVFGNDYPTKDGTGVRDYIHVVDLANGHVKALEKVLNTTGVDAYNLGTGTGYSVLEMVEAFEKVSGKKVPYKITERRPGDVAVCFADASKAKRELGWEAKRGLEEMCADSWKWQSNNKDGY----- 294635570 -----ILVTGGAGYIGSHTVLALLARGDEVVVLDNFVNASPQALARVEQYRGDVRDRALLRQIFAQHHISDVIHFAGLKAVGESVEKPLAYYDNNVSGTLVLLDEMRQAGVQGFIFSSSATVYGDPESVPLRETSRTGTTNPYGTSKLMVEQILQDAVAAPEMRITALRYFNPVGAHPSGLIGEDPNGPNNLIPYVAQVAVGKLSCLSVFGNDYPTHDGTGVRDYIHVMDLAAGHLAALDHRDEGDNYKVYNLGTGIGYSVLDIVAAFERSAGVTIPYRFVPRRAGDIAECWSDPALAAQELGWRAQYDLEAMMADTWRWQQQNPNGYK---- 282901912 --NKKVLVTGGAGYIGSHVVLQLAESGYDIVVYDNCSTGTPDSVLHGELVIGDLSDIDRLYQIFSQHRFSAVLHFAASLVVPESVAHPLDYYTNNTRNTLNLLRCCSVMGVNQFVFSSTAAVYGQPQENPVTEDSPTLPINPYGRSKLMSEWIIQDHGLASDFRYVILRYFNVAGADSRGRLGSNSPHANHLIANACNVALKRQPELKIFGVDFPTVDGTGVRDYIHVEDLASAHVDALKYLENNGTSQILNCGYGKGYSVLQVVERIRAISGMDIPITIASRRPGDPACVTAHAQKIKQVLNWEPKYDLDDIISTTLDWEK----------- 237745868 -KNRTVMVTGAAGYIGSHTCVELLEAGFSVVAVDNLCNSSRKSLERVEFHELDIRDRNGMKKVFEQSGIDAIIHFAGLKAVGESVAEPLKYYDNNVAGTISLMQDAREAGVRRFVFSSSATVYGDPDYSPVPETAKLSVANPYGRSKLMIEKILNDLFSDPSWSVAILRYFNPVGAHPSGMIEDPHGVPNNLMPYVAQVAVGRLKELAVFGDDYPTKDGTGVRDYIHVMDLARGHVDALAYLFENNKGFTVNLGTGVGYSVLDVVRAFEKACGRSIPYRIAPRRAGDVPLYYADPSLAFFLLGWKAKYGLDQMCADYWRWQSGNPDGY----- 78223532 -EGMTVLVTGGAGYIGSHVVRQLSEAGYTVVVYDNLSTGFADALVHGERVVGELADSDRLDALFAEHGFKTVLHFAASIVAPESVTDPLKYYSNNTRNTLNLLTACVKHGVERFIFSSTAAVYGMPENGIAAEESPTVPINPYGTSKLMSEWMLRDVCAAHGMRSVALRYFNVAGADPQARMGQRTPEATHLIKVSSQAALGMREKVSIFGTDYATPDGTGIRDYIHIEDLASAHLAALAYLERGGETTRINVGYGKGASVREVIEMVRRVSGVHFPAEEAPRRPGDPAMLVAKADRARTVLGWTPHYDLETIVADAWRWEAK---------- 225868690 -----ILVTGGAGYIGSHTCVELLAAGYDVVVIDNLCNSNPKSLREVTFYQGDIRDQQLLTDIFSKHPIVSVIHFAGLKAVGESSRIPLDYYDNNISGTLTLLKVMTAFNCKKIIFSSSATVYGDPHTIPILETFPLSVTNPYGRTKLMLEEIFKDYASDNEWHIVLLRYFNPIGAHESGDLGEDPKGPNNLLPYVSQVAVGKLDCVGVFGDDYETKDGTGVRDYIHVVDLAKGHVAALAKFAEEPSLSIYNLGTGTGYSVLDIIEAFSQVVGRSIPYKILPRRQGDIAACYADASKAKKELNWTAEYGLERMCTDTWRWQSKHPNGYED--- 143892078 ----KVLVVGGAGYIGSHMVKMLNQQGAIVTVLDDLSSGHRDAVLYGDFVHGDMADRALLDGILSR-GVDAVMHFASFIQVGESVQQPDKYYQNNVVKTLALLDAMRAHGVERFVFSSTAATFGEPQYCPIDERHQQQPINPYGRTKLMVEQVLADYEKAYGLRSVCLRYFNAAGADPERQLGERHDPETHLIPLILQAASGRRSNIGVFGRDYDTPDGTCIRDYIHIEDLCSAHWLALVSLMGGAGSQTYNLGNGKGFSVQEVIDTAEEVAGRKIAVVDEPRRAGDPARLVADASLAREKLGWEPRYDSATIVRHAW--------------- 77460056 ----KILVTGGAGYIGSHTTLALLEAGYEVVVLDNLCNSSDAALKSALMIRGDVCDRALLDRIFQQHAIDAVLHFAGLKAVGESVRKPLEYYETNVSGSITLCQAMAAAGVFRLVFSSSATVYGEPAQMPIREDFPTGPTNPYGQSKLIVENVLRDLCQSEPWSIALLRYFNPIGAHHSGQMGEDPNGPNNLVPYISQVAVGSLKELSIFGDDYPTVDGTGVRDYIHVVDLADGHLKALQAIADRTGIHTWNLGTGDGYSVLQVLRAFEQACGQPVPYRVMPRRSGDIAESWADASKAAKELGWKATRNLQDMVTDTWRWQSNHPRGY----- 282891158 MGLKTVLVVGGAGFIGSHVNKMLHQAGYHTIVLDNLSTGTQLTVTRGTFLKGDLADRACLDFIFSSYPIDAVMHFAALIDVGESMHSPALYYRNNVANTLNLLEAMHKHQIPIFIFSSSAAIFGTPQTTFINEEHPCLPINPYGETKWIVEKMLRDFELAYGLKSTCLRYFNAAGGDPDGEIKNFKKKETNLIPLVLRSLKKPEGFISIFGTDYPTPDGTCIRDYIHVSDIGEAHILAMQQLFNGAPSDAYNLGNGQGFSVRQVIEKAETVTGFNVRVVEADRRPGDPAILVANADKAAQKLGWVPRYDLEIMIEHAW--------------- 270263634 -----ILVTGGAGYIGSHTVLALLERGEEVVVLDNLINASEESLRRAEQLQGDVRDAACLSRIFAEHKIASVIHFAGLKAVGESTRKPLEYYQNNVAGTLVLLEAMRDAGVHQFIFSSSATVYGEDAPVPYREDMPIGTTSPYGTSKWMVEQILQDFAKAEPFSIVSLRYFNPVGAHESGLMGEDPSGPNNLLPYIAQVAVGKRDHLSVFGGDYPTKDGTGVRDYIHVMDLAQGHLAAMDHLKQIAGFKAYNLGSGVGHSVLEMVHAFEKAAGVAVPYQILPRRAGDLPAFWADVSLAKRELGWEVQRGLDAMMRDTWNWQSKNPQGYTR--- 297380797 -----ILVTGGAGYIGSHTVLTLLEKGKKVVVIDNLSNSSYISLIEPTFYKGDILDKSILRKIFSENNITDVIHFAGVKSVGESVLLPLKYYKNNISGTLCLLEEMVSFGVNNFIFSSSATVYGEPEMIPLTESCEIGTTNPYGTSKLFVEKILEDFAAAHNFRINILRYFNPVGAHSSGKIGEDPNGPSNLMPYICQVAIGKHSHVSVFGCDYPTTDGTGVRDFIHVMDLAEGHVAALEYKNDGPNLKIYNLGTGRGYSVLELLKTFERMTSIPVPYVFAERRVGDIAECWSDPTKARVELGWKAKRKLEDMIRDAWNWQMKNPNGYD---- 114571302 ----NILVIGGAGFIGSHVCKAIAARGDLPIVFDNLSRGNAHAVQWGPLFKGDVRDAAALDLVFGDYTPVAVMHFAANTEVEEGEQAPLAFWDNNVGGVIALLQAMRRAGCDRLVFSSTCATYGMIEQFPLTEDRLQLPVNVYGRTKLAVEHMLADVSRTEGLRYAALRYFNAAGASPDGEIGEEHKPETHLIPNALKAAAGLGGTMKVFGGDYDTPDGTCIRDYIHVMDLAAAHLAALDRLGRYKGGVACNVGTGQGHSVRDVLEAVEAVTGRPVPYELHDRRPGDPPRLVADVTLSRDLLGFQPSSDLETIVRTAWNFHQARWQA------ 220909164 MAGK-VLVTGGAGYIGSHVVKELGKAGYDIVVYDNCSTGHPQAVLYGELVIGDLADRNRLAATFTQHKITAVFHFAARTSAPESVQHPLEYYSNNTCNTLTLLQLCQRFAVKQFIFSSTAAVYGEPEQNPVSETAPTLPINPYGRSKLMSEWLIQDYAAASEMRYVILRYFNVAGADPGGQIGSFSRQASHLIKIACDAALGDRLGVNIYGTDFNTPDGTGVRDYIHVSDLADAHLKALQYLENGGESKILNCGYGQGYSVRQVLEMVKRLSGVDFSVVESERRPGDPACVVAHSNLIQQFLGWKPQYNLQTLVQTTLDWEKSLHRGTSPQHQ 196157863 ---KTILVTGGAGYIGSHTVLQLLEQEYGVVVLDNLANASAESLRRVEFVQGDIRDTAVLDDIFSEHSISAVIHFAGLKAVGESVQKPLSYYENNVYGTLTLCKAMQKHNVKNIVFSSSATVYGDPASLPLREDMATGPTNPYGMSKLMVEHMLSDYASDNEWNIVLLRYFNPVGAHESGQIGEDPNGPNNLMPYISQVATGKLEQLSVFGDDYDTVDGTGVRDYIHVVDLANGHLKALDRLSLNMGLDKYNLGTGQGYSVIEMVKAFEKGSGKTIPYKIAPRRSGDVAACYADPTKAATELSWHAEKGLEDMCADTWNWQSQNPMGYPKD-- 50083392 ----KILVTGGAGYIGSHTCVELLNANHSVVVVDNLSNSSEEALFRVDFVQGDIRDSTLLDQVFAQYSIDAVIHFAGLKAVGESQQIPLAYFDNNIAGSISLVKAMERAGVFKLVFSSSATVYDEANTSPLNEDMPTGPSNNYGYTKLIVEQMLEKAIADTRWSIALLRYFNPVGAHQSGQIGEDPQGPNNLMPYVTQVAVGRRQKLSIFGDDYDTIDGTGVRDYIHVVDLANAHLCALNNRLNAVGCRAWNIGTGNGSSVLQVKDTFEQVNGVAIAFEFAPRRLGDVATSFADNQRALDELGWVPRYTLEDMLKDSWNWQKQNPQGYSN--- 86136824 MKGKTVLVTGGAGYIGSHACKALKAAGYIPVTFDNLVTGWKDAVKFGPFEQGDLQDRARLDDVFAKHKPVAVMHFAALSQVGEAMSEPGRYWANNTGGSLNLIEAAVAAGCLDFVFSSTCATYGEHDNVVLDESTPQLPLNAYGASKRAVEDILKDFGAAHGLRSVIFRYFNVAGADPEAEVGEFHRPETHLVPLVLDAIDGKRDALTIFGTDYDTPDGTCIRDYVHVCDLVDAHVLGLKWLQEGKGSQVFNLGTGTGFSVREVMDRGAAVTGRPVPCNTGPRRAGDCTKLVSGSTRAITDLGWTPQRSLDTMIADAWKWHQ----------- 283797739 -----ILVTGGAGYIGSHTCLELLKAGYEVVVVDNLCNSSEEAVKRVKFYQADILDREALEKIFESETIDSVIHFAGLKAVGESVAKPLEYYYNNITGTLVLCDVMRKHGVKNIVFSSSATVYGDPAFVPITEECPKGTINPYGQTKSMLEQILTDFHADPEWNVVLLRYFNPIGAHESGMIGEDPKGPNNLVPYIAQVAVGKLPCLGVFGNDYPTHDGTGVRDYIHVVDLAVGHVKALKKIEEKAGVCIYNLGTGKGYSVLDVVKAYEKACGKEIKYEIKPRRPGDIATCYADATKAKEELGWVAERGIEEMCADSWRWQSMNPDGYRS--- 297813553 MMSKNVLVTGGAGYIGSHTVLQLLLGGYSVVVVDNLDNSSAEHGERLSFHQVDLRDKPALEKIFSETKFDAVIHFAGLKAVGESVEKPLLYYNNNLVGTITLLEVMAQHGCKNLVFSSSATVYGSPKEVPCTEEFPISALNPYGRTKLFIEEICRDYGSDPEWKIILLRYFNPVGAHPSGEIGEDPRGPNNLMPFVQQVAVGRRPHLTVFGNDYNTKDGTGVRDYIHVIDLADGHIAALRKLEDCKGCEVYNLGTGNGTSVLEMVDAFEKASGKKIPLVIAGRRPGDAEVVYASTERAESELNWKAKYGIEEMCRDLWNWASNNPYGYDSSSE 258511530 -----ILVTGAAGYIGSHTCVALVESGYDIVAIDNFANSKPEALRRFPVHSVDMLDAQALDEVFRAYAFQAVIHFAGLKAVGESVAQPLRYYRNNLGSTLNLLESMLQHGVKKIVFSSSATVYGASNEMPLKEDMPIAPVNPYGQTKAMIEQILRDAVATEGFGAALLRYFNPVGAHPSGRIGEDPQGPNNLVPYVAQVAVGKRPEVVVFGGDYLTPDGTGVRDYIHVMDLASGHVRALDWVLSHAGAEAFNLGTGKGSSVLEVIRAFERASGRKIPYRIGPRRPGDAAVSYADPSKAEHVLGWKATQDLDAMCRDTWRWQSQNPNGYD---- 259505288 ----KVLVTGGAGYIGSHTCVELLNQGFEVVVVDNLSNSSVEALKRVEFYETNVLDKPSLNAIFEKESVDAVIHFAALKAVGESVSKPLEYYQNNITGTLTLCEVMRDNDVKNIIFSSSATVYGAPKTVPINESFPLSTTSPYGSTKLMAEDMLRDLNADPSWNVVILRYFNPIGAHESGTIGEDPKGPNNLVPYITQVAVGKLDHLSVFGDDYDTVDGSGVRDYIHVVDIALGHVAALKKMTETPEYRVYNLGTGQGYSVLEMVKAFSDVVGHEIPYQIKERRPGDIAECYADPQKAREELGWEAKRDLHKMCEDSWNWQKSNPNGYEQ--- 210622307 -----VLVTGGAGYIGSHTSIELLEEGYEVIIADNFCNSNPTVIKEIVCYEVDVRDFDKMDEIFKNHKIDSIIHFAGLKAVGESVEKPIEYYNNNLISTLTLFDLMKKYDVKNFVFSSSATVYGDPHKCPILEDFPLSVTNPYGRTKLMIEEMLKDIAKVDDMNIAILRYFNPVGAHKSGKIGEDPNGPNNLVPYITKVAIGQLEKVNVFGNDYPTPDGTGVRDYIHVVDLAKGHVKAIDKLKENPGLVVYNLGTGTGYSVLEMINMFSEISGIDIPYEIVGRRAGDIAECYADPTKAEKELGWKAECGLREMCEDSWRWQKNNPNGYKK--- 77919400 ----KVLVTGGAGFIGSHTVVELLQAGYEVVVVDNLSNSSAVALRNPTFEKVDICNRESLWLVFSKHRPHAVIHFAGLKAVGESVEKPLSYYQNNISGTVVLCEVMAEFGVKQLVFSSSATVYGNPSSLPIREDFPLSATNPYGRTKLFIEEMLRDYASDTTWRIALLRYFNPVGAHPSGLIGEDPQGPNNLFPFITQVAVGRRKRLTIFGSDYPTPDGTGVRDFIHVVDLVQGHLKALKRIEIDSGFVTCNLGTGKGYSVMEVVRSFEQVSGVTIPCQVAGRRSGDISACYADPSFAEDELGWRASRSLDEMCSDGWRWQRMNPNGYE---- 83941921 ----NILVTGGAGYIGSHACKALAAAGHTPVTFDNLVTGWRDAVKFGPFVQGDLLNRAEIDAAFEQYQPVAVMHFAALSQVGDSMKQPGTYWRNNVEGSLNLIEATVAAGCKHFVFSSTCATYGDQDNVVLDENSAQHPINAYGASKRAIEDILRDFEAAYGLQSVIFRYFNVAGADPEGEVGEFHQPETHLIPLMLDAIDGKRDALTIFGTDYDTPDGTCIRDYVHVCDLVDAHVLGLNWLREDKGSRVFNLGTGTGFSVREVVEHSREVTNRVVPMTEGDRRPGDCTKLVSGSVRAETELGWRPSRSLQQMITDAWRWHQ----------- 89896033 ---KKILISGGAGYIGSHTVQALAEAGYGPVVLDSLISGHRKAVESIPFYQGDIADAGLVTDIIKKEEVRAVIHFAARSLVGESVQKPDLYFEENTAKTNRFVSTLLQCGVNTIVFSSTAATYGNPEEIPIPEESHTEPINPYGASKLMIEQSFYWLEQAYGLKWMALRYFNAAGAAWDGSLGEDHTTETHLIPLVLKTVLEQREAISIFGTDYKTPDGTCVRDYIHVLDLAEAHIRALEALEQGIPCGAYNVGTGTGYSVREVIAMAGKVTGLDIPVLEAPRREGDPDRLVAKVEKIQRRLGWQARYSLETIIKTAWQWHQKHPHGYGD--- 289209653 ----KILVTGGAGYIGSHVVRQLLADGHDVVVYDNLSTGHRWAIGHAALIVGDMADRQRLHGVLADYAFDGVLHFAARIVVPESLADPLGYYGSNTRNTLNLLEGCQATGVRFLVFSSTAAVYGIPENNPVDETSPLLPINPYGASKVMSERMIADFGQASDLRYVCLRYFNVAGAAPDGTLGQATPNATHLIKVACQAALGERESITVFGTDYPTADGTCVRDYIHVEDLAAAHLRAMDYLVAGGSSQALNCGYGHGYSVLQVLESVQRISGYRFPVIHGPRRAGDPPALTADASRIRDLLAWSPEYDLDLIVRHALTWERR---------- 88800315 ----TVLVTGGAGYIGSHTCVELLEAGKDVLVLDNFSNSSAEPLKRVRLIEGDIRDRALLDKVFSDENIESVVHFAGLKAVGESTEIPLDYFENNVAGSLTLLQAMKAAGVFQFVFSSSATVYGDPHTVPIQESFPLQVTNPYGRTKLMVEDICRDLAASDEWSIALLRYFNPAGAHPSGRIGEQPNGPNNLVPYITQVAIGQRDKLSVFGDDYNTPDGTGVRDYLHVVDLAVGHLKALEKVAKKTGCIAYNLGTGQGYSVLDMVKAFEKASGKDVPYQITPRRPGDIACCYADPAFAESEIGWKAEKGLDEMMADSWRWQSQNPNGFEN--- 255656699 -----VLVAGGAGYIGSHTAIELLESGYEVVIVDNLSNSNLIVVDRIKFYNIDIRNKDEMHIVFKENNIESIIHFAALKAVGESVEKPIEYYSNNLISTLNLFELMREYGVKKFVFSSSATVYGDPHTCPILEDFPLSVTNPYGRTKLMIEQMLVDISKADKLDIALLRYFNPVGAHKSGRIGEEPNGPSNLMPYITKIAVGKLKELSVYGNDYPTHDGTGVRDYIHVLDLATGHVKALQKLEENPGLVVYNLGTGKGYSVLDLVKAFSKASGKEIPYKIVGRRAGDVAMCYADSSKAEKELGWKAKYELEEMCEDSWRWQSMNPNGYEE--- 163790740 -----ILVTGGAGYIGSHTTVELLNAGHEVVIVDNFSNSKPEVLKDFSFYEVDVLNKTDLEAVFKIHDIEAVIHFAGYKAVGESVSKPLKYYHNNLTSTFVLAELMETYNVKKMVFSSSATVYGMNNISPLTEDLPLSTTNPYGTTKMMIEQILQDYASDPSWSIALLRYFNPIGAHESGRIGEDPTGPNNLMPYITQVAVGKRDQLSVFGGDYDTTDGTGVRDYIHVVDLAKGHLKAVEKILSSEGIEAYNLGTGIGYSVLDVVTNFEKATGKKVPYTIIDRRPGDIATCYSDASKAATELGWKAEHTLEDMCRDSWKWQENNPNGYE---- 148265199 MES--ILVTGGCGYIGSHVVRQLSEAGYDVVVYDNLSTGFADALIHGEKVIGDLADGEKLESVFRGFKCKTVLHFAAAIIAPESVTKPLKYYANNTRNTLNLLQACVNHGVERFIFSSTAAVYGMPEGGIAAEESPTVPINPYGTSKLMSEWMLRDTAFAHGLRYVALRYFNVAGADPLARMGQRTPEATHLIKVACQAALGMRDSVSVFGTDYPTPDGTGIRDYIHIEDLASAHLAALRYLENGGEPTRLNVGYGRGGSVREVIAMVKRVSGVDFPVVEGPRRPGDPAMLVARADRIRQTLDWQPRFDLEMIVADAWRWEQKLHK------- 262403817 ----KVLVTGGMGYIGSHTCIQMIQAGMTPVILDNLYNGRIEKVAGVRFVQGDIRDQALLVELMKQHHIEAVVHFAGLKAVGESVQKPLEYYDNNVNGTLVLVAAMREAGVKSLVFSSSATVYGDPASVPITESFPTSATNPYGRSKLMVEECLTDFQKANDWSITLLRYFNPVGSHPSGELGEDPQGPNNLMPFVSQVAVGRREFLSVFGNDYPTKDGTGVRDYIHVMDLADGHIAALEKVGSRAGLHIYNLGTGNGYSVLEMVKAFEAASRCEVPYRIVDRRPGDIAECWADPSKAAQDLGWKATRTLDEMTQDTWRWQSNNPQGY----- 253699678 -----ILVTGGAGYIGSHVVRQLSEAGREVVVFDNLSTGSADALINGERIVGDLADERKIGEVLRETGCKSVLHFAAAIVAPESVLLPLKYYSNNTRNTLNLIKACVDNQVERFIFSSTAAVYGIPEGGRAAEDSPTVPINPYGTSKLMSEWMLRDAAFAHGFSYVALRYFNVAGADPQARMGQRTPEATHLIKVACQAALGARDSVSIFGTDYDTPDGTGIRDYIHIEDLAAAHLYALKYLEKGGDSSTINVGYGQGGSVREVIKVVKEVSGVDFKVVEGPRRPGDPADLVAVAERIRSVLGWTPRYDLRTIIEDAWRWEKK---------- 117924078 ---KAILVTGGAGYIGSHVCKVLSQSGFLPITYDNLSEGHPWAVRWGPLVVGGLDDGAKLAGLFAQYQPQAVIHLAGRAYVGESMTDPALYYRNNVQAALVLLECMRQYGCKNIIFSSSCATYGEHRQMPITEAMSQHPINPYGRSKLMFEWMLQDY-QVYGLQSVALRYFNASGADLEGEIGEQHQPEPHIIPRLLEA-ARKGSPFTIYGTDYESEDGTCVRDYIHVSDLAQAHLLALQWLWRGGESRAFNLGNGQGFSIRQLIKVAETVTGKSIAVQLGARRPGDPAVLVGSAEKAREELGWQPQYTLEIILTSAWRWMQR---------- 78222698 ----KILVTGGAGYIGSHVVKALGLEGHELLVYDNLSTGHEWAVMYGTLVKGDLEDRALLEKVFREFRPDAVMHFAASIQVEESVRRPLLYYGNNTAGTLNLLDVMVRTGVRCLVHSSTAAVYGIPDVVPVAETAPLAPINPYGSSKAMVEKILADLALAEDFRYVAIRYFNVAGADVACRLGQAYREATHLITRALKTAHGQYERLQIFGTDYQTPDGTCIRDYIHVDDLAAAHVLALDYLTEGGASEIVNCGYGHGFSVREVIEAVAHVTGTPFPVEETGRRPGDPPALVADSSKLRSLMKWEPQYDLDFIIRTAWEWELALKR------- 142218300 -----ILVTGGTGFIGSHTTLELLNAGYDVVVMDNLSNSSRESLKQAHFEEADLLDPPALQSLFKRYPIDSVIHFAGLKAVGESVEKPLYYYQNNVAGTLNLCETMQKHGVKKLVFSSSATVYGNPSQSPLTEDSSLSAVNPYGQTKLTIEYILRDLVADPEWRISLLRYFNPVGAHESGMIGEDPRGPNNLMPYITQVAVGRLEKLRVFGNDYPTADGTGVRDYIHVVDLANGHLKALEALESSAGVEAYNLGTGRGTSVLELVRAFEEASGVEIPFEITARRPGDAAACFADPSKAERELSWRAQYDNLRMCQDAWRWQSGNPEGYA---- 92113845 ----TILVTGGAGYIGSHMVLRLIEAGHEVVVIDNLCNASRESLKEVTFIEGDIRDRSLLDYVFADFEISDVLHFAGLKSVGESVSEPLAYFENNVAGTITLCQAMTAAGVYRLVFSSSATVYGDATRMPLSENAPTGPTNAYGHSKLMVEEVLRKLARSDPRWAIALRYFNPVGAHPSGMIGEDPSGPNNLLPFISQVAIGRLPALSVFGDDYPTPDGTGVRDYIHVMDLVEGHLAAMRVLADRAGVNVWNLGTGQGYSVLEMVRAFEHVARRDVPYRIVPRRDGDIAACWADASLAERELGWRAQRGLMDMIADTWRWQSRNPEGYPRKR- 254486776 ----NVLVTGGAGYIGSHACKALAAAGFTPVTFDNLVTGWRDAVKFGPFVEGNLLNRDQIDAAFAQYQPVAVMHFAALSQVGDSMTQPGLYWRNNVEGSLNLIEAAAEAGCLNFVFSSTCATYGDQDNVVLDENSAQHPINAYGASKRAIEDILRDFDVAHGMQSVIFRYFNVAGADPEGEVGEFHRPETHLIPLMLDAIDGKRDALTVFGTDYDTPDGTCIRDYVHVCDLVDAHVLGLQWLLDGKGGRVFNLGTGSGFSVREVITHSREVTNRAVPIIEGNRRPGDCTKLVSGSSRAVAELGWNPKRSLSEMISDAWRWHQ----------- 238924309 -----ILVTGGAGYIGSHTCVELLDAGYDVVVLDNLSNSSEKSLKEVKFYKGDILDRDILNKIFKEEKIDSCIHFAGLKAVGESVAKPWEYYNNNIAGTLTLVDVMRQNGCKSIIFSSSATVYGDPAQIPITEECPKGCTNPYGWTKSMLEQILMDYKADNEWNVILLRYFNPIGAHKSGTMGENPNGPNNLMPYITQVAVGKLKELGVFGDDYDTPDGTGVRDYIHVVDLAVGHVKALKKIEENAGLCIYNLGTGHGYSVLDIVKNFEAATGVKIPYTIKPRRPGDIATCYCDPSKAKRELGWEAQYGIKEMCADSWRWQKNNPNGYDD--- 192360705 ----RILVTGGAGYIGSHVCVELINSGYLPVVVDNLCNSKAESLKRPVFYAVDINDKAAMGEVFATHQIEAVMHFAGLKAVGESNQIPMKYYRYNVAGTLSLTEVMEAFSVWKLIFSSSATVYGDPVSVPIDESFATSATNPYGRSKLMVEEILHDIARNSQWNFTLLRYFNPVGAHESGLIGEDPAGPNNLLPYVAQVAIGKLRELSVFGNDYPTIDGTGVRDYIHVVDLARGHVAALQGLDKSGGCRSYNLGTGCGYSVLQMVKAFEDASGRPVPYKVVARRPGDIASCYANADKAKAELGWQAEYGLERMMVDTWRWQSQNPHGYE---- 226946493 ------MVTGGAGYIGSHAVLALLEAGFDVVVLDNLRNGSAESLARPGFIEGDVRDARLLDRLFAAHEIIAVLHFAGLKAVGESVREPLDYYENNVAGSLSLCRAMERAGVFRLVFSSSATVYGEPAELPLSEDSPIGPSSPYGRSKLMVEEVLRDLVVSDPWSVAVLRYFNPAGAHRSGLIGEDPRGPNNLLPYIGQVAIGRLGELAVFGGDYPTPDGTGVRDYIHVLDLVDGHLEALRAIGRQTGLRVWNLGTGKGYSVLEVIRAFERASGRPIPYRIAARRPGDIPACWADPARAERELGWRAKRSLADMMADTWRWLSANPQGYD---- 289548767 ----KVLVTGGAGYIGSHMVKLLGEKGYQVLVVDNLSTGKREAVLYGRLVVLDLLLYAPLEELMLDFRPDIVMHFAAKILVHESVRKPLEYYENNLQATWNLLRAMKRAGVKYMIFSSSAAVYGTPSSLPVKESDPTVPINPYGWSKLMGERMVEDFARAEGLKFGILRYFNVAGADPELKLGPVKQNPTHLIARAVKVAKGDIPYLEVYGTDYPTPDGTCVRDYIHVTDLCNAHLRVLEYLLEGGQSDVFNVGYGKGYSVLEVIRVVKEVTGRDFEVRYTERREGDPPELVADPAKLVTLTGWKP--SFDDIVKTLWEWEL----------- 134300834 -----ILVTGGVGYIGSHTCVELLKAGYEVVVVDNLSNSKPEVLRRIRFYKVDVLEQAELAKVFLENQIEAVIHFAGLKAVGESVAIPLRYYHNNITGTLILCETMQKHGVKKLVFSSSATVYGDPKSVPISEDFPLSATNPYGRTKLMIEEILGDYLSDNDWSIALLRYFNPIGAHQSGLIGEDPQGPNNLMPYISQVAVGKLKELRVFGNDYPTPDGTGVRDYIHVVDLAIGHLRALEKILPTTGLDAYNLGTGRGYSVLEMIKAFEKASGRKVPYTVVDRRPGDVAMCYANPERARIELHWVAERGIEEMCTDTWNWQSNNPKGYAE--- 196155061 ----HILVTGGAGYIGSHTCISLVEASHSVVVIDDFSNSHAEALKRIPHYEGDVGDEELLRRVFSEHTFDGVIHFAGSKAVGESVEKPMLYYRNNVTASQTLIETMHQAGVHTLVFSSSATVYGDPQTVPIVEDFPVGATNPYGRSKLMVEQIIQDFTATAQFNGIILRYFNPVGAHPCGRIGEDPSGPNNLMPFIAQVAVGKRSHLAVFGDDYPTNDGTGVRDYIHVCDLAEGHVRALEQLHGKPGSHCLNLGTGSGVSVLEMVDAYAKASDRPIPYQIAPRRPGDVAQCYANSSHAKEVLGWQATRTLEDMVKDSWRWQSSNPNGYRE--- 604222 ----TVLVTGGAGYVGSHCVAELLDRGFRVVVLDNLRQGHRVAVPDAAFVEADLADMDALTRVFAEWRFDAVFHFAALSLVGESMRDPHLYLHGNTVNSLNLIRAATTAGVRKMVFSSTANLFGTPERLPIDEETTIDPGSPYGESKFFIERALHWADRCHGLRSACLRYFNAAGAHPNGTLGEDHAPETHLIPLVLDAPAAGRSHIEIFGDDYPTADGTCVRDYIHVCDLADAHLRVLPALETRSR---FNLGNGTGYSVREVIAATERVTGLTVPVRVGPRRAGDPAVLIASSERIRGELGWSPRFDLDSIIGSAWEWRRRHPGGY----- 294085142 MTRKRILVTGGAGYIGSHMVLALLDAGHDVVILDNFSTGHEQLVPAGVTVRGDVGDRQVTDALLVAHDFDAVAHFAASIVVPESVADPLKYYINNTLNTAHLIAACVKAGVKRFIFSSTAAVYGEHAQEPIDETALPVPENPYGASKLMSETILRDTTKAHDLSYVVLRYFNVAGADPAGRSGQLSKPATHLIKIAGELACGKRDAMQIFGTDYDTPDGTCIRDYIHISDLIGAHMVALDHLMDGGAPVIANCGYGTGNSVRDVLASVARVSGSNLNVAEAPRRPGDAVYLVADSSLLKRKLGWVPKYDLDVIVKSALDWERRFP-------- 27262258 ----RFLVTGGAGYIGSHTVLALQAAGHDVVILDNLTTGHAYLVPDGPFYQGDVGDSSLLEKIFHDYPIDGVLHFAAKSLVGESMQDPGKYFLANTSQSAVLLSAMAKHKIPYFVLSSTAAVYGEPQDVPIPESHPIRPTNPYGLSKHLIEQMLPWFEKVHRMKWMALRYFNVAGADPQGRSGESHDPETHLIPNILKVAQGHRDFVSVFGNDYPTEDGTCIRDYIHVSDLADAHVLAIEALFSGKPSGVCNLGNGQGFSVLSVIESARRVTGHPIPVRIEPRRPGDPAVLVASNAKAVAELGWKPKTDLSTIVETAWLWQ------------ 194306032 ----HILVTGGAGYIGSHTVLELLNAGYAVTIIDNLSNSKIQSVKEAAFYEADLLDPEALDKVFEANSFDAVIHFAALKAVGESVEKPLLYYRNNVAGTLNLVEAMQKHGVKNIVFSSSCTVYGNPETVPIREDAPRSAYNPYGRTKLMMEDILSDYVADNEWNVILLRYFNPIGAHPSGQIGEDPNGPNNLVPYIAQVAVGKRPFLRVWGNDYDTPDGTGVRDYIHVVDLARGHLAALDKLADKPGVGAYNLGTGRGYSVLEVLAAFEKACGKPLPHEIMARRPGDAAQAYADPAYAEQELGWKAEFDIDRMCEDHWRWQAQNPNGYE---- 27367484 ----KVLVTGGCGYIGSHACVALVRAGHQPIVLDNFSNSHPNVVARIETYQGDIRDRLVLDAIFDQHEIGAVMHFAGLKAVGESVEKPLEYYDNNLAGTLNLLAAMRVAHVYRLIFSSSATVYGDPKTVPITEAAPTGTTNPYGTSKHMVERCLSDFSAANDWSITLLRYFNPIGAHPSGLIGEDPSGPNNLMPFITQVAIGRRERLSIFGDDYPTADGTGVRDYIHVQDLVEGHVAALEKRGNVRGLHTYNLGTGNGVSVLQMVAAMNRVLGRELPVRIEPRRAGDIAQCFAQADKAAQELGWKAQHDLTDMVEHSWHWQQRNPNGYQS--- 88813339 ----KILVTGGAGYIGSHAVRQLVAAGHEVVVYDNLSTGHRWAVADAPLVEGDLAEREKLSQLMERSRFEALIHFAASTVVPESLAVPLAYYTNNTGNTLGLLELIDRHRIPWYVFSSTAAVYGLPAAWAVTEEAPLAPINPYGASKMMSERICQDLAAASELRYVILRYFNVAGAEPAARIGQATPHATHLIKVACEAVLGVRSGLKLYGTDYPTADGTCIRDYIHVEDLARAHVMALEYLAAGGASEVLNCGYGHGYSVREVLDRVRVVAGVGFSVEEAGRRPGDPPALVADNTRIRRVLGWQPVYDLEQIVVHALAWERAYQ-------- 218885245 ----NVLVCGGAGYIGSHMVRALVARGCTPVIFDNLSTGHADAVDAAAPVRGDLLDRQTLRRVFAEHSFDAVMHFSARSLVGESVREPALYYANNVTGTLNLLDAMREAGVLRLVFSSTAAVYGNPVTERIAETHPLAPVNPYGASKLMVERMLADHATAYGLRSVALRYFNAAGADRAGGIGESHSPETHLIPNILRAVLGTGPALTVFGSDYDTPDGTCVRDYIHVNDLCDAHLAALAAEPGGKVALHYNLGNGLGFTVRQVIDAAARVTGREVPYTVGPRRDGDPARLVADSTLAGRELGWTPKVDIREIIETAWAWHRD---------- 104781549 ------LVVGGAGYIGSHMVKHLLWANHQVVVADT-----APTRPGIHWVQLDIANVHALDTLLAEYRFDAVFHFASYIQVGESVADPGKYYQNNVAATLTLLQAMVRAGIDKLVFSSTAAVYGDPVATPIDEEHPKAPINPYGRSKWMVEQLLADFDRAYGLRSVCLRYFNAAGADPEGQLGECHDPETHLIPLILQAAAGRRPAITVYGRDYDTPDGTCIRDYVHVADLASAHALAVDYLVAGGASTAFNLGNGQGFSVQQVIDAARHVTGRPIDISDAPRRPGDPPRLVADAGKARQVLGWTPQFSLEQIVAHAWRWELKYP-------- 142018667 ----TILVTGGAGYIGTHTVVELLNAGSEVIVLDNLSNSSIEALNRVEFYQGDILNKALLQKVFSDHNIDAVIHFAGLKAVGESVAKPLKYYENNVTGTLILCQVMAEFKVKNLVFSSSATVYGDPASLPITEDFPTGATNPYGQSKLMVEHILADHHSDPSWNIARLRYFNPVGAHASGLIGEDPNDPNNLMPFIAQVAVGKREALSVFGNDYPTHDGTGVRDYIHVVDLAIGHLKALEKLATKPGLVTYNLGTGQGYSVLDMVKAFEKACGKSIAYQIAPRRPGDIAACYANPEHARTDLGWQATHSLEDMANSSWHWQSTNPNGYKS--- 157376067 ----TILVTGGAGYIGSHTVVELLNAGQKVVIVDNLVNSSIEALNRVEFYQGDVLNKALLQKVFSDHQIHSVIHFAGLKAVGESVAQPLKYYENNVTGTLVLCEVMAEHGIKNLVFSSSATVYGDPASLPITEDFPTGATNPYGQSKLMVEQILHDLNHADPWNIARLRYFNPVGAHVSGRIGEDPNDPNNLMPFIAQVAVGKREKLSVFGGDYPTHDGTGVRDYIHVVDLATGHLRALEKLNTKPGLVTYNLGTGQGYSVLDMIKAFEKACGKSIPYQIVERRPGDIAACYAAPQKAKTELGWQATHTLEDMANSSWKWQSTNPNGYSS--- 294853659 MSANNVLVVGGAGFIGSHTAKLLAGQGYAPVVYDNLSTGHQSAVRWGDFVEGDILDQARLVKTMEKYAPVAVIHFAASAYVGESVEDPAKYYRNNVGGTQSLLDACRLTRTQNVIFSSSCATYGVPSRLPIGEGEAQNPINPYGCTKLIAEHMLADYAVAYGLRYVALRYFNASGADIDGELGEKHDPETHLIPRAMMAAAGRLDVLEVYGDDYETPDGTCIRDYIHVTDLARAHVLAVEHLKEAGGNLAVNLGTGRGTSIREIVQSIGRLTGRSVPVAMRARRAGDPPALYADPALAAEKLGFHTVYSLDTIIRTA---------------- 260428865 ----NVLVTGGAGYIGSHACKALKAAGYTPVTYDNLVTGWKDAVKFGPFEQGDLSDRARLDEVFATYQPVAVMHFAALSQVGEAMSEPGRYWRNNVEGSLTLIEAATAAGCLNFVFSSTCATYGEHDNVVLDESTPQEPLNAYGASKRAVENILRDFEAAKGLRHVIFRYFNVAGADPEGEVGEHHRPETHLIPVMLEAIDGTRPALSIHGTDYDTPDGTCIRDYVHVCDLVDAHVLGLKWLTDGKGSRVFNLGTGKGFSVREVIDASRSVTNREVPHSEGPRRAGDATKLVSGSTRAAAELGWEPSRSMPQMIADAWRWHQNHYEG------ 304321003 ----KILVCGGAGYIGAHMCKALAAEGYEPVVFDNLSSGHRHAVKWGPLVVGDIRDRRALDDCFAAHRPAAVIQFAASIEVGEGEANPLKFWNNNVGGTVTLLEAMAGAGVTALVFSSTCATYGIPDRMPIGESEPQRPFSVYGETKLAVEKALAATAKISPLRYASLRYFNAAGASPDGEIGEEHNPETHLIPNALKAAAGIGSAMKLFGTDYDTPDGTCVRDYIHVMDLAAAHLAALRLLLDGADSFICNLGTGTGLTVREILSTVEAVTGRPVPVEESPRRPGDVPRLVADPSFAQEHLGFFPQYSVGTVIRDAWNFHK----------- 306820169 -----ILITGGAGYLGSHLVQYLLEKNEDVIVADNLSTGNREFVLTDKFYNINIKNMDELGNIFKENKIDVVIHLAASSLVGESVANPFKYYDNNLYATACLLETMGKNNVDKIVFSSTASVYGDVDKVPITEDMATIPSNTYARTKLDIENMMRDFETAYGIKSVALRYFNAAGSDVNGIIGEVREVETHIIPIILRNLIDGKNSIDVFGNDYPTDDGTCIRDYIHVVDLAHAHYLVANYLLNGGKSEVYNLGSGKGYSVLEVIEATRKVTGRKININFVKRRAGDPPNLVASFQKISKELGFNLEYSIETMIEHAWNFYSKY---YSNK-- 225571320 ----KILITGGAGYIGSHTALELLNEGYEVVVYDNLSNSSRESIKEITFYEGDVLDEAALEKMFEEEKADAVLHCAALKAVGESVQKPLEYYQNNITGTLSLMKVMRKVGVKNIVFSSSATVYGNPEIIPITEDCPKGCTNPYGWTKSMMEQIMTDVQKADDWNVILLRYFNPVGAHKSGRIGEDPKGPNNLMPYISQVAVGKLEKLGVFGDDYDTPDGTGVRDYIHVVDLAIGHVKAIDYILTNPGLDIINLGTGVGYSVLDMAKAFGKASGKEIPYEIKPRREGDIAMCYADPAKAAKVLGWKAERGLDEMCEDTWRWQSQNPNGYNS--- 210631750 -----VLVTGGAGFIGSHTVVALLERGYQVVIVDDLSNASAEAAKNLTMYVADVNDRAALEDVFSKHHIDRVIHFAGFKAVGESVSKPIEYYSNNIGSTLTLVDVMREHGCKSIIFSSSATVYGDPDSLPLTEESPKKPTNPYGWTKWMIEQMLTDHTADPEWNVVLLRYFNPIGAHASGLMGEDPKGPNNLLPYVAQTAIGKREAVHVFGDDYPTPDGTGVRDYIHVCDLASGHAAALAWMNGREGVEVFNLGTGRGTSVLEIIRAFGKACGHEVPYVIDPRRPGDVAENYADCSKARELMGWEAQFDIDDMCRDSWNWQSNNPNGYE---- 291563163 ----RILVTGGAGYIGSHTCLALLEQGHEVVVFDNLYNASEEALNRVKFYKADMLDRDAMEKIFDAEKIDAVIHFAGLKAVGESVAKPWEYYHNNITGTLILLDVMRKHGVKKIIFSSSATVYGDPAFVPITEECPKGCTNPYGQTKSMLEQILTDMQKADPWNVILLRYFNPVGAHESGLIGEDPEGPNNLTPYITQVAIGKLKEVGVFGNDYDTPDGTGVRDYIHVMDLAEGHVKALKKFDDKPAVYIYNLGTGHGYSVLDVIHAFSKAVGKEIPYVIKPRRAGDIATCYSDATKAKVELGWEAKRNLDDMCRDAWNWQSKNPNGYRS--- 111225105 ----TVLVTGAAGFIGSHTCVDLLAAGHRVVGVDNFVNSSPRVLDRLRFVRLDVRDRAALGEVFRRQPIDAVIHFAALKAVGESVEMPLEYYDTNVNATLGLVGVMAEHGVHRLVFSSSCSIYGTVDTVPITEDTPARPTNPYSRTKWMCEQILADVCRDPAWQVISLRYFNPVGAHESGLLGEDPRGPNNVMPYLAQVAVGRRAELSVFGDDYPTPDGTGVRDYIHVVDLAEGHRLALDHLADQSGHRVVNLGTGAGTSVRELHAAFSAACGRDLPYRVVARRPGDVAALVADATLAREALGWTARRSVADMCRDAWQFQRLNPGGYDDEGE 124547654 ----TILVTGGAGYIGTHTVVELLKAGCEVIVLDNLSNSSIEALNRVEFYQGDILNKALLQKVFSDHAIDSVIHFAGLKAVGESVAKPLKYYENNVTGTLVLCQVMAEFKVKNLVFSSSATVYGDPASLPITEDFPTGATNPYGQSKLMVEHILADHHSDPSWNIARLRYFNPVGAHSSGLIGEDPNDPNNLMPFIAQVAVGKRAVLSVFGHDYPTHDGTGVRDYIHVVDLAKGHLKALEKLATKPGLVTYNLGTGQGYSVLDMIKAFEKACGKTIAYQIAPRRPGDIAACYADPTHAKQSLGWHATHTLEDMANSSWHWQSTNPNGYK---- 149200348 ---KKILVTGGAGYIGSHTCLELLNSGYEVVVVDDLSNSVTESLKKIKFHKVDLLDKEALNEVFVKENVHAVIHFAAKKAVGESVEKPLYYYSNNITGSLNLFEVMKANNVDKIVFSSSATVYGDPESVPIKEDAHIGATNPYGHTKAMMEQILMDTGKATDWGVALLRYFNPVGAHESGTIGEDPEYPNNLLPFVSQVAAGIREQVTIFGDDYDTPDGTGVRDYIHVVDLAQAHVKSIEKLDTQDKGLIYNIGTGRGYSVKEMVEAFRRISGQEIKAVVGPRREGDIGECTADATLANNDLAWHAKYDLDDMIASAWKWQSENPNGYK---- 148906086 --NKCILVTGGAGFIGSHTVLQLLEDGYEVYIIDNLDNSVEEAVNRVHFFLGDLCKKEDIEKVFSLAKFDAVIHFAGLKAVGESVANPFRYYKNNLVGTLNLYEIMAKHGCKKMVFSSSATVYGQPKVIPCVEDFQLSAMNPYGRTKLFLEEIARDYQADPDWRIILLRYFNPVGAHPSGQIGEDPKGPNNLMPFIQQVAVGRQPELNVYGNDYPTKDGTAVRDYIHVVDLADGHISALQKLFKASDIGCYNLGTGCGTSVLEMVAAFEKASGKNIPVKFCPRRPGDATAVYASTEKAEHELGWKAKYGIEEMCRDQWNWARQNPWGY----- 269138555 -----ILVTGGAGYIGSHTVLALLSRGDEVVVLDNFVNASAQALRQPHVYRGDVRDRTILQQIFAQHVISDVIHFAGLKAVGESVEKPLEYYDNNVSGTLVLLEEMRRAGVQGFIFSSSATVYGDPESVPLTENSRTGTTNPYGTSKLMVEQILQDVSRRPEMRITALRYFNPVGAHPSGLIGEDPNGPNNLIPYVAQVAVGKLACLSIFGNDYPTHDGTGVRDYIHVMDLATGHLAALDRRDEGASYKVYNLGTGVGYSVLDIVAAFERCSGVTIPYRFAPRRAGDIAECWSDPALAARELGWRAQYDLDLMMRDTWRWQQSNPNGYQD--- 228993940 -----ILVTGGAGYIGSHTCVELLNNGYDIIVVDNLSNSSVESLKQFKFYEENLLNRDAIDVIFKENIIEAVIHFAGLKAVGESVAIPLTYYHNNITSTLILCDVMQKHNVKKMIFSSSATVYGLPETSPITEEFPLNATNPYGQTKLMIEQMMRDVVADSEWSVVLLRYFNPFGAHESGRMGEDPNGPNNLMPYVTQVAVGKLKELSVFGNDYPTKDGTGVRDYIHVVDLANGHVKALEKVLHTTGVDTYNLGTGTGYSVLEMVQAFEKVSGKSVPYKITERRPGDVAVCFADASKAKRELGWEAKRGLEEMCADSWKWQSNNKDGYQE--- 149912948 ----NILVTGGAGYIGSHACKALKVAGFTPVTYDNLSTGWEQAVRYGPFEQGDLTDRARLDQVFAAYRPEAVLHFAALSQVGESMREPGLYWHNNVAGSLCLFEAAVQAGCLKVVFSSTCATYGDQDNVVLDETSDRNPINAYGGSKRAIEDILRDFEAAHGLRHVIFRYFNVAGADPEAEVGEFHQPETHLIPLILEAIAGRRDALTIFGTDYDTPDGTCIRDYVHVMDLVDAHILGLRWLQDDRQSRVFNLGTGSGFSVREVIDRAEAITGKTVPVIEGMRRPGDCTKLVSGSTRAMTELGWAPERSLDRMIGDAWRWYQ----------- 310783850 -----ILVTGGAGYIGSVTTLALKEEGFEVLVVDDFSKGHRDLAFGDRLEEGDLRDPAFLEGVFSRYPVEGVLHFAARSLVGESMSDPEGYYDVNLRGTLNLLATMRAHGVRRFVLSSTAAVYGDPTEQPISEEAPKVPTNTYGETKLFLEGALRRYRDAYGMGSVSLRYFNAAGADPRCRTGEHHVPETHLIPLIFDAIEGRRKHLTLFGEDYPTPDGTCIRDYVHVTDLAQAHVRALRRLLEAPDCEAFNLGNGDGHSVRQVLQVAEKATGRPVPLEVGPRRSGDPSRLVASSRKAQEVLGWVPEHDLEDIVSTAWAWYIK---------- 154483379 ----TILVTGGAGFIGSHTCVELLESGYDVVVIDNLSNACEESLKRVKFYKGDIADKELMDKILTENDIYAVIHFAGLKAVGESVQKPLEYYTNNISGTLAMCDVMRKHGVKNIIFSSSATVYGDPAEIPITEKCPKGCTNPYGWTKSMLEQILTDIFADKEWNVILLRYFNPIGAHKSGLIGEDPNGPNNLMPYITKVATGELPRVNVFGNDYPTPDGTGVRDYIHVMDLATGHVNAIDKIKENPGVKVYNLGTGKGYSVLDVIKNFSEASGIDIPYVITDRRPGDIAECYSDATLAKEELGWEAKYDIKEMCADSWNWQKNNPNGY----- 183597246 MNHTKVLVTGGLGYIGSHTCVQMIEQGMQPIILDNLCNANPEVLNRIEFYAGDVRDNAILDTIFAEHQITSVIHFAGLKAVGESVQKPIEYYDNNVNGTLVLLASMQKAGVKSLIFSSSATVYGDPEEVPLTEDSKVGTTNPYGTSKYMVERILADYIAHNDWSVTLLRYFNPVGAHQSGLIGEDPQGPNNLTPYIAQVAVGRHKEVMVFGSDYPTPDGTGVRDYIHVMDLASGHIAALNNVSHQAGLHIYNLGTGKGTSVLEMIAAFEKASGKPIPYKIVERRPGDIAECWSSPKKAFNDLGWKAQYSVQDMVNDSWRWQSQNPNGYKQQ-- 293115752 -----ILVLGGAGYIGSHTVYELIEAGKDVVVVDNLETGFRAAVPEAKFYKGDIRNRAFIDSVFDKENIDGVIHFAANSQVGESMIKPLKYYSNNLCGTEVLLESMVAHSIDKIVFSSTAATYGEPERIPIMESDRTLPTNCYGETKLSMEKMFKWTANAHNLRFVSLRYFNACGAHPNGKIGEAHNPETHLIPLILQVPNEKREYISIYGTDYDTKDGTCVRDYIHVNDLAQAHILAMKYLCDGNDSNIFNLGNGVGFTVKEVVETARKVTGHPIPAKEEPRRAGDPSMLIASSAKAREVLGWNPQYDLETIIGTAWKWHKSHPNGYQN--- 195169792 MAPPTVLVTGGAGYIGSHTVLEMLNAGYNVICVDNLCNAYSSGAKLPHFYRADITDRDQVRAIFQEHKIDMVCHFAALKAVGESCRIPLQYYHNNMTGTNVLLEAMADNNVFKFVYSSSATVYGEPKFLPVTEEHPTNCTSPYGKTKYFTEEILKDLCKSDKRWVVSLRYFNPVGAHISGRIGEDPNGEPNNMPYIAQVAVGRRASLNVYGSDFPTKDGTGVRDYIHIVDLAEGHVKALDKLRNIAETGFYNLGTGVGYSVLDMVHGFEKASGKKIAYVLVDRRSGDVATCYADATLAEKKLGWKAYRGIDKMCEDTWRWQSQNPNGYANK-- 281355249 ---KKVLVTGGAGYIGSACSEYLLNLGYDVTIFDGLLTGHREAVPRAKFILGNLSDREKIKSVCREGKFDAIMHFAAFSLVGESMKDPSKYFRNNIANGINLADAAVESGVKMFVFSSTAATFGQPESIPIKEFDRQIPINPYGESKLCFEKILKWYHEIYGINYAALRYFNAAGATE--NFGEDHRPETHLIPLILQTVRGKRDKLMLYGDDYDTADGTCVRDYIHILDLAQAH----ELALSAPESGHYNLGTGNGLSVREIIDAAEDVTGLKVNYEVAPRRPGDPAKLIACSERARRMLKWEPKYSAHKIIESAWKWQLKHPDGYKN--- 146307364 -----ILVTGGAGYIGSHAVLALLQDGHDVLVLDNLCNSSSKSLERAHFVEGDIRDRGLLDALFRQWPITAVMHFAGLKAVGESVQDPLRYYETNVAGSITLCQAMADAGIFELVFSSSATVYGESVKVPISEQCTTGPTNPYGQSKLMAENVLKALAHSDQWSIALLRYFNPIGAHESGLIGEDPDGPNNLLPYMLQVAVGRRPHLSVYGIDYPTRDGSGIRDYIHVMDLAEGHLRALQRLSATSGVSIWNLGTGQGYSVLEMLRAFEGVVGRKLPVRFAPRRSGDIAQCWADPSKARQELGWKATRDLKVMLEDAWRWQRMNPHGYQGAPE 297621634 --KQTILVVGGAGYIGSHVNKQLHEAGYQTVVLDNLSTGDRKSVTRGSFIKGDASSSKQLDEIFKSQKIDAVMHFAAFTDIGESVANPYQYYRNNVCHTLNLLHAMERYKVDIFIFSSTAAIFGLPQTNKIAESHPKNPINPYGKSKLMVEQMLSDAESAYGLRSCALRYFNAAGGDPEGEIKNHKKKETNLIPILLRSLKSGDHSITIFGTDYPTPDGTCVRDYIHILDLGQAHIRAMEQLFNGASSSQYNLGNGQGFSVKEVISAVECVTKIPVKKISGERRLGDPPLLLADSRKAEQELGWNPRFSLEEMIFHAWN-------------- 266621948 ----RILVTGGAGYIGSHTCVELLNQGQEVVVVDNLCNSSEESLKDVTFYKADLLDKDAMEEIFSKETIDAVIHFAGLKAVGESVAKPLEYYHNNITGTLVLCDVMRNHGVKKIIFSSSATVYGDPAFVPITEDCPKGAINPYGQTKSMLEQILTDHTADPEWSVILLRYFNPVGAHKSGLIGEDPAGPNNLTPYITQVAVGKLKEVGVFGNDYDTPDGTGVRDYIHVVDLAIGHVKAVDKAQSEPDVRIYNLGTGKGFSVLQMIEAFSKACGKQIPYVIKPRRPGDIAECYADAALAKKELGWEAERGIDEMCEDSWRWQSNNPNGY----- 156543318 -ETRNILVTGGAGYIGSHTVLELLNADFQVVVIDNLSNAKPECILRVIFINCDITNKQAISDIFKKYKFFCVIHFAALKAVGESCTIPLEYYKVNVGGTLNLLEVMRENNVKRFIYSSSATVYGVPEKLPLTEDMKTDCTNPYGKTKFMMEEILKDLCNSDKWSVISLRYFNPVGGHPSGEIGEDPNGPNNLMPFIAQVSVGKREFLSVYGNDYDTPDGTGVRDYIHIMDLASGHMKALIYQKTKNPTGFINLGSGNGYSVLEVINAFEKASGKKIPYKIVERRPGDIASSYADAKLAKQLLDWVAVKNIDDMCADTWRWQQKNPNGFSN--- 297624790 ----NVLVTGGAGYIGSVTVEALVNRGHRVVVLDNLVTGHRDAVHEAAFVHGDIQDPEMVGFAVQEHGVEAVLHFAASSLVGESMEQPFKYFGNNSAGSLKLLETLVGHGVKRFVLSSTAALFGTPDALPIPADAPIRPESVYGESKYLIERMLAWLQRTQGLGYTTLRYFNAAGA--SGRFGEDHRPESHLIPIVLEVARGKRAYIPIFGDDYPTRDGTCVRDYIHVLDLAEAHVLAVEA-LEPGEAHAYNLGNGTGFSVREVVEVCREVTGHEIPERVLPRRAGDPAALVADSSLLTQELGWRPQHDLREIVASAWRWFQAHPEGY----- 238749826 -----VLVTGGSGYIGSHTCVQLIEAGYKPVILDNLCNSKSSVLARIDFYQGDIRDRALLDTIFATHAIHAVIHFAGLKAVGESVSKPLEYYNNNVFGTLVLLEAMRAAQVKNFIFSSSATVYGDQPQIPYVESFPTGPSSPYGRSKLMVEQILQDIQADPQWNMTILRYFNPVGAHPSGLMGEDPQGPNNLMPFIAQVAVGRRASLAIFGNDYPTPDGTGVRDYIHVVDLADGHVAAMKKRHNQPGVHIFNLGAGVGHSVLQVVAAFSKACGKPLATHFAPRREGDLPAYWADPTKAAEELGWRVSRSLDEMAADTWRWQSNNPQGY----- 225867846 ----TVLVLGGAGYIGSHTVDRLIQKEEKVIVVDSLVTGHRKAVPEAIFYKGDLADKAFMRQVFRDNDIDTVIHFAASSLVAESMADPLKYFDNNTAGMIKLLEVMREAKVKRIVFSSTAAVYGIPKTVPILESAPKCPINPYGQSKLMMETIMTWADKAYGITFVALRYFNVAGAKPDGSIGEDHEPETHLLPIILQTAQGVREQVMIFGDDYDTPDGTNVRDYVHPIDLADAHILAMAYLRQGKPSQVFNLGSQTGFSNRQLLEAARRVTGQAIPAKKAARRPGDPDTLIASSEKARKVLNWKPSYDIDKIIASAWAWHTKHPKGYDDK-- 27381042 MRSMTVLVTGGAGYIGSHTVLALAEAGEDVVVIDDLSTGFSAYLPEGPLFIGDAGDENLLEGVIAQHNIESIIHFAGSVVVPDSMRDPLGYYRNNFMTARNLLNVAVKRGIGRFIFSSTAAVYGDPDQVPVPEHAPTRPLSPYGSSKLMTEIMLHDVAAAYGMQYVTLRYFNVAGADPQARIGLATVGATHLLKIAVEAATGQRAKIDVFGTDYPTPDGSCIRDFIHVTDLSQAHRSALAYLRNGGASTTLNCGYGRGYSVLETIDAVRRVSGRSFAVQYAPRRPGDIMTMVADTSRIRGLLDWKPQYDLETIAAHALAWEDKLYR------- 295884071 ---KRILVTGGAGYIGSHTVVELIAAGYEAIIVDNFSNSSVEVLVEVPFYKGSISDKDFMNRVFEENQIDAVIHFAAYKAVGESVQEPLKYYKNNVCGTISLLDVMKLHKVEHIIFSSSATVYGMNNISPLTEDLSTSATNPYGYTKLMMEQILTDALANSDWSVTNLRYFNPIGAHESGMIGEAPNGPNNLMPYITQVAVGKLQELSVFGNDYDTHDGTGVRDYIHVVDLAKGHVLALKHNLENKGVAVFNLGTGIGYSVLDMVKAFENVNGVKVPYAIKERRPGDVATCYADASKANDILGWKAEKTLQDMMRDSWRWQSSNPNGYES--- 260770142 ----NVLVTGGMGYIGSHTCIQMIAAGMTPVILDNLYNSKASVLVRPQFIEGDIRDKALLVEVMKRYHIQSVIHFAGLKAVGESVQKPLEYYDNNVNGTLVLVDAMREAGVKSLVFSSSATVYGDPASVPITEDFPTSATNPYGRSKLMVEECLTDFQKANDWSITLLRYFNPVGSHPSGELGEDPQGPNNLMPFVSQVAVGRREFLSVFGSDYPTKDGTGVRDYIHVMDLADGHIAALQKVGEKSGLHIYNLGTGNGYSVLDMVKAFEIASNKAVPYKLVERRPGDIAECWADPRKAQQELGWKATRTLEEMTQDTWRWQSHNPQGYPD--- 238752836 -----IFVTGGAGYIGSHTVQALLERGDDVVVIDNLSNSSKESLKAPIVYIADILDSETLKTIFAKHTITDVIHFAGLKSVSESINMPLEYYENNVVGTMVLLNEMRKAGIHSLIFSSSATVYGNPENVPLNEQSKTGTTNPYGTSKFMVEQILRDFSHAHSFHITCLRYFNPVGAHPSGRIGEDPNGPNNLVPYIAQVAIGKLECLSVYGNDYPTPDGTGVRDYIHVMDLASGHLAALDHKADGPAFKAINLGTGIGYSVLDLIHAFEKTTQTKINYKIVDRRPGDIAECWSDSSLAQKQLGWRATRNLDEMVRDSWNWQKNNPRGY----- 170722089 ----KYLVVGGSGYIGSHMVKHLLAAGHDVVVADTVATG-----PDIEWVELDIADAHALDALFDDCHFDAVFHFASFIQVAESVTDPCKYYQNNVAATLNLLDAMVRAGIRHLVFSSTAAVYGDPQYIPINEQHPKAPINPYGRSKWMVEQILQDFDRAYGLRSVCLRYFNAAGADPEGSLGECHEPETHLIPLILQAASGRREAITVFGHDYDTPDGSCIRDYVHVADLASAHALAVDYLMAGGASTAFNLGNGLGFSVQEVIDTARVVTGQPINVIEAARRDGDPARLVADAARARGVLGWQPRFSLEQIVRHAWEWELQYP-------- 229827868 ----RVLVTGGAGYIGSHTCVELLNAGHEVVVFDNFYNSSMESIKRIKFYEADMLDSAAMDKIFAENKIDAVIHFAGYKAVGESVHKPLEYYYNNLTGTLLLVEAMKKHGVKNIIFSSSATVYGEPEKLPLTEDMPHGAASPYGATKMMQEEMFKDFHADKDFSVILLRYFNPIGAHESGLIGEDPKGPNNLVPYIAQVAVGKLPKLGIFGDDYDTADGTCLRDYIHVVDLARGHVLALKKFEEEPAVRIYNLGTGNGISVLEVFHAFEKACGKELAYEIKPRRDGDVPVCYADSSKAERELGFKAEYGIERMCADSWRWQSMNPDGY----- 109899551 ---QTILVTGGAGYIGSHTVLQLLENNDKVVVLDNLCNSSKEALKQVTFVEGDIRDANVLDTLFTSHSIDSVIHFAGLKAVGESVEKPLMYYDNNVYGTLVLCESMAKHNVKSLVFSSSATVYGDPVELPLHEGLPTGPTNPYGQSKLMVELVLRDYKSDNSWNIAVLRYFNPAGAHPSGRIGEDPNGPNNLMPFITQVATGKREFLSVFGNDYDTPDGTGVRDYIHVEDLAAGHLKALDKLQSDVGLVTYNLGTGQGYSVVDMVKEFEKQSGQTIAYKFVPRREGDVAACYADPKLASEALGWKAEKGLADMCRDSWNWQSNNPNGYK---- 255282574 -----ILVTGGAGYIGSHTCVELLNEGYEVVVVDNLYNASEKALKKVKFYKVDLLDKEALAEVFDKEDIESVIHFAGLKAVGESVAKPLEYYHNNMTGTFNLCDVMRNHGVKDIVFSSSATVYGDPAFVPITEECPKGKINPYGQTKGMLEQVLTDLNADPEWNVVLLRYFNPIGAHESGLIGEDPKGPNNLVPYIAQVAVGKLQALGVFGNDYDTPDGTGVRDYIHVVDLAKGHVKAIEKLKKKEGVSIYNLGTGKGYSVLDVLHAFEKACGKELPYVIKPRRAGDIATCYADPTKAKNELGWVAEKGIEEMCADSWRWQSKNPNGYAD--- 307718106 --------TGGAGYIGSHVYRLLKERGHEVVVYDNLSHGHREAVEPADLRVGDLHDTETLREVLLSFKPDVVMHFAAFIEVGISTERPLEFFENNTVGTIRLVQTMMHTGVHHFIFSSTAAVYGHPEKIPIPEDARLTPVNPYGSSKVMVEEFLRSLSEWSPFRYVAIRYFNAAGAAEDGSIGEAHDPETHLIPLILKAAKGERPHITIFGTDFPTPDGTAIRDYIHVDDLAEAHLLAAEYLMDGGESQALNCGYSRGYSVREVIETAKKVTGRDFPVIEGDRRAGDPPALVADSSRMRTILGWKPTRDLAYIIKTAWNWELN---------- 144161008 ----HVLVTGGAGYIGSHACKALKAAGYIPVTYDNLVTGWEEAVKFGPFERGDLMDRDRLDSVFAQYRPVAVMHFAALSQVGESMREPGLYWRNNVCGSLTLIEAAAAAGCLDFVFSSTCATYGEQDNVVLDESCGQQPINAYGASKRAIEDMLRDFEASHGLRHVIFRYFNVAGADPEGEVGEFHQPETHLIPLMLDAIDGKRAALTIFGTDYDTPDGTCIRDYVHVCDLVDAHVLGLKWLRDGKGSRVFNLGTGSGFSVREVIAHSRSVTNREVPFEEGDRRPGDCTKLVSGSVRAKDELGWTPDRSLETMIADAWRWHQ----------- 196230014 ----KIFVTGGAGYIGSICVEQLLEAGHQVTVFDNLTEGHRKAVSRAELIIGDLQKECDIHDAMEHARPDAVMHFAANALVGESMENPSKYFRNNVYGGLNLLDAMVAVGVKKFVFSSTCATFGPPDRLPIDETLPQRPINPYGESKLMFEKILRWYDEIHGLKFVALRYFNAAGATER--FGEDHRIETHLIPNVLKVALGQRENVQIFGTDYETPDGTCIRDYIHIVDLAQAHMLAL----GTKESAFYNLGTGGGTSVREIIATCEKVTGKKIPVVEKARRPGDPARLIAGSDKVQRELGWKPKYQIDKIIESAWAWHVRHPNGYGD--- 295110695 -----ILVTGGAGFIGSHTVVELQSAGYEVVVLDNLSNSSEKSLERVKFYKTDILDREGLNEVFEKEQIDSCIHFAGLKAVGESVVKPWEYYENNIAGTLTLVDVMRKHGVKNIIFSSSATVYGDPAIIPITEECPKGCTNPYGWTKSMLEQILTDIQKADPWNVVLLRYFNPIGAHKSGLIGENPNGPNNLMPYITQVAVGKLKELGVFGNDYDTPDGTGVRDYIHVVDLAKGHVKAVKKLEDNSGLSIYNLGTGKGYSVLDIVKNFEAATGIKIPYSIKPRRAGDVATCYSDATKAKKELGWEAEYDIKDMCADSWNFQQKNPNGYED--- 221639597 ----TILVTGGAGFIGSHTCKALARAGHRPVSFDNLSTGHADAVRFGPLVQGDVRDPHAVEAALRAHGATAVIHFAASAYVGESMADPAKYYDNNVGGMIGLVQGCRAAGVSRIVFSSSCATYGTPDRLPIRETTPQRPINPYGRTKLIGEEILRDLG-VEGMRHVALRYFNAAGADPEGELGERHDPETHLLPLALRAASGQGGPLAIFGTDYPTPDGTCIRDYIHVADLARAHVLALGRLMAGGESLAVNLGTGRGQSVREIVAAIERITGRAVPVRLEARRPGDPAELVADPALAAAELGFRTQLSIGSIVRHAAPWF------------ 281492582 ----TVLVLGGAGYVGSHAVDMLLKRDYDVAVVDNLVTGHRESVPANRFYEGDVRDHAFLASVFEKENIEGIMHFCAYSLVGESMQKPLMYFNNNVGGAQVILETMEEFGVKHIVFSSTAATFGIPEKSPISEKTPQNPINPYGESKLIMEKMMKWQSQATDMTYVALRYFNVAGAKDDGSIGEAHKNETHLIPIILQTALGQREFITIYGDDYHTPDGTCIRDYIDMEDLIEAHIKALEYLKAGGQSDQFNLGSSKGYSNLEVLETARKVTGKEIPSQMGERRAGDPDELVADSTKAGEILGWKAQNDLEHIITNAWKWHQSHPKGY----- 144169559 ----NILVTGGAGYIGSHACKVLAGAGYTPVTYDSLTTGWRDAVKFGPFEHGDLMDRARLDQVFATYQPKAVMHFAALSLVGEAMKDPGKYWRGNVLASLTLIEAAVAAGCLDFVFSSTCATYGDQDGVVLDEDTPQAPINAYGASKRAIEDMLHDFGNSYGLRSVIFRYFNVAGADPEGAIGEFHQPETHLIPLMLDAIDGKRPALTIFGTDYDTPDGTCIRDYVHVMDLVDAHVLGLKWLEQGKESRIFCLGTGDGFSVREVIAQSAKVTNREVPVTEGARRAGDAVKLVSGSRRATAELGWRPHRSMETMVADAWRWHQN---------- 167760798 ----KILITGGAGYIGSHTALELLEEGYEVVIYDNLSNSSRESVKRVKFYEGDVLDADALEAMFQAEGIDAVIHCAALKAVGESVQKPLEYYHNNITGTLTLMGVMDKVGVKNIVFSSSATVYGSPEIIPITEECPKGCTNPYGWTKSMMEQIMTDLQKAHPWNVILLRYFNPVGAHKSGRIGEDPKGPNNLMPYISQVAVGKLERLGVFGDDYDTPDGTGVRDYIHVVDLARGHVKAINYIFTNPGLDIINLGTGVGYSVLDMVKAFAKACGKEIPYEIKPRREGDIAMCYADPAKAARVLGWKAERGLEEMCEDTWRWQSQNPNGYKE--- 242040933 ---RTILVTGGAGYIGSHTVLQLLQQGFRVVVVDNLDNASEVALVRVVFHKVDLRDRHALEEIFSSHRFEAVIHFAGLKAVGESVHKPLLYYDNNLIGTITLLEVMAANGCKKLVFSSSATVYGWPKEVPCTEEFPLCATNPYGRTKLVIEDICRDVHRSDDWKIILLRYFNPVGAHPSGYIGEDPCGPNNLMPYVQQVAVGRLPHLTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDCEVYNLGTGKGTSVLEMVAAFEKVSGKKIPLVFAGRRPGDAEIVYAATAKAEKDLKWKAKYGIEEMCRDLWNWASKNPYGYAGSQD 269959738 ----KVLVTGGMGYIGSHTCIQMIEAGMTPVILDNLYNSKPTVLERIEFIEADIRDKAALVDAMTTHNIEAVIHFAGLKAVGESVEKPLEYYDNNVNGTLVLVDAMREAGVKSIVFSSSATVYGDPASVPIMEDFPTSATNPYGRSKLMVEECLTDFQKANDWSITLLRYFNPVGSHPTGELGEDPQGPNNLMPFVSQVAVGRREFLSVFGSDYPTKDGTGVRDYIHVMDLSDGHVAALDKVGNKAGLHIYNLGTGNGYSVLEMVKAFEAASGKEVPFKLVDRRPGDIAECWADPSKAANELGWKATRTLEEMTSDTWRWQSNNPQGYPD--- 196249423 -----ILVTGGAGYIGSHAVVELLEGGYDVVIVDNLSNSHIEAIHRFPFYQYDLLDYEAIDHLFQEHDIEAVMHFAGLKAVGESVQMPLRYYHNNITGTLNLCRVMDKHNVKKMVFSSSATVYGNPERVPIDETFPLSATNPYGRTKLMIEEILRDLSVSDPWRIALLRYFNPIGAHKSGRIGESPSGPNNLMPYITQVAIGKREKLYIFGNDYETHDGTGVRDYIHVVDLVKGHIKALQYLEHHTGVEAFNLGTGKGYSVLDLVRTFSEVNGVDIPYEFTDRRPGDVAISYANPEKANKILNWKAEYDLRQMCEDSWRWQTNNPNGYEE--- 296876728 --KQKILVTGGAGFIGSHTLIELTKADHEVVVVDNFVNSSKKSIQEVEKYDIDIRDKDKLLEVFVKEQPTGVIHFAALKAVGESVQIPLTYYENNIIGTLTLLRVMEKVQCKNIIFSSSATVYGDPHTVPILEDFPLSVTNPYGRTKLMIEEMLQDYKSDSSWNIVLLRYFNPIGAHESGNIGENPNGPNNLLPYVTQVAVGKLEYVNVFGNDYPTPDGTGVRDYIHVVDLAKGHVAALKKLEKDSGLNIYNLGTGRGSSVLEIIQNMEEVIGKPIPYKIVERRVGDIATCYADPAKASEELGWKAQYDIHRMCQDAWRWQSKHPNGFE---- 46446936 MSQLTILIVGGAGYIGSHVNKMLNLMGYHTIVVDNLSRGSIKTVLHGTFVQGDLCDPLFLNQLFQKYSIDAVMHFAAYIDVGESVVNPAKYYQNNVVNTLNLLTAMVKSQVKTFIFSSTAAIFGYPLSNKIGESHPCHPINPYGETKWVVEKMLRDFEQAYGLKYSCLRYFNAAGGDPEGKIKNYQTKSSNLIPLILKSLQHETESITIYGTDYATPDGTCIRDYIHIQDLGHAHIKALEQLLNGSSSTSYNLGNGSGFSVKEVIQTVEKVLNKKVSFIEGARRPGDPPILLADTQKAASLLNWHPQFSLAKMIDHAW--------------- 58039922 ----RYLVTGGAGFVGSHAVLALLDAGHDVVVLDNLSTGYREAVPDGPFHKVDLLDYAATSAVVAQGKWDGVLHFAALSLVGDSMRDPFHYLRQNYLTALNLVQICVEHGVKKIVFSSTAALFGGPERDPIPETAPVQPGSPYGESKFMIERVLHWADAIYGLRSACLRYFNAAGADPQGRAGEDHRPETHLIPLTIDAALGRRPALKLFGTDYPTRDGSCVRDYIHVTDLADAHVRALGQIDHR--SVTYNIGNGQGYSNLEVIQSVERVSGRKVPWEAAPRREGDPALLVADSTTLRNDTGWTPRFNIDSIVETALRWRESHPNGYG---- 135064733 ----RILVTGGAGYIGSHGCKALRAAGHEPIVFDNFRTGWRQAVKFGEAIEGDLLDPAALAAAFERAKPDAVMHFAALSNVGESVKDPGLYWRNNVGGSLNLLEAMVAAGTRTIVFSSTAATYGEPEEDPITEAAPQRPINPYGASKLAVEAMLDDFERSHGIRSVAFRYFNVAGADEGREVGEHHVPETHLVPNILDAAAGAREAITVNGTDYPTPDGTCIRDYVHVMDLIDAHVLATERLLAGGESLRLNLGTGRGFSVAEVVAAAREVVGVDFPVGYGPRRAGDPARLVCDGSRAEAVLGWRPARSMARMIGDAWAWRQ----------- 89057735 ----KILITGGAGYVGSACLRHMAAHGHEAMAYDNLAMGHGGAVGSHPLVVADIADTDKLTATLRDFGADAVMHFAAATYVGESVENPDYHYGNNIAGTRSLLNAMRAAGVQRMLFSSTCATYGMTDSPTMSETTPQDPFSPYARTKLAVEWMIRDFAHAYGLGFTLLRYFNAAGADPDGQFGEDHQPENHLIPLVLQTALGQRDKIMIFGEDYPTPDGTCIRDYVHTSDLASAHRLAIEA-TTPSTAEVFNIGTGIGQSVKEIIAACEDITGQAIPQELTVRRPGDPPRLVADPTKLKTQLGWEPQYDIKKTIATAWDWHRNHPKGY----- 257414173 -KTMAILVTGGAGFIGSHTCVELLNAGYEVVVLDNLVNASEKSLKEVTFYKGDILDRTILNEIFEKEKIDSCIHFAGLKAVGESVQKPWEYYNNNISGTLTLVDVMRQHNCKNIIFSSSATVYGDPAEIPITENCPKGCTNPYGWTKSMLEQVLMDIQKADPWNVILLRYFNPIGAHKSGTMGENPNGPNNLMPYITQVAVGKLKELGVFGDDYDTPDGTGVRDYIHVVDLALGHVKALKKIDEKCGLAIYNLGTGHGYSVLDIVKNFEAATGVKIPYSIKPRRAGDIATCYCDPSKAERELGWKAQYGIKEMCEDSWRWQKNNPNGYDD--- 189423944 MSDK-ILVTGGCGYIGSHVVRQLSEAGHSVVVYDNLSTGFQDALLHGEEIQAELADHAALEAAFQKHRFKTVLHFAAAIVAPESVSLPLKYYGNNTRNTLGLLEASVRNGVERFVFSSTAAVYGFPEGGSASEETLLAPINPYGTSKLMSEWMLRDTAAAHGLKYVALRYFNVAGADPQARIGQRTPEATHLIKIACQTALGQRQQAAIYGTDYPTPDGTGIRDYIHIEDLAAAHLAALDYLDKGGESTAINVGYGQGSSVREVLAMVKQVSGVDFRVVEEGRRPGDPACLVARAEKIKLLTDWQPRFSLETIVEDAWRW------------- 241207014 -----ILVTGGAGFIGSHICKALSRAGMIPVTYDNLSTGHADSVRWGPLIRAELADAAALRRTLAEFSPDCVIHCGANAYVGESVDMPRKYYRNNVVGSLTLLEACLDQEIDRIVFSSSCATYGVPASLPIREESPQHPVNPYGRTKLIFEMALEDFAAAYGIRFAALRYFNAAGADPDGELAERHQPETHLIPRALLAAAGRLERLDIFGTDYATEDGTCVRDYIHVSDLAQAHLAAVNHLMADGGSLSVNLGSGRGTSVREILEAIRRASGREVPVRYRSRRAGDPPILFANTARAKAELGFAPAFSIDTIIRTA---------------- 229087750 -----ILLTGGAGYIGSHTCIELLNNGCDVVVVDNLSNSSVKSLKQFKFYKEDLLNHEAIDKIFKENAIDAVIHFAGFKAVGESVALPLTYYHNNISSTLVLCDVMQKHNVKKLIFSSSATVYGIPEESPVTEEFPLSATNPYGHTKLMTEQILRDAVADSEWSIALLRYFNPFGAHKSGRIGEDPNGPNNLMPYVTQVAIGKLKELSVFGNDYPTKDGTCIRDYIHVVDLADGHVKALDKVLHTKGVEAYNLGTGRGYSVLEMVQAFEKISGRAIPYKIIGRRPGDVAVCFADISKAKHELGWEAKRGIEEMCLDSWKWQSNNKKGYKE--- 258636286 -----ILVTGGAGYIGSHTVLALLQRGDDVVVLDNLCNASREAIKKAVFVEGDIRDRACLRDLFAANDISAVIHFAALKAVGESTRIPLEYYENNVAGTVVLLEEMRSAGVWNFIFSSSATVYGADAPVPYVETTPIGTTSPYGTSKLMIELVMRDFAKADSFNAIALRYFNPVGAHESGEIGEDPTGPNNLLPYIAQVAIGRLDKLGVFGDDYDTPDGTCLRDYIHVVDLAEGHLKALDHLPKVTGYKAYNLGGGKGFSVLEMINAFEKASGKQIPFEFKPRRDGDLPAFWADASLANTELDWRVTRGIDEMMRDTWNWQSKNPNGFK---- 294140301 ----TILVTGGAGYIGSHTVVELLNAGQDVVIVDNLVNSSIEALHRVEFYQGDVLNKAFLQKVFSDHEIQSVIHFAGLKAVGESVAQPLRYYENNVTGTLVLCEVMAANNVKNLVFSSSATVYGDPASLPITEDFPTGATNPYGQSKLMVEHILQDYHSDPSWNIARLRYFNPVGAHKSGRIGEDPNDPNNLMPFIAQVAVGKREKLSVFGDDYDTHDGTGVRDYIHVVDLAIGHLKALDKLNTQSGLVTYNLGTGKGYSVIDMVKAFEKACGKSINYQIVPRRLGDIAACYADPKKALSELNWQATHNLEDMASSSWHWQSSNPNGYGQ--- 218135360 -----ILVTGGAGYIGSHTVVELQNAGYDVVVVDNLCNSSREALKKVPFYECDINDREALNRVFDNENIDSCIHFAGLKAVGESVRKPLEYYANNISGSLVLFDVMRKHGCKNIVFSSSATVYGNPEFVPITEECPKGEINPYGRTKGMLEQILTDIFADPEWNVILLRYFNPIGAHESGTIGENPNGPNNLMPYITQVAVGKLARLGVFGNDYDTPDGTCIRDYIHVVDLALGHIKAVEKLNGKPGLAIYNLGTGIGYSVLDVIHNFEEASGIEIPFDFKPRRAGDVPMCYSDPSKAERELGWKAERDLRRMCEDSWRWQKNNPNGYSEK-- 85858248 ----RILVTGGAGYIGSHVVKALGEQGHDLLIYDNLSTGHDWAVLYGRLEVGELADTRRLDEVLQAFRPEAVLHFAASIQVEESVREPLRYYRNNVANSLNLLDAMERHDVRNLIYSSTAAVYGIPERMPVDESLPLNPINPYGASKVMMETVLRDADARENFRYIALRYFNVAGADAGNRIGQAYADATHLITRALKTANGQYPKLSVFGTDYPTPDGTCIRDYIHVDDLADAHIRALNYLVETGKTEIMNCGYGHGFSVREVVDVAKKVTRIDFPVEETERRAGDPPELIADSSKLRRLTGWLPRHDLEFIIRTAWDWELKY--------- 302038473 -----ILVTGGAGYIGSHTCVELLNAGCAVTVFDNFSNSHPESLSRVHVIRGDCRDRAALVAALRESGATAVIHFAGLKAVGESVQQPMAYYDNNVVGSLRLLEAMRECGVQRLVFSSSATVYGDPQRLPLTEDHPLSATNPYGRTKLMVEEILRDLQQSDSWKICILRYFNPVGAHTSGLIGEDPQGPNNLLPFVAQVAVGRRECLNVWGNDYSTPDGTGVRDYIHVVDLALGHLKTLDRLERPGACLTVNLGTGNGYSVLEIVRAFEAASGKPVPYKVAPRRPGDVASCYADPKHALQSLGWRAARGLKEMCADAWRWQSTNPKGYA---- 262282420 MKHK-ILVTGGAGYIGTHTVVELIKVGHEIVVVDNFSNSSKKSLEAITFYEVDICDKEALLKVFKDFKPTGVIHFAGLKAVGESSQIPLTYYENNVAGTLTLLRVMEEVNCKNIIFSSSATVYGDPHTVPILEDFPVSVTNPYGRTKLMLEEILTDYKADSTWNIVLLRYFNPIGAHESGDLGENPNGPNNLLPYVTQVAVGRLEQVQVFGNDYPTVDGTGVRDYIHVVDLAKGHVAALKKIEGKQGLNIYNLGTGKGYSVLEIIHSMEKAVGKPIPYKIVERRPGDIATSYANPAKAKAELGWEAQFDISRMCQDAWRWQSKHPNGFDE--- 283781944 ----RLLVIGGAGYVGSHGVRVLEGAGHEVWVYDNLSRGHRSAARADRLIVGSLHDQPLLESVLRDRQIDAVLHYAAFALVGESVGNPAMYYHNNVIGSYHLLEAMRASGVQNLIFSSTTAIFGEPEKTPIAEHFPKQPINPYGFTKLVMERMLDDYAQAYGMSFVALRYFNAAGAHPSGEIGEDHTPESHLIPIVLQVALGQREKITIYGNDYPTLDGTCIRDYIHVDDLADAHLLALDHF-APGKGLKLNLGTGRGHSVKEVIDCCRAVTGHPIQAEVGERRPGDPSALVADPTLAKKTLGWQPRYTLLPIVETAWNWHQKHPHGYGD--- 56477621 -----VLVTGGAGYIGSHTCVELLQSGHDVVVVDNLCNSKSEALARAGFHEIDVRESDGLKAVFGAYRVDAVIHFAALKAVGESVREPLEYYDNNIGGTIALTRAMAEAGVYRLVFSSSATVYGDPRSMPIAESAPAAPTNPYGRTKWMTEQVLSDVAASDPWQVVLLRYFNPVGAHRSGRIEDPHGIPNNLMPYISQVAVGRLPQLQVFGGDYATPDGTGVRDYIHVVDLARGHVQAVERIEGLPGVTCLNLGTGRGYSVLEVIRSFEAASGRRVPYRIVDRRPGDIAACWADAGRAEKVLGWKPEHDLDAMCRDAWRWQTGNPEGY----- 297562936 ----NVLLTGGAGYIGSHTAVELLEAGHEVVVVDLLSNSHEEALRRVEFHRADCADPEAMRRVFAEHRVDAVIHMAGLKAVGESVEQPLRYYRNNMDALFTLIEVMDEHGVRDLVFSSSATVYGDPEQVPITEDSALSATNPYGATKLFAERVLQDAAADPRWRITALRYFNPIGAHPSGLIGEDPQGPNNLFPYVAQVAAGRRERLNVFGDDYDTPDGTGVRDYLHVVDLAQGHMAAVEHLADAPGYRVYNLGTGQGTSVLEGLRAFERATGVAVPYAVVDRRPGDIAVCYADPSAAARDLGWKAVRTVDDACRDAWRWQSANPAGF----- 163814680 ----KILVTGGAGYIGSHTCVELLNAGYDVVVIDNLYNASEKAIKDVTFYETDILDKEAMDKIFAEEKPDCVIHFAGLKAVGESVVKPLEYYQNNITGTLNLCEVMRKNGCKNIIFSSSATVYGNPAFIPITEECPKGPTNPYGWTKWMIEQILTDHTADPEWNVILLRYFNPIGAHKSGLIGEDPKGPNNLLPYVAQVAIGKLQSVGVFGDDYDTPDGTGVRDYIHVVDLAVGHVKAVNKIKENPGVKVYNLGTGKGYSVLDVIKAFSKACGHDVPYVIKERRAGDIATCYSDASLAKKELGWEAQYDIDEMCADSWKWQTMNPNGYND--- 308048805 -----VLVTGGAGYIGSHTLVELLEQGDDVVVVDNFSNSSKESLNRIKFYRGDICDHALLTTIFQNHDIDAVVHFAGLKAVGESVSQPLRYYENNVYGTMVLCQAMAEAGVFNLVFSSSATVYGDPASLPLREDAPVGTTNPYGTSKYMVERVLFDLQVSDPWNIAILRYFNPVGAHHSGLIGEDPNPPNNLMPFISQVAVGKREQLAVFGDDYDTPDGTGVRDYIHVVDLAKGHLKALLKLQHNPGVVVYNLGTGNGYSVLEMVKAFEQASGQPVPYVIAPRRSGDVAACYADANKAKAELDWQAELGLQRMVEDSWRWQSRNPDGYQS--- 37528640 -----ILITGGAGYIGSHTVLTLLERGADVVVIDNLCNSSPESLRRVKFYQGDILNLDLLHKIFQENKIETVIHFAGLKAVGESTRKPLEYYQNNVTGTLVLLQAMRANSVHQLIFSSSATVYGDPEFVPLTENAKVGTTNPYGTSKLMVEQILKDFAAEPSFKITSLRYFNPVGAHSSGMIGEDPNGPNNLLPYITQVAIGRLECLSVYGNDYPTKDGTGIRDYIHVMDLAEGHIAAIDYLDKQQSYEVFNLGTGAGYSVLELLHAFEKASGKDIPYKITDRRPGDIAECWSDPSRARNILGWQATRNIDDMMRDSWNWQKNNPHGFRS--- 258517158 -----ILVTGGVGYIGSHTCVELLNAGYEVVVIDNLSNSKTESLKRVNWYEVDVLDSEGVEKVFSENSIEAVIHFAGLKAVGESVRIPLRYYHNNITGTLVLCQVMQKYGVRNLVFSSSATVYGIPGCVPIAEDCLLGATNPYGRTKLMIEELLKDYASDKAWSIALLRYFNPIGAHKSGLIGEDPSGPNNLMPYITLVAVGKLKELKVFGSDYPTSDGTGVRDYIHVVDLAHGHLKALEKIISGSGIDAYNLGTGKGYSVLEMVASFENVSGRKVPYLLVERRAGDVAICYADPTKARIELGWVAQRGIEEMCADSWNWQSSNPDGYGD--- 238791487 -----ILVTGGAGYIGSHVVLALLERGDDVIVIDNLSNSLRESLCRVEALAGNILNRDALKAIFTQHQITDVIHFAGLKSVGESIQDPISYYENNMTGSLVLLQEMILAGINSFIFSSSATVYGYPETVPLHEGCKVGTTNPYGTSKLMVEQILRDLAHVNPFRITCLRYFNPVGAHSSGMIGENPNGPCNLLPYITQVAIGKLDYLPVYGGDYPTKDGTGVRDYIHVMDLASGHLAALDHKDDGPSFKIFNLGTGIGYSVLDLVNTFEKVSGIKINYKIVERRSGDVAKCWSDSSLAMQKLGWKATRGLDEMMRDSWNWQKNNPNGYS---- 157369844 -----ILVTGGAGYIGSHTVLTLLERGEKVIVLDNLSNSSEESLRRAVFYQGDIQNAECLRRIFDDHEISAVIHFAGLKAVGESTRKPLEYYQNNVAGTLVLLDEMRRAGVHQFIFSSSATVYGANSPVPYVETTPIGTTSPYGTSKLMVEQVLQDFAKEPQFSIIALRYFNPVGAHESGLIGEDPNGPNNLLPYISQVAIGKLDKLGIFGGDYPTEDGTGERDYIHVMDLAEGHLMAMDHLNKVGGFKAYNLGAGAGHSVLAMVQAFEKASGVKIPYQILPRRDGDLPAFWADANLAREELGWEVRRGIDEMMRDTWNWQKNNPKGY----- 237808801 -----ILVTGGAGYIGSHTAVELLNAGYDVIIADNFCNSHPEVLKQPVFYEADVRDAEALNNIFSQHQIESVIHFAGLKAVGESTRLPLKYYQNNIAATLTLCEVMQQHNVFDLVFSSSATVYGDPHAVPINESFPLSATNPYGRSKLMVEEILRDVAKAEPRWIVLLRYFNPVGAHASGSMGEDPNGPNNLLPFISQVAIGRLPQLSVFGNDYTTPDGTGVRDYIHVVDLAIGHIKAIERIKRERGILTYNLGTGQGYSVLEMINAFELASGKKIAYQIVARRPGDIAECWADPAYAANDLGWKAARTLQDMMQDTWRWQSNNPNGYK---- 162146656 MTTRRFLVTGGAGYVGSHVVAALCDAGHDVVIFDNLRTGHRESVPDGRFVPGDLADRPLVDRVLADGPWDGVLHFAALSLVGESMQQPFLYMEANAGLGFSLIDACVRHGVKRFVFSSTAALFGQTEDALITERTPIIPGSPYGESKHMVERALVWADRIHGLRSACLRYFNAAGADPTGRIGEDHRPETHLIPLVIDAALGRRGELQLFGDDYPTPDGTCIRDYIHVTDLAQAHLAALDVIHDR--SVVYNVGNGVGHSNMEVIRSVERVTGRTVPWRLAPRRPGDPARLVAGAERLRAETSWTPRFRLDDIVETAYRWRLAHPDGYRS--- 157115992 -----ILVTGGAGFVGSHTVLELLNAGHQVICVDNLCNAFGAADSKLPFYDVDIRDKNGLREVFKKHKIDCVAHFAALKAVGESCRIPLQYYQNNITGTSVLLEVMAGSNVLKFVYSSSATVYGEPQKLPLDEKHPTNCTNPYGKSKYFTEEILKDLCESDPWSVISLRYFNPVGAHKSGRIGEDPNGEPNNMPYISQVAVGRRPCLKVFGNNYDTPDGTGVRDYIHIVDLAEGHVCALDKLARGNGFVVYNLGTGCGYSVLDVVEAFSKASGKEVKYEIVDRRPGDVATSYADVTLAAKELGWKAKRGLKEMCEDTWNWQRLNPNGFS---- 11386775 MSSQTVLVTGGAGYIGSHTVLQLLLGGFKAVVVDNLDNSSETAIHRVKFHKLDLRDRDALEKIFSSTKFDSVIHFAGLKAVGESVQKPLLYYDNNLIGTIVLFEVMAAHGCKKLVFSSSATVYGLPKEVPCTEEFPLSAANPYGRTKLIIEEICRDYRAEQEWKIILLRYFNPVGAHPSGYIGEDPRGPNNLMPFVQQVAVGRRPALTVFGNDYTTSDGTGVRDYIHVVDLADGHIAALRKLNDPKGCEVYNLGTGKGTSVLEMVKAFEQASGKKIPLVMAGRRPGDAEVVYASTNKAERELNWKAKYGIDEMCRDQWNWASKNPYGYGGSED 261346799 MNNPSVLVTGGLGYIGSHTCVQMIQQGIQPIILDNLHNANLEVLNRPTFYQGDVRDKAILDTIFAEHSIRSVIHFAGLKAVGESVEKPIEYYDVNVNGTLVLVQSMQQAGVKSLIFSSSATVYGDPEEVPLTENSKVGTTNPYGTSKYMVERILTDHIAKNEWSITLLRYFNPVGAHQSGLIGEDPQGPNNLTPYIAQVAVGRHKEVMVFGDDYPTPDGTGVRDYIHVMDLADGHIAALTHTSQKSGLYIYNLGTGKGTSVLEMIAAFEKAANKPISYRVVARRPGDIAECWSSPTKAFNDLGWKAQYSVQDMVDDSWRWQSKNPNGYK---- 89899524 -----IFVTGGAGYIGSHTCVELLDAGHDVTVFDNFCNSQPEALARVQRVQGDIRDRAALVAALAQSGASAVIHFAGLKAVGESVQQPLAYYDNNVVGTLRLLEAMLECGVKTLVFSSSATVYGDPQRLPLTEDHPLSSTNPYGQTKLVIENMLRDYHSDPSWRLSLLRYFNPVGAHTSGLIEDPQGMPNNLLPFVAQVAVGRREFLNVWGDDYATADGTGVRDYIHVVDLALGHLKALERLQQHTECRAINLGTGVGFSVLDMVRAFELASGKPVPYKIAPRRAGDIAACYADPGLALSLLGWRAQRGIDAMCTDTWRWQSSNPKGYAQ--- 145300115 ----TILVTGGAGYIGSHTLVELLGAGPQVVVLDNLSNSSPESLKRVEFVEGDVLDRACLQQLFAAHKIESVIHFAGLKAVGESSQIPLTYYQNNITGTLVLCEEMAKAGVFRLVFSSSATVYGDPASVPLREDFPTSATNPYGRSKLMVEEILRDLSKSDPRWAIVLRYFNPVGAHESGLIGEDPNGPNNLLPYISQVGVGKLKELGVFGNDYPTPDGTGVRDYIHVVDLALGHLKALTRIAADTGVFTYNLGTGQGYSVLQMIRAFEAASGRTIAYQIKPRRPGDIAECWAEPHKARDELGWQAERGLEQMMIDTWRWQSQNPNGYG---- 163737928 ----HILVTGGAGYIGSHACKALRAAGFTPVTYDNLVTGWQDAVKFGPFEKGDLSDRARLDEVFAKYQPAAVMHFAALSQVGEAMSEPGRYWANNVGGSLTLIEAAVAADCLDFVFSSTCATYGEHDNVVLDESTPQVPLNAYGASKRAVEDILRDFGASHGLRSVIFRYFNVAGADPEAEVGEFHRPETHLVPLVLDAIDGKRDALTIFGTDYDTPDGTCVRDYVHVCDLVDAHVLGLKWLKDGKGSQVFNLGTGTGFSVREVMDKAEATTGKSVPHSIGPRRAGDCTKLVSGSVRAATLLGWEPTRSLETMIADAWGWHKA---------- 297569760 ---KTYLLTGGAGYIGSHTALALLEAGHRVVVFDNLVNSSAEALRRVERFEGDMRDPAALRTVFAQHQPDAVIHFAGLKAVGESVRLPLDYYENNVTGTLNLCRAMVAAGVFTLVFSSSATVYGTANDSPLKEEMNTGVTNPYGRSKWMIEQLLQAAAADERWRIALLRYFNPVGAHESGLIGEDPRGEPNNMPYIAQVAVGRRRRLGVFGNDYPTPDGTGVRDYIHVVDLALGHVQALQALDSFSGCQVWNLGTGKGYSVLEMVQAFAKACGAAVPYEILPRRPGDLAVCFADPAKAQAELNWRAERSLNDMVTDAWRWQSQNPEGYD---- 237748607 -KNRTVMVTGAAGYIGSHTCVELLEAGFDVVAVDNLCNSSRESLNRVEFHEVDVRDKAGLKNVFQQHSIDAIIHFAGLKAVGESVAKPLMYYDNNVSGTLSLLEVANDAGVRHFVFSSSATVYGDPDFSPVPETARLSVANPYGRSKLMIEEILGDLSVSDPWSIAILRYFNPVGAHSSGLIEDPHGIPNNLMPYVAQVAVGKLKELAVFGDDYPTKDGTGVRDYIHVMDLARGHVDALNYLFENKKGFTVNLGTGVGYSVLDVVHAFERACKKPVPYKIAPRRAGDVPLYYADPAMALSLLGWKTRYDLDQMCADYWKWQSTNPDGY----- 254462740 -----VLVAGGAGYIGSHACKALSKAGYIPVCYDNLSTGWEEAVKFGPFERGDLLDRARIDEVLAKHKPIAIMHFAALSQVGESMDDPGLYWRTNVMGSLNLIEAAVEADIKNFIFSSTCATYGDQDNVVLDENSVQQPINAYGASKRAVENILYDFERRYGLRHVIFRYFNVAGADPEGEVGEYHQPETHLIPLMLDAIDGKRDALTIFGTDYDTPDGTCIRDYVHVCDLVDAHLLGLNWLKDGKQSEVFNLGTGAGFSVRAVVDHSKDVTNKAVPIIERTRRAGDCTQLVSGSTKAESELGWTPVRSLKAMIGDAWRWHQ----------- 262281400 ----KILVTGGAGYIGSHTCVELLEAGHEVVVFDNLSNSSEESLNRVKFVQGDIRNADELDRVFQSHSIDAVIHFAGLKAVGESQEKPLIYFDNNIAGSTQLVKSMEKAGVYTLVFSSSATVYDEANISPLNEDMPTGPSNNYGYTKLIVEQLLQKLSESNSWSIALLRYFNPVGAHKSGRIGEDPQGPNNLMPYVTQVAVGRREKLSIYGNDYDTVDGTGVRDYIHVVDLANAHLCALNNRLEAKGCRAWNIGTGNGSSVLQVKNTFEQVNGVPVAFEFAPRRAGDVATSFADNARAVAELGWQPQYGLEDMLKDSWNWQKQNPNGYKE--- 119478184 -----VLVTGGAGYIGSHTCIELLGAGHNVIVVDNLSNSSYEALRRVKFHQIDICDKEALETVFRGNPIDAVIHFAGLKAVGESVDKPYLYYHNNVHGTLVLCDVMSRHEVFNLVFSSSATVYGDPASVPINESFPLSATNPYGRSKLMIEEILRDYVSDQRWNAILLRYFNPAGAHISGRIGEDPNGPNNLMPYISQVAVGKLKKLSVFGSDYPTIDGTGVRDYIHVVDLALGHVKALDKLADAPGAVAYNLGTGRGYSVLEMITAFEQASGKTVPYQLVDRRPGDVASCYADPDMASTELNWRAERSVDQMAADSWRWQSDNPNGY----- 146277377 -----ILVTGGAGFIGSHACKALHRAGLRPVSFDNLSTGHAHAVRYGPLVQGDVRDAAAVEAALRVHDARAVIHFAASAYVGESMADPARYYDNNVGGMIGLVQGCRAAGVTRIVFSSSCATYGVPESLPIRETTPQRPINPYGRTKLIGEEILRDLS-VEGMRHVALRYFNAAGADPEGELGEHHGPETHLLPLALKAASGQGGPLAIFGTDYPTPDGTCIRDYIHVADLARAHVLALARLREGGESLALNLGTGRGHSVREIVAAIEAVTGRAVPVRLEPRRPGDPPELVADPGRAVAELGFRTECSIGTIVRHAAPWF------------ 170726067 ----TILVTGGAGYIGSHTVVELLNTDQEVVIIDNLVNSSIEALHRVEFYQGDVLNKAFLQKVFTDHKIQAVIHFAGLKAVGESVAQPLRYYETNVSGTLVLCEVMAENDVKNLVFSSSATVYGDPASLPITEDFPTGATNPYGQSKLMVENILEDYQSDPSWNIARLRYFNPVGAHASGSIGEDPNDPNNLMPFIAQVAVGKRDKLSVFGDDYNTHDGTGVRDYIHVVDLAIGHLKALEKLNTQSGLVTYNLGTGVGYSVIDMVNAFEKACGKSISYQIVARRPGDIAACYADPLKAKTELGWQATHSLEDMANSSWKWQSSNPNGYAS--- 160894387 ----KILVTGGAGYIGSHTCVELLEAGYDVVVVDNLYNASPKVIKDVTFYEKDIRDLDAMNEIFAKEKPDTVIHFAGLKAVGESVRKPLEYYENNIAGTLTLCKAMRENGCKNIIFSSSATVYGNPAFIPITEECPKGCTNPYGWTKHMLEQILTDHTADPEWNVILLRYFNPIGAHKSGLIGEDPKGPNNLLPYVAQVAVGKLPCLGVFGDDYDTPDGTGVRDYIHVVDLAKGHVKAINKIKENPGVKIYNLGTGKGYSVLDVVKAFEKACGKKIPYEIKPRRAGDIATCYSDATLAKKELGWEAEYGIDEMCADSWRWQSMNPDGYG---- 258646550 ----NVLITGGAGYIGSHCNRYFNEKGIYTVIVDDLSDGHEESVVAGKFVKGSFGDRALMEKILKEEKIDAVIHFAAFASVPDSVARPSRYYHNNVSNMLNLLDAMVATGVKYIVFSSSAATFGEPVYTPIDEKHPQKPINPYGMTKLIGEKMLLDYEQAYGIHSCAFRYFNASGCSHDSLIGEAHNPECHLIPLVIRAAIHGEPHLKVFGDDYDTRDGSCLRDYVHVEDLAEAHYLGLKYIMEHNCSEQFNLGSQTGFTVLEIIKSFEKVSGLKVPYEIAGRRAGDPAVLVASNEKAKKLLGWELKQSLENILRTAYGWEKN---------- 307149756 --SKKILVTGGAGYIGSHTVSKLSKAGYNVVVYDNLSTGSASAVLSGKLIVGSLEDKNLLYQIFSEYKFDAVLHFAASISVSESILKPLSYYNNNTCNTLQLLQCCQLFGINQFVFSSTAAVYGEPKENPITELSPTEPINPYGRSKLMSEYFIDDYARTSQFRYVILRYFNVAGAELKANIGPSSQKAEHLSKVCCDAALGRRPFVSIFGTDFPTPDGSAIRDYIHVEDLAQAHIDALRYLEQENSSQIFNCGYGQGYSVKEVIEKVKEISGVDFPVKEAPRRKGDPACVIACPDKIRQMLSWQPKYNLDIIIKTTLNWEKKLIKKL----- 172056419 -----VLVVGGAGYIGSHAVYQLVDAGQDVVVIDHLKSGHREAVPKARFYEGDIRDRAFLDTVFEKETIDQVVHFAAFSLVGESMEHPLAYFDNNVYGTQVLLEAMMAHDVKQIVFSSTAATYGEQEQMPILETATTNPTNAYGETKLMMEKMMRWCETAYGLNYVALRYFNVAGARATGEIGEDHTPETHLVPLVLEVANGQRPVISIYGDDYATEDSTCIRDYIHVEDLIDAHLLALEYLKQGNTSDVFNLGSSRGFSVREIIEAARRVTGHAIPEQVVARRAGDPSTLIAGSDKAKKVLGWTPRRTIDTIIQDAWRWHEQRPQGYK---- 115465825 ---RTILVTGGAGYIGSHTVLQLLQLGFRVVVLDNLDNASELAILRVDFRKVDLRDKQALDQIFSSQRFEAVIHFAGLKAVGESVQKPLLYYDNNLIGTITLLQVMAAHGCTKLVFSSSATVYGWPKEVPCTEESPLCAMNPYGRTKLVIEDMCRDHASDPNWKIILLRYFNPVGAHPSGYIGEDPCGPNNLMPFVQQVAVGRRPALTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVFAGRRPGDAEIVYAQTAKAEKELKWKAKYGVEEMCRDLWNWASKNPYGYGS--- 171059921 ---KRILVTGGAGYIGSITCVQLIAAGLQPVILDNLHNAKAAVIQRPAFVQGDIRDRALLDHLLREHAIDAVIHFAGLKAVGESVAQPLSYYDNNVHGTLVLIEAMRDAGVRTLVFSSSATVYGDATQMPLREDTPTSATNPYGRTKLMVEQILADVVASDPAWSMTARYFNPVGAHPSGLMGEDPQGPNNLMPFIAQVAVGRREALRIFGRDYPTPDGTGMRDYIHVMDLADGHLAALNHAHGRAGLHVFNLGTGHGNSVLEMLAAFGRACGRELPHEFAPRRPGDVAACWADPTRAESQLGWCATRTLDQMCADTWRWQSTHPNGYES--- 300926481 -----ILVTGGVGYIASHTIITLLEKGEEVIILDNLSNSFYESLHDSRFYRGDILDKELLRKIFKENTISDVIHFAGYKSVKESISHPLKYYQNNVSGTLSLIDAMGEACVKSLIFSSSATVYGEPERIPLDENCRIGTTNPYGTSKLFVEKFLIDYSHAFDFRTTILRYFNPVGAHPSGEIGEDPNGPNNLMPYICQVAIGKQQHLTIFGSDYPTKDGTGVRDYIHVMDLAEGHVAALEHRNEGANCKIYNLGTGTGYSVLELVDAFQRVTARKVPYVFSKRRPGDIAECWSDPSKAYRELGWKAKRGLEEMVRDAWNWQQKNPNGY----- 167623460 ----TILVTGGAGYIGTHTVVELLNSGNEVVILDNLSNSSVTALERVEFYQGDVLNRAFLQKLFTDHDIKSVIHFAGLKAVGESVAQPLRYYENNVTGTIVLCEVMAEFNVKNLVFSSSATVYGDPASLPITEDFPTGATNPYGQSKLMVEHILADYHSDNSWNIALLRYFNPVGAHKSGLIGEDPNDPNNLMPFITQVAVGKREQLSVFGSDYDTVDGTGVRDYIHVVDLAIGHLRALDKLHSQSGLVTYNLGTGQGYSVLEMVKAFEKASGTQIKYQLVARRPGDIAACYANPDKAHKELGWQATHSIDDMAQSSWHWQSTNPNGYVTEE- 300311327 MTQATILVTGGAGYIGSHTCVELIHAGYAVVVLDNLCNSRASVIQRPHFVQGDIRDRAVLDGIFKSHRIEAVIHFAGLKAVGESVAQPLRYYDNNVHGSNVLFEAMAAHGVKNIVFSSSATVYGDPASVPITEEFPLSATNPYGRSKLMVEQILGDHVADPSWRIALLRYFNPVGAHESGLIGEDPSGPNNLLPFIAQVADGRRAALSVYGSDYPTPDGTGVRDYIHVVDLALGHLKTLRKLEQGPGVYTYNLGTGRGNSVLEMLAAFEQACGNKLPYQLVDRRPGDIACCYAATERAERELGWRAQRGIEAMCADTWRWQ------------ 126738432 ----NVLVTGGAGYIGSHACKALKTSGFTPVTYDSLVTGWRDAVKFGPFEQGDLRDRARLDEVFAQYQPIAVMHFAALSQVGEAMNEPGLYWGNNAGGSLCLLEAAAAVGCLDFVFSSTCATYGEHDNIVLDEDAPQLPLNAYGASKRAVEDMLRDFGAAHGLRSVIFRYFNVAGADPEAEVGEFHRPETHLVPLVLDAIDGKRDALTIFGTDYDTPDGTCIRDYVHVCDLVDAHVLGLKWLQDGKGSRVFNLGTGSGFSVREVMQRAEEVTGTPVPCNEGPRRAGDCTKLVSGSVRALNELGWAPQRSLQTMIADAWKWHK----------- 189219879 ----KILVTGGAGYIGSICVEMLLGRGYRVVVLDNLSEGHLQAVPRALFIQGDLADRELLFSVFDSERPEAVIHFAAKALVSESMNSPSLYFRNNVAFGINLLDALVKFEVKRIVFSSTCSVYGCVNKIPIDENVPVHPENPYGESKLLFEKMLEWYRKIHGISPVIFRYFNAAGATASH--GEHHRRETHLIPRILHVALGLEPQVEIYGKDYPTEDGTAVRDYIHVVDLVDAHIKALELPFE----GVFNLGNAKGYSVLQIIELSRKITSQPIPAVFAPRRPGDPPILVADFSKALETFGWKPKNDMSSIIHSAWSWHKSHPQGY----- 221633720 ----TVLVTGGAGYVGSFTVRALQQAGHEVVVFDNLRQGHRSAV-CVPLVVGELIDREAVATCFRRWRFDAVIHLAAYTSVRESVTDPNKYVVHNVGGTIVLLEACLRHDVPYLVFSSSSEVYGEARYLPLDEAHPTEPTNPYGATKLQVEHYLRWYDAAYGLRSISLRYFNAAGAALDGSMGEDHRPEEHLIPNAIRGALGLQ-AFRLTSPVVATPDGTTIRDYVHVLDLAEAHVLALEALRQGHPTDVINLGSGVGYSTRQIIELVQELTGVRFPVERGEARPGEPPIKYASYAKAERVLGWRPRYGIEEIIASAVRWHTRFPHGYPD--- 6010005 ----KILVTGGAGYIGSHTCIELLNAGHEVIVFDNLSNSSEEALRRVQFIQGDIRNQSELDLVFQDHQIDAVIHFAGLKAVGESQQIPLTYFDNNIAGSIQLTKSMEKAGVFKLVFSSSATVYDEVNTSPLNEDMSTGPNNNYGYTKLIVEQLLQKAHADRRWSIALLRYFNPVGAHKSGQIGEDPQGPNNLMPYVTQVAVGRREKLSIYGNDYDTVDGTGVRDYIHVVDLANAHLCALSNRLSAQGCRVWNIGTGNGSSVLQVKNTFEQVNGVSIAFEFVSRRAGDVATSFADNTRAQLELGWKPQYSLEDMLTDSWNWQKQNPNGYN---- 304409594 ----TILVTGGAGYIGTHTLVELLNAGNDVIVLDNLSNSSIEALNRVIFYQGDILNKALLQKVFNDHAINSVIHFAGLKAVGESVAKPLKYYENNVTGTLILCQVMAEFKVKNLVFSSSATVYGDPASLPITEDFPTGATNPYGQSKLMVEHILADHNADPSWNIARLRYFNPVGAHASGLIGEDPNDPNNLMPFIAQVAVGKRAALSVFGDDYPTHDGTGVRDYIHVVDLANGHLKALAKLATKPGLVTYNLGTGQGYSVLDMVHAFEKACGKPIAYQIAPRRPGDIAACYADPTHAREDLGWQATHTLADMANSSWHWQSTNPNGYNS--- 134981152 --GQRILITGGAGFIGSHTCLVLLEAGHELVVVDNFDNSSPEALRRVEEVEGDIRQPLVLDQAFRRGAIDGVIHFAGLKAVGESVTDPLRYWDVNLNGSRVLAAAMEQHGCRTLVFSSTSTVYGEPEQFPLREDMTTAPVHPYAQTKLAVEQMLAALCTSSSWRVSCLRYFNPVGAHPSGQIGEDPLGPNNLFPFITQVAAGRRDRLQVFGDDYPTNDGTGIRDYIHVMDLAEAHALTLDHLIQQPVSSCLNIGTGTGLSVLDVVKGFETATGLTIPYDVVERRPGDVPRLQACPEKAKTVLGWTARRSLQEMCRDGWAWQQANPMGYRQ--- 136753831 ----HILVTGGAGYIGSHACKTLNNLGYIPVTLDNLSTGWADAVKFGPLERGDLLEKRVLDAVFQKYSPVAVLHFAALSQVSESMTRPGLYWQNNVLGSLNLIQAAVDNGCMNFVFSSTCATYGDQDNVVLDENSPQRPINAYGASKYAVESILQNFEAAYGLNSVIFRYFNVAGADPEAKIGEYHQPETHLIPLILDVVSEKRDVLHIYGTDYDTPDGTCIRDYVHVCDLVDAHILGLTWLLNGKGSRVFNLGTGTGFSVRDVINHAKVITNKKVPIIESDRRPGDCAKLVSSSMRAVSELGWFAQRSLNQMITDAWRWYQ----------- 269104804 -----ILVTGGMGYIGSHTCVQMIEAGITPIIVDNLCNAKEEVLIRPIFHHGDIRDEAFLDTVFSQHRIEAVIHFAGLKAVGESVAKPLEYYDNNVTGTLVLARSMRKAGVKSIVFSSSATVYGDPDIVPITEDSPTGTTNPYGTSKHMVEQCLSDFKAEDNWSITLLRYFNPVGAHPSGSMGEDPQGPNNLMPFIAQVAVGRREKLAVFGNDYPTPDGTGVRDYIHVMDLADGHIAALTAVGKKAGLHIYNLGTGKGSSVLEMVEAFSQACGKAVPFEICPRRAGDIAECWASTTKAERDLGWKATRTVAEMTADTWRWQSENPQGYS---- 251782176 ----KILVTGGAGYIGSHTCVELLEKGHELIVVDNLENASSKSLKKITFYQTDILDEAGLDAIFSQHDISAVIHFAGLKAVGESTQIPLKYFTTNISGTLTLLRVMAKYQCKNIIFSSSATVYGDPHKVPITEDFPLSVTNPYGRTKLMVEEILKDYQSDKSWNIVILRYFNPIGAHQSGELGEDPTGPNNLVPYVTQVAVGRLERIGVFGDDYNTPDGTGIRDYIHVVDLAKGHVAALKKLAPESGLSIYNLGTGQGYSVLEVVKTMSEAVGKDIPYQILPRRAGDIAVCYSDGTKAKEELDWQANYDIKRMCEDHWRWQSKHPNGFKD--- 307748304 ---KNILVVGGAGYIGSHTLKHLLDNNYNCIVMDNLIYGHKQAIKRAKFIHADLLDIFSLTNVFKQEKIDALVHFAAFAYVGESVVNPEKYYQNNVVGTINLLNAMLENNVKDIVFSSTCATYGEPQYTPIDEKHSQNPINAYGRTKLMIEQVFADYEKAYGLRHISLRYFNAAGASEDGLIGESHEPETHLIPLVLKAIKGEIPAINIFGNDYDTEDGTCIRDYIHVEDLALAHRLALENL--HKFSGCINLGTGIGTSVKEIISAAEVVSGKKCPINYAPRRAGDPARLYADNKKAKEILSWEAKYDIKDIIKSAWDW--ENNRKY----- 153814345 -----ILVTGGAGFIGSHTCVELLNAGYDVVIVDNLYNASEKAVKDLKFYQVDIRDREGLNEVFDKEDVESVIHFAGLKAVGESVQKPLEYYENNIGGTITLCDVMRSHGVKNIIFSSSATVYGDPAFIPITEECPKGCTNPYGWTKWMLEQILTDHTADPEWNVVLLRYFNPIGAHKSGMIGEDPKGPNNLMPYITQVAIGKLECLSVFGDDYDTHDGTGVRDYIHVVDLAIGHVRAVEKLKEKDGVSVYNLGTGNGQSVLDMVKAFGKACGKEIPYVIKPRRAGDIATCYCDASKAKKELHWEAERGLEEMCEDSWRWQSQNPNGYAE--- 27382689 MTDRTVLVTGGAGYIGSHACRALSAAGYQPVVYDNLSTGHRSFVA-GPLVIGDLLDDAALARAFADHKVTAVMHFAAASLVGESMTDPQKYYINNVQGTLSLLQAMRNAGCHRIVFSSTGAVYGNADSKELPEDFPCAPINPYGASKWMIERMLADYRAAYGFGAFCLRYFNASGADPAGGIGELRDNETHLIPRAMMALQGHVD-FAVFGDDYDTPDGTAIRDYIHVTDLAAAHVAALKLLEQGHAGGSFNLGTGSGFSVREILNAIRQETGREVPHTVKPRRAGDPTYLVADPSAARKVLNFVPRHSLPTVIRTAWAWHQKHP-------- 225025052 ----TILVTGGAGFIGSHTVVELIQAGHSPVIIDNLCNASPQVIHTPAFYQGDIRDRELLRRIFAEHPIQSVIHFAALKAVGESVKKPLEYYSNNVSGSLILLEEMQRAGVKSIVFSSSATVYGDPDTVPITETAPLEATNPYGASKQMMERMMADHTADPEWSVILLRYFNPIGAHPSGRIGEQPNGPNNLLPYVCQVAAGKLAELSVFGDDYPTPDGTGVRDYIHVVDLALGHVAALDKKSREAGVHIYNLGTGKGSSVLDIIRAFEAASGQKIPYAIKPRRAGDIAECYADPARAAAELDWHAARSLNDMMRDSWRWQSGNPNGYGD--- 218660654 -----ILVTGGAGFIGSHICKALSRAGIIPVTYDNLSTGHADSVRWGPLIRGELGDAVALRRTMAEFSPDCVIHCGANAYVGESVEMPRKYYRNNVVGSLTLLEACLDQDIDRIVFSSSCATYGVPASLPIREETPQQPVNPYGRTKLIFEMALEDFAAAYGIRFAALRYFNAAGADPDGELAERHEPETHLIPRALLAAAGKLERLDIFGTDYSTADGTCVRDYIHVSDLAQAHLAAVNHLMAGGDSLSVNLGSGRGTSVREILEAIYRMTGREVPVRYRSRRAGDPPILFANTARAKAELGFAPTLSIDTIIRTA---------------- 262376846 ----KILVTGGAGYIGSHTCVELLNAGHEVIVLDNLCNSAAESLNRVQFVEGDIRDGQLLDQVFQQNSIDAVIHFAGLKAVGESQQIPLAYFDNNIAGSISLVQAMQRAQVFNLVFSSSATVYGDNHPSPLNEEMPTGPTNNYGYTKLIVEQLLETAVADQRWSIALLRYFNPVGAHKSGQIGEDPQGPNNLMPYVTQVAVGRREKLSIFGHDYDTVDGTGVRDYIHVVDLANAHLCALNNRLTAQGCRAWNIGTGNGISVLQVKDTFERVNGVAIPFELAPRREGDVATCFANNSRAVQELDWAAQYGLEDMLADSWNWQKQNPNGY----- 224074891 MAGQTILVTGGAGFIGTHTVVQLLKEGFKVSIIDNLDNSVTEAVDRVKEVVGDLRNKDDLEKLFSRTKFDAVIHFAGLKAVGESVANPRRYFDNNLVGTINLYEVMAKYNCKKMVFSSSATVYGQPEKIPCVEDFNLMAMNPYGRTKLFLEEIARDIQKEPEWSIILLRYFNPVGAHESGKLGEDPKGPNNLMPYIQQVAVGRLPELNVYGHDYPTKDGSAVRDYIHVMDLADGHVAALRKISTSKDIGCYNLGTGCGTSVLEMVTAFEKASGKKIPVKLCPRRPGDATAVYASTEKAERELGWKAKYGVEEMCRDQWKWASNNPWGYQSKPE 143758821 ----NILVTGGAGYIGSHTARTLQNRGFNPIILDNLVYGHREKLNDVILIKGDIGDKNLIQKIFEDFSIKAIMHFAAYTYVGESIKDPLKYYQNNVLSSINLIDQIIDFSIKKFVFSSSCATYGIPKILPINENTPQFPINAYGNTKLIIEKVLKDYGLAYGLSSISFRYFNAAGASSDSLLGENHNPETHLIPLALKATDTNSKPLIIYGNDYPTKDGTCIRDYIHVEDLAKAHIIGLEKLIKSKKTENFNLAMGKGFSVMDIIKSVERVTNKKVNYIFGERRVGDPDKLIADASKAHNDLNWKAEYTIDTIIKHAWNWHKK---------- 119513274 -----VLVTGGAGYIGSHVVRKLGEAGYDIVVYDNCSTGSPQAVLYGELIVGDLKDSTTLSQVFSQHEFTAVLHFAASLNVPESVSRPLDYYANNTCNTLNLLRCCHKMGVKQIIFSSTAAVYGQPEKIPVTEYTPTQPINPYGRSKLACEWLIRDYAQASDLRYVILRYFNVAGAEPGGRLGQMLRDASHLIRVSCDAALQRRTEVKIFGTDFPTPDGTAIRDYIHVEDLAAAHLDALTYLEQGNESQVLNCGYGQGYSVREVIERVKVISGVDFPVIDTERRPGDPACVIAGADKIGKLLGWQPKYDLDKIVSSTLAWEM-YQKSL----- 146321291 -KKMSILVTGGAGYIGSHTVVELLKLGKEVVIVDNLSNSSILVLKRPTFYELDVADKEALRQVFENENIEAAIHFAGYKAVGESVAKPIMYYENNIMSTLALVEVMTEFSVKKIVFSSSATVYGLNNPSPLVETMPTSATNPYGYTKVMLEQILRDVEADKEWSIALLRYFNPIGAHESGLIGEDPAGPNNLMPFIAQVAVGKREELSVFGNDYDTIDGTGVRDYIHVIDLALGHIKALEKISTTTGVYTYNLGSGQGTSVLELVKAFEKVNGVPVHYKLVDRRPGDVATCYANADKAWKELNWKTEKTIEDMCQDTWNWQSKNPNGYE---- 262374536 ----KILVTGGAGYIGSHACLELLNAGHEVIVLDNLSNSSEESLKRVQFVQGDIRDEDALDQLFKNYDIEAVIHFAGLKAVGESQERPLIYFDNNIAGSNQLVKSMEKAGIFNLVFSSSATVYGELNPSPYHEDMLLSPNNNYGYTKMIIEQMLQKALADSRWSIALLRYFNPVGAHKSGQIGEDPLGPNNLMPYVTQVAVGRREKLSIFGNDYPTKDGTCERDFIHVVDLARAHVLAVANRLNSRGCRAWNIGTGVPISVLQIKETFEKVNGIQIPTEFVDRREGDLSAFYADASRAEQELEWKPQYTLEDMLADSWNWQKQNPNGF----- 139001604 ME-QTILVTGGAGFIGSHTVVQLLEAGFRVSVIDNLDNSVAEAVDRVHFQLGDLRNKDDLEKLFSQTKFDAVIHFAGLKAVGESVAHPHRYYDNNLVGTINLYAVMAKYNCKKMVFSSSATVYGQPEKIPCVEDFNLVALNPYGRTKLFLEEIARDHNADPDWQIILLRYFNPVGAHESGKIGEDPKGPNNLMPYIQQVAVGRLPELNVYGHDYPTKDGTAIRDYIHVMDLADGHIAALQKLFTTENIGCYNLGTGQGTSVLEMVAGFEKASGKKIPIKLCPRRSGDATAVYASTEKAERELGWKAKYGIEEMCRDQWKWAVNNPHGYKSKY- 224369781 ----KILVTGGAGYIGSHTCVALLNQGWEVVVVDNLSNSSELSLKHLTFHRTDLLDRKSLTAVFKDHAFDAVIHFAGKKAVGESVEIPLAYFHNNITGTLVLLEVMDEFSVKNIVFSSSATVYGNPATLPIREDFPLSVTNPYGRTKLMIEEILKDYLSDNSWNIALLRYFNPVGAHESGLIGEDPNGPNNLVPYITQVAVGKLDKVNVFGNDYNTPDGTGVRDYIHVSDLAAGHIKTIPKLLTNPGVVTYNLGTGRGNSVLEMIAGFQRVCKKDIPFVISPRRAGDIAACWADPSKAEKALGWRAEKSLDDMCRDSWKWQKNNPNGY----- 136024769 ----NILVVGGAGYIGSHLVKYLQTNEENIIVVDNLQNGHIESVNVEFFYKIDIRDKKKLSKVFKKHKIDCVIHLAANSLVSESMIKPLEYYDNNVYGLQCLLEIMNNYNVKNIIFSSSAAIYGEPKSFPITEDFTSNPTNTYGETKLSMEKMMRWVEKIHGIRYISLRYFNAAGADSSALIGEDHNPETHLIPLILQVPLGIREKFFVYGDDYETKDGTCVRDFIHVVDLAAAHYLSIKYLIRTKKSNIFNLGSGVGYSVKEVIDACRKVTNHPIPIEVKARREGDPATLIASSKKANNILKWNPKYSIEKIINDAWNWHKKNPRGY----- 42527259 ---KRVLVTGGAGFIGSHIVADLCEKGYEPIILDNFSNSSPKIIKKLELVQIDIKDKEKLFNFFKSTSIDAVIHLAAYKAVGESVEKPLKYYENNISGLVNLLLAMQEHKVKNFIFSSSATVYGDAKVVPIPENSPISAANPYGRTKLMSEEILKDAFSDKDLSIIALRYFNPIGAHKSADIGELPSGPNNLFPYIAQVALGKLPHLNVFGNDYDTPDGTCIRDYIHILDLASGHTVAIEKIASGFKGFDVYNGTGIGYSVLDIVNAFKKASGIDLPVKPAARRAGDVPRSCANPDKANKELNWKAKYNLEEMCKDGWAWYKKHPEGF----- 144159051 -----VLVTGGAGYIGSHACKRLAEAGHEPVCFDDFSTGWRDAARFGPVIEGDLLDAAAVREAMRAVRPSAVMHFAALSIVAESMRDPARYWRVNLVGAMNLLDAMQVEGVGSLIFSSTAATYGEPDVALIGEETPQRPSNPYGASKLAIERLIADVALTHRLRATIFRYFNVAGADPAGGLGERHEPETHLIPIVLEAAAGRRTHVTVNGVDYPTPDGSCVRDYLHVCDLAEAHLLGLEKLLGGEAGHALNLGIGRGYSVLEVIAAARSVTGCAIPAEIGPRRGGDPARLVCDGARARAALDWTPRRALETMIADAWRWF------------ 120925 -----ILVLGGAGYIGSHMVDRLVEKGQEVVVVDSLVTGHRAAVPDAIFYQGDLSDQDFMRKVFKENDVDAVIHFAAYSLVGESMEKPLKYFDNNTAGMVKLLEVMNECGVKYIVFSSTAATYGIPEEIPILETTPQNPINPYGESKLMMETIMKWSDQAYGIKYVPLRYFNVAGANLMVRLVRTR-SETHLLPIILQVAQGVREKIMIFGDDYNTPDGTNVRDYVHPFDLADAHLLAVEYLRKGNESTAFNLGSSTGFSNLQILEAARKVTGKEIPAEKADRRPGDPDILIASSEKARTVLGWKPQFDIEKIIASAWAWHSSHPKGYDDR-- 270261088 -----ILVTGGAGYIGSHTVLVLLERGEDVVVLDNLSNSSKESLKAATFYQGDIQNGECLRRIFDEHDISSVIHFAGLKAVGESTRKPLEYYQNNVSGTLVLLEEMRRAGVHQFIFSSSATVYGANSPVPYVETTPIGTTSPYGTSKLMVEQILQDFAKEPQFSIVALRYFNPVGAHESGTIGEDPNGPNNLLPYIAQVAIGKLDKLGIFGGDYPTQDGTGERDYIHVMDLAEGHLMAMDHLKKVDGFKAYNLGAGIGHSVLAMVKAFEKASGVKIPYQILPRRDGDLPAFWADANLARQELGWEVSRGVDDMMRDTWNWQKKNPQGY----- 74317792 ----RVLLTGGAGYIGSHTAVECLAAGHDVVVFDNLSNSSEKSLERVAFVRGDIRDRHALRKLFAEHAVDAVVHFAGLKAVGESVEHPLRYYDNNIGGSIALFETMAEVGLKTIVFSSSATVYGDPVRVPITEDFPLSATNPYGRSKLFIEEMLRDIARSDGWHIALLRYFNPVGAHASGLIGEDPRGPNNLMPYIAQVAVGRRPHLNVFGGDYPTPDGTGVRDYIHVVDLARGHVAALGRLQKTAGVQTWNLGTGRGVSVLDMVRAFEAASGRAIPYRIVERRAGDVAQCWADPSCAARDLGWRAEYDLPRMCEDAWRWQQGNPEGYA---- 255648255 MRDKTVLVTGGAGYIGSHTVLQLLLGGFRAVVLDNLENSSEVAIHRVRFHKVDLRDRAALDQIFSSTQFDAVIHFAGLKAVGESVQKPLLYYNNNLTGTITLLEVMAAHGYKKLVFSSSATVYGWPKEVPCTEEFPLSAMNPYGRTKLIIEEICRDHCAEPDCKIILLRYFNPVGAHPSGYIGEDPRGPNNLMPFVQQVAVGRRPALTVFGNDYNTSDGTGVRDYIHVVDLADGHIAALLKLDEPNGCEVYNLGTGKGTSVLEMVRAFEMASRKKIPLVMAGRRPGDAEIVYASTKKAERELKWKAKYGIDEMCRDQWNWASKNPYGYGDQ-- 83950109 MTRPPVIVTGGAGYIGSHTCKALAQRGYLPIAVDDLRTGHADAVRWGPLEQLDLAETDRLTEVIAQSGARTVIHFAASAYVDESMREPLRYYTNNVATTVSLLRAMERCDVTRMIFSSSCATYGIPDRMPIAESTSQHPVNPYGRTKLMCEEIIRDHFNAVGGQFALLRYFNAAGADPMGQLSERHDPETHLIPLALMAAAGLRPPLEVFGTDYDTPDGTCIRDYIHVSDLARAHVCALEHLSNGAGALALNLGTGAGLSILEIADAIERLTGRPMPWTPAPRRAGDPPILVADPRAAREVLGFCPRLSIDTILRHA---------------- 152994849 -----VLVTGGAGYIGSHTCVELIKAGFEPVIIDNLSNSKEVVLKRPVFMQGDIRDSAFLNSVFEKYTFAAVIHFAGLKAVGESVQKPFLYYENNVAGTLNLIKAMELAGLRNLIFSSSATVYGMPESVPIREDFPTSTQNPYGASKLMVEGMLTDLAKANEWNIATLRYFNPIGAHESGLIGEDPKGPNNLLPFISQVAVGKLACLSVFGDDYDTPDGTGVRDYIHVVDLARGHVCALEKVLAQSGHFVVNLGTGNGYSVLEMVKAFEVVSNKSISYKIVDRRPGDIATCYADPEFAKAFLGWQAEFDLARMCEDSWRWQSNNPNGFD---- 197105532 -----VLVTGGAGYIGAHTAKALSERGFFPVVFDSLSSGFREAVQWGAFVHGDIRDTRALAEAISDHGVKSVIHFAGLIEVGRSVAQPDIFWEINVGGTVSLLTAMRERGVERLVFSSSAAVYGQGGRGPIPESAGKAPASPYGDTKLACEWMIEAQCRAYGLTAVALRYFNAAGADPSGRIGEAHEPETHLLPLAIAAGLGEGKPLTVFGEDFDTPDGTCLRDYIHVNDLAAAHVAALEVDLPAGAYEAVNVGTGQGHSVREVVEAVGRALGRPVPHSIGARRAGDPPSLVADASRARDLLGWEPVCSLDRIVADALRW------------- 297803740 ---KNILVTGGAGYIGSHTVLQLLEGGYSAVVVDNYDNSSAASLQRVKFHQVDLRDRPALEKIFSETKFDAVIHFAGLKAVGESVEKPLLYYNNNLVGTITLLEVMAQYGCKNLVFSSSATVYGWPKEVPCTEESPISATNPYGRTKLFIEEICRDVHRSDPWKIILLRYFNPVGAHPSGYIGEDPLGPNNLMPYVQQVAVGRRPHLTVFGTDYKTKDGTGVRDYIHVIDLADGHIAALRKLDDKISCEVYNLGTGNGTSVLEMVAAFEKASGKKIPLVMAGRRPGDAEVVYASTEKAERELNWKAKYGIEEMCRDLWNWASNNP-------- 251792235 ----TILVTGGAGYIGSHTVVELLNAGKEVVVLDNLCNSSPKSLERVKFYEGDVLDRALLQKIFAENTIHSVIHFAGLKAVGESVQKPAEYYLNNVTGSLVLVQEMKKAGVWNFVFSSSATVYGDPEIIPITESCKVGTTNPYGTSKFMVEQILRDAKAEPKFSMTILRYFNPVGAHASGLIGEDPNGPNNLLPYISQVAIGKLPQLSVFGSDYDTHDGTGVRDYIHVVDLAIGHLKALDRHQDDAGLHIYNLGTGMGYSVLDMVNAFEQANDIQIPYKLVDRRPGDIATCYSDPSLAEKELGWKAERGLTEMMKDTWNWQKNNPKGYRD--- 153836786 ----KVLVTGGMGYIGSHTCVQMIEAGMEPIIVDNLCNAKLEVLKQPAFHQGDIRDEAFLDTVFAQHDIQAVIHFAGLKAVGESVAKPLEYYDNNVNGSLVLARSMRKSGVKSIVFSSSATVYGDPEIVPITEDSPTGTTNPYGRSKYMVEQCLSDFHAENDWSITLLRYFNPVGAHPSGSMGEDPQGPNNLMPFIAQVAVGRREKLAVFGSDYPTPDGTGVRDYIHVMDLADGHIAALKSVGEKSGLHIYNLGTGKGSSVLEMVDAFATASGKPVPYELCPRRPGDIAECWASTEKAERELGWKATRTVAEMTADTWHWQSNNPSGYS---- 76787113 -----ILVLGGAGYIGSHMVDYLLTKTDEVVVVDNLVTGHREAILEAIFYKGDLADKTFMRQVFVENSIDTVIHFAAYSLVAESMEQPLKYFNNNTAGMINLLEVMTEFAIKFIVFSSTAATYGIPNDIPIKEITPQRPINPYGESKLMMETIMKWADAAYGVKFVPLRYFNVAGAKPDGSIGEDHKPETHLLPIILQVAQGKREKVMIYGDDYQTSDGTNIRDYVHPFDIAAAHLLAVDYLRKGNPSTAFNLGSSIGFSNFQILEVARRVTGKDIPVEVTKRRIGDPDILIADSSKARDILGWQPEFDIEKIISSAWAWHSSHPDGYKS--- 242081321 -AGRTVLVTGGAGYIGSHAVLQLLLAGFRAVVVDNLNNSSEDHSRNLSFHKIDLRDKGTLEMVFASTRFDAVVHFAGLKAVGESVQKPLLYYDNNVIGTINLLEVMSAHGCKKLVFSSSAAVYGSPRNSPCTEEFPLTPNNPYGKTKLVVEDICRDYRSDPEWKIILLRYFNPVGAHPSGYLGEDPRGPNNLMPYVQQVAVGRRPALTVLGNDYATRDGTGVRDYIHVVDLADGHIAALQKLFENSGCEAYNLGTGRGTSVLEIVKAFEKASGKKIPLIFGERRPGDAEILFSETTKAERELNWKAKYGIEEMCRDQWNWASKNPYGYGS--- 127512362 ----TILVTGGAGYIGSHTVVELLNDDQQVVIIDNLSNSSVEALKRVEFYQGDVLNKAFLQKVFTDHDIDAVIHFAGLKAVGESVAQPLRYYENNVTGTLVLCEVMAEFNVKNLVFSSSATVYGDPASLPITEDFPTGATNPYGQSKLMVEHILKDHHSDSSWNIARLRYFNPVGAHESGLIGEDPNDPNNLMPFIAQVAVGQREKLSVFGDDYDTHDGTGVRDYIHVVDLAKGHLKALAKLNTQPGLVTYNLGTGQGYSVLDMVKAFEKACGHAIAYQIAPRRPGDIAACYADPHKAQTELNWQATHTIEDMANSSWHWQSSNPSGYK---- 300717504 -----ILVTGGAGYIGSHTVLTLLQRGDDVVVLDNLSNASRESVKTATFCEGDILDRACLRDLFAQHDITAVIHFAGLKAVGESTRMPLEYYQNNVTGTLVLLEEMRAAGVNQFIFSSSATVYGADAPVPYVETTPIGTTSPYGTSKLMVEFILRDYAKANDFKTIALRYFNPVGAHESGQIGEDPNGPNNLLPYIAQVAIGRLEKLGIFGGDYPTKDGTGERDYIHVMDLAEGHLKALDHIGQVEGYKAYNLGAGVGYSVLEMVKAFEKAADREIPYQISPRRAGDLAAFWADASLADKELDWRVSRGIDAMMRDTWNWQSQNPNGYK---- 241889218 ----KILVTGGAGFIGSHTCIELLNNGNEVVVVDNLYNANKKSLKKVTFYEADIRDEAKLDEIFEKENIFGVIHFAGLKAVGESCQLPLKYYDNNVAGTTTLCRVMEKHNCKNIIFSSSATVYGDPHALPIKEDFPLSVTNPYGRTKLILEEILGDYAADSEWNVVLLRYFNPIGAHECGDIGEDPTGPNNLLPYVMQVAVGKLEKVNVFGDDFDTHDGTGVRDYIHVVDLARGHVAALKKLEKGSGLSKYNLGTGVGYSVLDIIKSASAAVGRDLPYVIAPRRAGDIAACYADASKAKEELGWEAQYDVKRMCEDSWRWQSKHPNGFAD--- 61658228 ---RSVLVTGGAGFIGTHTVLRLLEKGYAVTAVDNFHNSVPEALDRVRHIFGDLTIKDDLEKVFAAKRYDAVIHFAGLKAVAESVAHPEMYNRNNIVGTVNLYDVMKKHGCNKLVFSSSATVYGQPEKVPCVEDSPLKALNPYGRTKLYLEEMLRDYQHANPWRTILLRYFNPIGAHQSGDIGEDPKGPNNLLPYIQQVAVGRRPELNVYGHDYRTRDGTAVRDYIHVVDLADGHIAALEKLFATPDIGCYNLGTGRGTTVLEMVSAFEKAYGKKIPVKMCPRRPGDSEQVYASTAKAEQELGWRAKYGIEEMCRDQWNWARKNPYGY----- 224824475 ----TILVTGAAGYIGSHTCVELLAAGHDIVAIDNLCNSKREALKRPRFYQADIRDRAALARVFRENDIDAVIHFAALKAVGESVAQPLTYYHNNVAGTLCLLECMREAGVRRLVFSSSATVYGDPHTVPIREDFPLGPSNPYGHSKLMMEQVLRDAASEPGWQITLLRYFNPVGAHESGLIGEDPNGPNNLMPYISQVAVGKLEALNVFGNDYPTPDGTGVRDYIHVVDLAVAHSRAVE--SSHDGVLTLNLGTGRGYSVLELVAAFSHASGRDIPYRVAPRRAGDIACCYADPAQAEARLGWRASRDLAAMCRDSWRWQQQNPAGYPDD-- 195428656 MAPPTVLVTGGAGYIGSHTVLEMLDAGYNVICMDNLCNAYSSGASKLPFYRVDITDRDQVRAVFQEHKIDMVAHFAALKAVGESCRIPLQYYHNNMTGTNVLLEAMADNNVFKFVYSSSATVYGEPKSLPVTEEHPTNCTSPYGKTKYFTEEILKDLCKSDKRWVVSLRYFNPVGAHISGRIGEDPNGEPNNMPYIAQVAVGRRSHLNVYGSDFPTKDGTGVRDYIHIVDLAEGHVKALDKLRNIAETGFYNLGTGVGYSVLDMVHAFEKASGKKVNYNLVDRRSGDVATCYADAQLAEQKLGWKATRGIDKMCEDTWRWQSQNPNGYANK-- 88860058 -----VLVTGGNGYIGSHTVVELLNLGHEVVIFDNLSNSNRAVLKKPHFILGDIRDSQALAQVFNDFSIDAVIHFAGLKAVGESVAMPLSYYDNNVCGSLCLLKAMNDANVKKIIFSSSATVYGSPERLPIDEQCALRTTNPYGANKLQVEQMLSDLCQSDNWSVVALRYFNPVGAHKSGLIGESPNGPNNLLPYVTQTALKIRKQLSIFGGDYDTADGTGVRDYIHVVDLALGHIKALDLVAAQTGFEPINLGTGIGYSVLDIVRSFEAVNQIAVPYEIVARRPGDIATCYADPKKAKDILGWQAEQTLDDMMKDAWHWQSNNPKGYDD--- 300313692 ----NILVTGGAGYIGSHTCVELLKAGHEVIVFDNFCNSSPESVKRVQQVKGDIRNREQLESVLREFKCEAVIHFAGLKAVGESVEQPLMYYDNNVVGTVRLLEAMQATGVKTIVFSSSATVYGDPQYLPLDEAHPLSASNPYGRSKIVIEDMLRDYYRAHDWKIALLRYFNPVGAHESGLIGEDPGGPNNLMPFVAQVAIGRRERLNIWGGDYPTPDGTGVRDFIHVVDLARGHVAALQA-LDAPQCFAVNLGTGVGYSVLDVLKAYEKACGKTLPYAIAERRPGDIASCYANPAEAKRKLGWEATLGLEAMCADSWKWQQMNPNGFK---- 166030699 ----KILITGGAGYIGSHTALELLKEGYEVVVYDNLCNSSQESMKRITFYEGDILNAETLKAMFEKEQVDAVIHCAALKAVGESVRKPLEYYQNNITGTLTLMKVMREVGVKNIVFSSSATVYGNPETMPITEDCPKGCTNPYGWTKSMMEQIMTDVQAADPWNVVLLRYFNPVGAHESGRIGEDPKGPNNLMPYISQVAVGKLEKLGVFGDDYDTPDGTGVRDYIHVVDLAVGHVKAIKYIFSNPGLDIINLGTGVGYSVLDMVKAFSKACGKEIPYEIKPRREGDIAMCYADPSKAAKVLGWKAERGLEQMCEDAWRWQSQNPEGY----- 300114763 MAQKGILVTGGAGYIGSHVVQQLMAASHRVIILDNLSTGFASAVPKANLIIGDTKDKVLVEALLKEHSVDTVMHFAAHTIVPESVADPLKYYANNTCHTRNLLECCETAGVKHFIFSSTAATYGIPSTPLVTEDTPTIPVNPYGTSKLMSEWMLRDLSQASSLNYVALRYFNVAGSDPNGRIGQSTRKATLLIKVACEAAVGKRDQVYIFGTDYPTPDGTGIRDYIHVEDLANAHILALDYLKQGGKSTTLNCGYGHGYSVREVLDAVQRAHGHPIKIVKHPRRPGDPPRLVAAAQQVRDILGWQPKYDLDFIVKTSLNW------------- 254502331 ----TILITGGAGYIGSHCCVTFLEAGHDVVVLDNFSNSSPESLKRITHEKGDIRDRATLERVLRTYNCTGVIHFAGLKAVGESTEVPLSYYANNITGTLELLAAMGACNVRQLIFSSSATVYGEPKFLPLTEDHPLSATNPYGRTKLMIEEILGDVSASHPWRFGILRYFNPVGAHKSGLIGEDPQGPNNLMPFVSQVASGRRDKLSVFGNDYDTRDGTGVRDYIHVVDLVEGHLRAYEALADTDNSFTVNLGTGTGYSVLEMVKAFERASNQAIPYTIAPRRPGDVAEVYADTNKAADKLKWTADRGLEDMCSDTWNWACKNPKGYDGSSE 209542825 MTHQRFLITGGAGYVGSHVVAALRDEGHKVVVFDNLRTGHRESVPEDVAIEGDLVDRALIDSVLADGPWDGVLHFAALSLVGESMQQPLRYMEANAGLGFTLIDACVRNGVKRFVFSSTAALFGQTEDPLITEDTPIIPGSPYGESKHMVERALLWADRIHGLRSACLRYFNAAGADPGGRIGEDHRPETHLIPLVIDAALGRRDALQLFGNDYPTPDGTCIRDYVHVSDLAHAHLAALEVIRDR--SVVYNVGTGTGHSNMDVIRSVERVTGQPVPWCLAPRRQGDPARLVAGAERLRRETSWSPRITLDEIVETAYRWRLVHPDGY----- 146283227 -----ILVTGGAGYIGSHAVLELLQAGHEVLVLDNLCNSRVEQLAGRPFVKGDVRNRSLLKALFAAYPITAVMHFAGLKAVGESVREPLRYYETNVGGSIALCQAMAEAGVFKLVFSSSATVYGESPVMPITEDRPTGPTNPYGQSKLMAENVLKGLADSDPWSIALLRYFNPIGAHESGLIGEDPNGPNNLLPYMLQVAVGRRKQLSVYGDDYPTPDGTGIRDYIHVVDLVKGHLKALERLNLVHGAQVWNLGAGKGHSVREMITAFEEVTGRPLPHVIKPRRAGDIAQCWSDPSKAERELGWRAEKDLITMLADAWRWQSRNPRGY----- 157369404 -----ILVTGGAGYIGSHTVLALLERGEDVVVLDNLINASEESLRRATFYRGDVQDAGCLKRIFEENNVASVIHFAGLKAVGESTQKPLEYYQNNVAGTLVLLEAMREAGVHQFIFSSSATVYGEHAPVPYREDMPIGTTSPYGTSKWMVEQVLQDFARAEPFSIIALRYFNPVGAHESGLIGEDPSGPNNLLPYIAQVAIGQRETLSVFGGDYPTKDGTGVRDYIHVMDVAQGHLAAMDHLAQIAGFKAYNLGSGVGYSVLEMVRAFEKASGVTIPYQILPRRAGDLPAFWADAGLAKQELGWQVQRGLDVMMRDTWNWQSKNPQGYRR--- 294637412 -----VLVTGGSGYIGSHTCLQLLQAGHTPVIVDNLCNSKVSVLTRPVLYQGDVRDRALLTRIFAEHAIDAVIHFAGLKAVGESVQRPLAYYDNNVGGTLVLLEVMQQAGVHNIIFSSSATVYGDQPQVPYVEAMPTGPSSPYGRSKLMVEQILQDLQHASPWSVTLLRYFNPVGAHPSGTMGEDPQGPNNLMPYISQVAIGRRDSLAIFGNDYPTPDGTGVRDYIHVMDLADGHLAALQAKCHQPGVHIYNLGAGVGYSVLQVVDAFSQACGKAVPYHFAPRRAGDLPAYWADAAKAERELGWRVHHSLAQMAEDTWRWQSRNPNGY----- 136759297 -----VLVTGGTGYIGSHACIALIEAGHLPIIVDNLSNSSVKVISRPPFFKGDILDGALLDKIFNAHKVTAVMHFAGLKSVGESVMLPLDYYHNNVCGSLSLLKAMKRADLRNFVFSSSATVYGDPSSNPITEDFPRGATNPYGHTKLMVENVLTDHASNEGWNVTCLRYFNPAGAHESGLIGEAPGGPNNLMPYVSQVAVGLRDKLSIFGNDYPTVDGTGVRDYIHVVDLVEGHVAALDYLLGQKSGLNINLGRGAGVSVLEMVAAFERASGISVPFEYAARRQGDIAECWADASVANTKLGWSASRDVDEMCADTWRWQSENPSGFGD--- 262195907 ----TILITGGAGYIGSVVVDALVAEGEEVVVFDDLSMGHAAAVHPGATVRGDLGDRAAIDACVRAHAPEAIMHFAAHAQVGESMRAPFRYLRDNVLCGANLFESAAAHGVGRIIFSSTSNLFDAPAVLPIPESEPPAPASPYGESKLVLERYLHWLERLYGCRYVCLRYFNACGATRER--GEDHDPETHLVPLVLQVARGLRPDFTIFGDDYDTPDGTCIRDFVHVADIARAHILALRALSQGAASRTYHLGNGSGFSVREVIEAARAVTGHAIPVRVGARRPGDPSVLVAASDAIRAELGWRPERGLRDMIESAWRWHLAQPRGYGE--- 135145046 -ERRTVLVTGGAGYIGAHACKALAAAGYVPVVYDNFVRGHRRAVMWGPLEEGDVADAARLDAVFARHRPDAVMHFAALSEVGLSWSDPLAYYRNNVSGSFVLMERMLAHGVTTFVFSSTCAVYGVPERSPISEDTPLAPINPYGRSKAMVETALADAAGAHGLNAVAMRYFNAAGASPEAEIGEDHEPETHLIPRVLMAARDQGQVIGINGTDYPTRDGSCVRDYVHVDDLARAHVAALDHLLEGKGFHGINLGTGKGYSVLDIVAAAERVTGRRIRTETGPRRPGDAPRLIADPSKARDLFGWRPVASLDMLLSTAWEWTRHNSRA------ 24379341 -----ILVLGGAGYIGSHMVDRLIEKGEEEVVVDSLVTGHRAAVPAAKFYQGDLADREFMSMVFRENDVDAVIHFAAYSLVAESMKKPLKYFDNNTAGMIKLLEVMSEFGVKYIVFSSTAATYGIPDEIPIKETTPQRPINPYGESKLMMETIMKWSDRAYGIKFVPLRYFNVAGAKPDGSIGEDHSPETHLLPIILQVAQGVREKIMIFGDDYNTPDGTNVRDYVHPFDLADAHLLALNYLRQGNPSTAFNLGSSTGFSNLQILEAARKVTGQKIPAEKAARRSGDPDTLIASSEKAREVLGWKPQFDIEKIIASAWAWHSSYPKGYDDR-- 209696435 ----NILVTGGMGYIGSHTCVQMIEAGLTPIILDNLYNSKEVVLVKPKFYEGDIRDPQILATVFAENQIDSVIHFAGLKAVGESVEKPIMYYDNNVSGTLVLVEAMRKAGVNSIVFSSSATVYGDPASTPINENFPKSATNPYGRSKLIVEECLTDIQKAHPMSVTLLRYFNPVGSHKSGTMGEDPQGPNNLMPFISQVAVGRREFLSVFGDDYPTVDGTGVRDYIHVVDLADGHLAALKHKGQQAGLHIYNLGTGNGNSVLQMVAAFEKASGAKVPYQIVPRRPGDIAECWADPAKAREELKWEAKLSLDDMTADTWRWQSNNPKGY----- 152979474 -----ILVTGGAGYIGSHTVVELLNADKKVVVLDNLCNSSPKSLKQVKFYEGDVLDRAMLQKIFAENEIESVIHFAGLKAVGESVQKPLYYYENNVGGSIILTDEMLKAGVNTIVFSSTATVYGVPETVPVTEESTVGTTSPYATSKYMVERILADAVKVNPFSAIVLRYFNPVGAHASGLIGEDPNGPNNLMPFISQVAIGKLPELSVFGDDYDTPDGTGVRDYIHVVDLAVGHLKALDQHLGQPGLHTYNLGTGVGYSVLDMVKAFEKVNDIKVPYKVVARRAGDIDSYYSSPKKAAEILGWTATHSLEDMMRDTWNWQKNNPQGYE---- 225016176 -----VLVTGGTGFIGSHTVVELLNEGEEVVIVDNFVNSKPEVLSRIHFYELDVCDRAGMEQVFSQNSIDSVIHFAGLKAVGESVEKPIEYYTNNLMSTLVLCDVMRNAGCKKFVFSSSATVYGSPKSVPIKEDFPLSTTNPYGTTKLMIEQILQDFVSDSDWSIALLRYFNPIGAHKSGLLGENPNGPNNLMPYINMVASGKLECLNVFGNDYPTHDGTGVRDYIHVVDLAQGHLKAIQRVRETTGVDTYNLGTGKGYSVLDIVRAFEQASGKQVNYRIAPRRAGDIAECYADPSKSKELLGWQAHFGIEEMCADSWNFTLHNPNGIE---- 238897430 MNNVKVLVTGGLGYIGSHTCVQMIEQGVQPVILDNLCNANPEVLNRPTFYEGDVRDKSIIDAIFTQHNITSVLHFAGLKAVGESVQKPIEYYDNNVYGTLVLVQCMQKAGVKSLIFSSSATVYGDPEKVPLTEQSKVGTTNPYGTSKYMVERVLTDHITHDECSITLLRYFNPVGAHQSGLIGEDFQGPNNLMPYIAQVAVGRYKEVMVFGVDYPTLDGTGVRDYIHVMDLADAHITALNHVSQKPGLHIYNLGTGKGTSVLEMIAAFEQVVGKTIQYKIVGRRSGDIAECWSSPAKAFQDLGWKAKYSLQEMVDDSWRWQSQNPNGYS---- 58393741 ----NILVTGGAGFVGSHTVLELLSAGHAVICVDNLCNAYPESLRRVQFYDVDIRERDELRSVFNKHKIDCVVHFAALKAVGESCRIPLQYYQNNITGTSILLEVMAEAGVFKIVYSSSATVYGEPQKLPLTESHPTGSTNPYGKSKYFTEEIMKDLCESDPRWTVVSRYFNPVGAHKSGRIGEDPNGEPNNMPYISQVAVGRRECLRVFGNNYDTPDGTGVRDYIHIVDLAEGHVKAIDKLAGGTISGFYNLGTGRGYSVLEVVKAFSNASGREVKYEIVDRRAGDVAASYADVSLAAQELGWTAKRGLEEMCEDTWNWQKNNPNGFA---- 20799640 -----ILVLGGAGYIGSHMVDRLVEKGQEVVVVDSLVTGHRAAVPDAIFYQGDLSDQDFMRKVFKENDVDAVIHFAAYSLVGESMEKPLKYFDNNTAGMIKLLEVMNECGVKYIVFSSTAATYGIPEEIPILETTPQNPINPYGESKLMMETIMKWSDQAYGIKYVPLRYFNVAGAKPDGSIGEDHDPETHLLPIILQVAQGVREKIMIFGDDYNTPDGTNVRDYVHPFDLADAHLLTVEYLRKGNESTALDLGSSTGFSNLQILEAACKVTGKEIPAEKADRLRGDPDILIASSEKARTVFGWKPQFDIDNIIASAWAWHSNHPKGYDDR-- 256376937 ----RVLVSGGAGYIGSFTVRGLLARGHEVLVLDNLSTGRRDAVPGQRVEVVDVRDEPAVTRVAAGFEPDAVIHFAALKSPQESVARPELYLDVNVTGTANLLRAATGHGARYFVLSSSCAVYGTPQVCPVDERAPARPVSPYGESKLISERLVERCARAGGVRYANLRYFNAAGAALDGSLGEHLPPVRQVVPLAVRAALGLEPCLNVFGDDYPTRDGTALRDYVHVEDLARGHVDVLDALSAEDVSGTYNLGQGVPTSVREVVRALGRAAGCEVPVQVGPRREGDPSVSWADSGLAGRVFDWAPRHGLDDIVGSAWRWHHAHPETLGR--- 297537912 -----ILITGGAGYIGSHTCLQLLEMGHDIVVVDNLSNSQLESIHRFPFINADIRNGNEMRSIFQQHSISAVVHFAGLKSVGESNESPLQYYDNNVAGSLTLLEVMSEFNVKTIVFSSSATVYGDPATLPIKEDFPLSATNPYGRTKLMVEDILRDLHRSDNWRIALLRYFNPIGAHVSGLIGENPNGPNNLLPYVAQVAVGRLPKLRVFGNDYATHDGTGIRDYIHVMDLADGHVDAINYLVKHQALITVNLGTGQGYSVLDVVNAFSKASEKEIPFEILPRRHGDVAINYADPQHAKNLFGWSAKRDLEQMCADAWKWQSINPNGFSAQ-- 139439497 -----VLVTGGAGFIGSHTVVQLLEGGYQVVIVDDLSNSSAEAAKNLTFYEANVLDRDAMNKIFDTHQIDRVIHFAGFKAVGESVSKPVEYYHNNIENTLVLIDVMRNHGCKSIIFSSSSTVYGDPDNPPVTEEDPKKPTNPYGWTKWMIEQILMDHTADPEWDVVLLRYFNPIGAHPSGLIGEDPKGPNNLVPYVAQVAVGKLEAVQVFGNDYPTPDGTGVRDYIHVCDLASGHVAALNWMNGKTGVEIFNLGTGTGTSVLEVVAAFSKACGKELPYVIRERRAGDIAANWCDASKAERMMGWKAQYDIADMCRDSWNWQSHNPNGFAD--- 291519539 -----ILVTGGAGFIGSHTCVELQQAGYDVVVYDNLSNASEKSLERVKFYKGDILDRDRLNEVFEKEQLDSCIHFAGLKAVGESVAKPWEYYENNIAGTLTLVDVMRQHGCKNIIFSSSATVYGDPAEIPITENCPKGCTNPYGWTKSMLEQILSDMQKADPWNVVLLRYFNPIGAHPSGTMGENPNGPNNLMPYITQVAVGKLPELGVFGDDYDTPDGTGVRDYIHVVDLAKGHVKALKKIEENAGLKIYNLGTGVGYSVLDIVKNFEAANGIKIPYSIKPRRAGDIATCYSDATKAKEELGWTAEYGIKEMCADSWRWQKNNPNGYD---- 229916935 ---KTIFVTGGAGYIGTHTTVQLLEAGYEVIILDNLSNSRQEAIQRIEIYVGDIRDQELLDEIFSTHSIHAVVHFAGLKAVGESVSQPLHYYDNNVAGTLVLLRMMDQYGIKRIVFSSSATVYGIPTTSPVDESFPLSATNPYGRTKLMIEEMLRDYTADPSWSIAILRYFNPIGAHDSGTIGEDPFDPNNLMPYITQVAAGRLKELQVFGDDYPTHDGTGVRDYIHVVDLALGHLKALEYVGNHVGVEAFNLGTGKGYSVLDLLQTFQQESGQTIPYQITGKRDGDVAAIFADPQKSKAILGWEVRHDIEAMCRDAWRWQSNNPKGYSE--- 293375313 ----KVLVTGGAGYIGSHAVYALIEQGHDVVVVDNLVTGHRGDVPEATFYHGSIADYRFMTEVLRKELVDGVIHFAAYSLVGESMTNPFKYYDNNMSGTNVLLKAMEDCGVKNIVFSSTAATYGEAQNIPILETDPTNPTNVYGETKLAMERMINWYHKAHDTNYVSLRYFNVAGAHESGLIGEKHDPETHLIPIILQVASGRREAINVFGDDYETADGTCIRDYIHVCDLAEAHILAMQYLVNGGESTICNLGNGEGFSVLEMIEAAREVTNHPIPAIISPRRAGDPAKLIASSQKAKEILGWMPKHPVKDMIASAWA-------------- 260914167 -----ILVTGGAGYIGSHTVVELLNANKDVVVLDNLCNSSPKSLERVKFYQGDILDTALLQKIFAENKIQSVIHFAGLKAVGESVQKPAEYYMNNVTGSLVLIQEMKKAGVWNFVFSSSATVYGDPEIIPITEHCKVGTTNPYGTSKFMVEQILTDVAKANPFSITILRYFNPVGAHESGLIGEDPNGPNNLLPYISQVAIGKLPQLSIFGSDYDTHDGTGVRDYIHVVDLAIGHIKALDRHEGDSGLHIYNLGTGTGYSVLDMVKAFEQANDIKIPYKLVDRRPGDIATCYSDPSLAKNELGWTADRGLEQMMKDTWKWQKNNPKGYRD--- 257453542 -QSKKILVTGGAGYIGSHTLIELIAAGFTPVVYDNLSNSSPASLARVQFIEGDILDTQLLAKTFAAHDFTAVIHFAGLKAVGESVAKPLWYYQNNVAGTLNLLDAMAKAQVKNLIFSSSATVYGDPEALPIVESSPRSATNPYGQSKLMIEYMLEDLAKDNHWQLISLRYFNPIGAHHTGTIGENPNGPNNLMPYVSQVAVGKLPQLSVFGNDYDTVDGTGVRDYIHVVDLAKGHVAALQYLEHQTGFEPINLGTGKGTSVLELVNAFIKTTGQPVPYSIAPRRPGDIASCYASSDKAKHLLGWQAEFDIERMCTDTWRWQSQNPNGYDD--- 118581378 --SETILVTGGCGYIGSHVVRQLSESGHRVVVYDNLSTGFPNALVNGEEILADLSDTAALNDAFQSHRFSTVLHFAAAIIAPESVSLPLKYYGNNTRNTLGLLETCVRHRVKRFIFSSTAAVYGFPEGGVAAEESVLAPINPYGTSKLMSEWMLRDTCAAHDMKYVALRYFNVAGADPLARMGQRTPEATHLIKVACQAALGMRERIAIYGTDYPTPDGTGVRDYIHIEDLASAHLHALTYLEQGGEPTAMNVGYGRGSSVREVLNLVKEVSGVDFRVVEEDRRPGDPASLVARAERIGELTGWKPRHDLRGIIADAWRWESK---------- 238022157 ----NILITGGAGYIGSHTAIELINAGHTAIILDNLDNASPKVLQRPMFYQGDIRDRSILQKIFAEHKIHSVLHCAALKAVGESVRQPLRYYDNNITGSLILLEEMQKAGVHSIVFSSSATVYGDPEIVPITENSPTGTTNPYGTSKHMMERMMQDHQHEPDWSVILLRYFNPIGAHPSGKIGEQPNGPNNLLPYVCQVAAGKLKQLAVFGNDYPTPDGTGVRDYIHVVDLAIGHLKAIEQKSQTAGTHIYNLGTGQGYSVLDIVHAFEAASGQRVPYAIKPRRAGDIATCYADPAKAQAELGWKAERDLPTMMQDAWRWQSQNPNGYDD--- 289635849 MTTKTILVTGGAGFIGSHTCVELLNGGYDVVVIDNLVNSKRESLRRVEFYEADARDEAALNRIFDAHPITGAIHFAALKAVGESVAKPVEYYSNNVGSLLALLGVMRDRNVKQFVFSSSATVYGVPKSSPIDESFPLSATNPYGQSKLIAEQVLRDLEADPSWRIATLRYFNPVGAHESGLIGEDPAGPNNLMPYVAQVAVGKLEKLRVFGGDYDTPDGTGVRDYIHVVDLARGHLAALDALVKRDASFVVNLGTGQGYSVIDVVKAFEKASGRPVPYEIVARRPGDVASCFADPSAAREVLGWRAEFGIERMCADHWRWQSANPHGFA---- 77414245 -----VLILGGAGYIGSHMXDQLITQGKEVIVVDNLVTGHRQAVHDAIFYEGDLSDKTFMRQVFRENDVDAVIHFAAFSLVAESMENPLKYFDNNTAGMIKLLEVMNECDIKNIVFSSTAATYGIPEQVPILETAPQNPINPYGESKLMMETIMKWADQAYGIKFVALRYFNVAGDKPDGSIGEDHKPETHLLPIILQVAQGVRDKIMIFGDDYNTPDGTNVRDYVHPFDLADAHILAVDYLRQGNESNVFNLGSSTGFSNLQMLEAARRITGKEIPAQKAARRPGDPDTLIASSEKARQILGWEPKFDIDKIISSAWAWHSSHPNGYED--- 156742615 ----KILVTGGAGYIGSICAAELLAAGHEVVVFDNLYQGHREAVPDAAFVEGDLRDSDAVVRLFQQHRFDGIMHFASFTLVGESMQQPLKYLRDNLVAAANLLEHAVANGVGRFILSSTANLFDDPVIIPIDADERIVPGSPYGESKYFIERMLFWFERIYGLKYACLRYFNAAGGTPDR--GEHHEPELHLIPIVLQVALGQREKVVIFGNDYPTKDGTCVRDYIHVLDLAQAHILALEALDRLG-SRKYNLGNGNGFTNLEVVETARQVTGHPIPYEFGPRRPGDPAVLIASSETIRRELGWTPRFDLEQIIGSAWEWHRRHPHGYRRQ-- 88706946 -KSKRVLLTGGAGYIGSHSCVAFSDAGFEVSLLDNFSNSRLEQILGYRPYEADIRDEQATRRVLEETCPDAVIHFAGLKAVGESVAMPLRYYDNNVSGTLSLVRAMEAASVFRLVFSSSATVYGDPASVPITENFPRSATNPYGRSKLIVEDMLMDLVASNPWKVALLRYFNPVGAHESGLIGEDPSGPNNLMPFIAQVAVGRREKLSVFGGDYDTRDGTGVRDYIHVSDLAAGHVSAMSCLGNHGGPLIVNLGTGTGYSVLELVHAFEKASGRAVPYEIVDRRPGDVAQCYADPAYARQELGWETRFGVERMCEDAWRWQSQNPEGFRS--- 146329161 -----ILITGGAGYIGSHTTLLLLNQGYELVVLDNLSNSSPESLRRIPFVKGDIRDRALLDQLFCDYPINAVMNFAGLKAVGESVAKPLDYYHCNVYGATVLLQAMQEAKIKTFVFSSSATVYGDPIQNPIDENYPTQPTNPYGATKLMIERMLSDVVRDNDWNIAILRYFNPIGAHESGEIGEDPNGPNNLLPYIARVATGVFPHLSVFGDDYPTQDGTGVRDYIHVMDLAQGHLDALNVIEQKKGLHIWNLGTGTGYSVLEIIHAFERASGKNIPYQIAPRRQGDIAMCYANPAKAERELGWKATRDLETMMKDTWRWQTKNPNGY----- 262370997 ----NILVTGGAGYIGSHTCVELLEAGHDVIVLDNLSNSSEEPLERVKFIQGDIRNQIDLDQAFSSNHIDAVIHFAGLKAVGESQQIPLTYFDHNISGSIQLVQAMERANVFNLVFSSSATVYGEENSPPYQENMVLGPNNNYGYTKLIVEQLLEKLSKANDWSIALLRYFNPVGAHKSAQIGEDPQGPNNLMPYLTQVAVGCREKLSIFGQDYPTDDGTCERDFIHVVDLAKAHVLAVENRLKHKGCRAWNIGTGIPISVLKIKETFEKVNGVIVNSEFVERRAGDLACFYADASRANRELNWTPELTLEDMLADSWNWQKQNPTGYK---- 259417238 -----VLVTGGAGYIGSHACKALKQAGYTPVTYDNLVTGWQDAVKFGPFEKGDLTDRTRLDEVFARYQPVAVMHFAALSQVGEAMSEPGRYWANNVGGSLCLIEAAVAAGCLDFVFSSTCATYGEHDNVVLDENTPQQPLNAYGASKRAVEDILRDFEAAHGLRSVIFRYFNVAGADPEAEVGEFHQPETHLVPLMIQAIKGERAALTVFGTDYDTPDGTCIRDYVHVCDLVDAHILGLKWLEEGKGSRVFNLGTGSGFSVKEVLSHSRAVTNTEVPHVIGERRAGDCTKLVSGSVRAGHELGWEPKRSLDQMIADAWHWHQ----------- 66820438 MEDDRIMVTGGAGYIGSHTVIELIEAGYTPVIVDNLSNSSLEAIKRIEFHHVDIMNEKALDEIFETGNIRSVIHFAGLKAVGESNKLPLKYYNNNIAGTLTLLNLMDKHRVKKLVFSSSATVYGDPHTVPITEDFPLSATNPYGRTKLYVEGILQDLCASDPWNCIMLRYFNPVGAHPSGLIGEDPKDPNNLMPYVTQTAIGKRPILSIFGNDYNTPDGTGVRDFIHVVDLAKGHISALSSHSKKQGCVAYNLGTGRGYSVLEMVGALKQASHKEIPYQIVSRRKGDVASSFADPSKALKELGWKATHNQDDMCRDAWKWQSLNPNGYSD--- 77459049 ---KTTLITGGAGYIGSHTALALINAGHKVLVLDNLCNSCRECIRRVDFIKGDIRDPVLLDDIFSRYDIEAVVHFASLKSVEESVRKPLDYYANNVAGTLDLCQAMARNNVFQLVFSSSATVYGEPANTPIAEDFGTGPVNPYGRTKLMIEELLTDLCNSDPWSIGLLRYFNPIGAHESGMIGEDPSGPNNLLPCLTQVAIGRIPELTVYGSDYPTVDGTCVRDYIHVVDLAIGHLKALQVLQHDNGIHFWNLGTGVGYSVLQIIHSFEDITGISIPYRFAPRRLGDIAKCWADPAKAGRELGWSAQRGLEQMITDSWRWQSCNPQGYQS--- 82701394 -----ILVTGGAGYIGSHTCLELLNAGLDVTVFDNFCNSHPEALRRVRVVQGDCRDRSSVTKALHESQATAVIHFAGLKAVGESVKQPLAYYDNNVVGTLRLLEAMNECGVKTLVFSSSATVYGEPRRLPLTEDHPLSATNPYGRSKLMIEEILRDYRSDSSWRCAILRYFNPVGAHPSGLIGEDPRGPDNLMPFVAQVAIGRREYLNVWGNDYPTPDGTGVRDYIHVVDLALGHLKALEALENQGGCLTVNLGTGTGYSVLEMIRAFEKASGQKVSYRIASRRPGDIASCYAEPGLASDLLGWRAKRGLEEMCVDTWHWQNQNPEGYGSE-- 269957853 ----RVLVTGGAGYIGSHTVLALVAAGHDVVVADNFSNSKPAVLPRIPLHEVDLTDAAATDALFAAERVDAVIHFAGFKAVGESVAQPARYYRNNIDSTLSVVEAMQRHGVTRFVFSSSATVYGEKAPVPYREDDFLESTNPYGQTKVMIERILTDVAAVNGWKVALLRYFNPVGADASGRIGEDPNGPNNLMPFVSQVAVGRREKLTVFGDDYDTVDGTGERDYIHVVDLAAGHVAALEHLDAMSESRAFNLGTGTGTSVLQLVHAFEQVAGRDLPYEVGPRRAGDLATSYADPTRAREELGWTATRTIDDMCADTWRWQSANPQGF----- 229829196 -----ILVTGGAGYIGSHTCVELLENGYEVVILDNLVNASPKVVKKVTFYKGDIRDRDCLNKLFEEQKIDSVIHFAGLKAVGESVAKPWEYYENNISGSLVLFDVMRQHGCKSIIFSSSATVYGNPAQIPITEDCPKGTTNPYGWTKWMLEQILTDHTADPEWNVVLLRYFNPIGAHKSGRIGENPNGPNNLMPYITQVAVGKLKELGVFGNDYDTPDGTGVRDYIHVCDLASGHVAAIKKLEEKSGLSIYNLGTGIGYSVLDIVHNFEEATGIHIPYSIKPRRAGDIATCYSSAEKAKRELGWEARYGIKEMCADSWNWQKSNPNGYED--- 260773843 ----KVLVTGGMGYIGSHTCIQMIQAGMTPVIVDNLYNSKASVLCRPVFIHGDIRDKARLMSIMAEHKIESVIHFAGLKAVGESVQKPLEYYDNNVNGTLVLVSAMREVGVKSLIFSSSATVYGDPASVPITEQFPTSATNPYGRSKLMVEECLHDFQCANDWSITLLRYFNPVGSHPSGELGEDPQGPNNLMPFISQVAVGRRECLSIFGSDYPTKDGTGVRDYIHVMDLADGHIAALQKVGIQSGLHIFNLGTGQGYSVLEMVKAFEAANQCQIPYQLVARRPGDIAECWADPSKAQRELNWQATRTLQEMAQDTWRWQSQNPQGYPD--- 268593339 MKNQQVLVTGGLGYIGSHTCVQMIQQGMQPVILDNLHNANLEVLNRPTFYQGDVRDKAILDIIFANHQIHSVIHFAGLKAVGESVQKPIEYYDVNVNGTLVLVESMKKAGVKSLIFSSSATVYGEPEHIPLTEEASVNTNSPYGTSKYMVERILTDLNADNEWSISLLRYFNPVGAHNSGLMGEDPNGPNNLTPFIAQVAVGRRKELAVFGGDYPTKDGTGVRDYIHVMDLADGHIAALNKVSQQAGLHIYNLGTGTGTSVLEVVAAFEKAVGKPIPYTISARRPGDIAEYWSTPAKAKRDLGWTAKYSIQDMADDVWRWQSMNPNGYS---- 269139909 -----VLVTGGSGYIGSHTCVQLLQAGHTPVILDNLCNSKASVLTRPRLYQGDIRDRALLKRIFAEQAIDAVIHFAGLKAVGESVQQPLAYYDNNVGGTLILLEAMSDAGVHNIIFSSSATVYGEQPQVPYVETMPTGPASPYGRSKLMVEQILQDLQRAPQWSVTLLRYFNPVGAHPSGLMGEDPQGPNNLMPYIAQVAIGRRDALAIFGSDYPTPDGTGVRDYIHVMDLADGHLAALQAKTQRPGVHIYNLGAGVGYSVLQVVDAFSRACGKALPYRFAPRREGDLPAYWADAAKAERELNWRVSHTLQAMAEDTWRWQSQNPTGY----- 52079688 -----VLVTGGAGYIGSHTVLELTKQNRSVVVLDNLSTGHREAVSGVSFYEGDIADAALVKAIIKHHDVDAVIHFAAKSLVSESIEKPEIYFRENTLKSCAFFETAIKEGVNNIVFSSTAAVYGIPEKAPIKEAAPLSPVNPYGESKLMIEKYLHWVGKTHGVKWAALRYFNAAGAALNGAIGEDHDPESHLIPLVLQTALGQRKQLSIFGEDYSTPDGTCIRDYIHVLDLASAHLAALDLYEDRLKQNVYNVGTGAGHSVKEIIETAENMTERSIAAKTDERRAGDPPVLVADSRTLVEDTGWKPQYSLQTIIQSAWQWHKAHPDGF----- 148256949 ----TVLVTGGAGYIGSHMVLALAEAGENVVVIDNLSTGFSAFVPENPLFIGDAGDEHLVDGVISQHGVDSIIHFAGSVVVPESVRDPLLYYRNNTMTTRSLLNAAVKRGVSRFIFSSTAAVYGNPEQVPVTETAPTRPTSPYGTSKLMAEIMLHDVATAHELNYVVLRYFNVAGADPQGRVGLATVGATHLMKIAMEVATGQRAKIDIYGTDYPTPDGTCIRDFIHVSDLAQAHRAALAYLRTGGSSVTLNCGYGRGYSVLETIEAVRRVSGRAIPTQYAPRRPGDIMTMVADTTRLKALLDWTPAYDLETIADHALAWEEK---------- 75675258 ----TVLVTGGAGYIGSHMVLALAEAGENVVVIDNLSTGFSSALPEGPLFIGDAGDENLVENVIAQHGIESIIHFAGSVVVPDSMRDPLAYYRNNTMTTRNLLNAAVKCGVNRFIFSSTAAVYGNPDQMPVAEEAPTRPLSPYGSSKLMTEIMLHDVGSAHDLNYVVLRYFNVAGADPQARIGLQTAGATHLLKIAVEVATGQRAKIDVFGTDYPTPDGSCIRDFIHVSDLAQAHRAALTYLRNGGGSTTLNCGYGRGYSVLETIEAVRRVCGRNFAVHYSDRRPGDIMTMIADTSRIRAALDWTPQYDLATIAAHALAWEEK----LAQRH- 237653968 ------LVTGGAGYIGSHTCVELLGAGQDVVVVDDLSNASFEAVRRIEFVEGDVRDHGVLARVFGEHEVAAVIHFAAKKAVGESVSQPLAYYDNNLHGLLSVLQAMDAAGCRRMVFSSSATVYGDPASVPIREDFPTTATNPYGWTKLMGEQILRDLAASDPWNVVLLRYFNPVGAHASGRIGEDPDGPNNLMPFVSQVAVGRLPRLRVFGDDYATPDGTGVRDYIHVVDLALGHLRALERIDELPGVTTLNLGTGRGYSVLEMVRAFEAASGRPVPYDIVARRPGDIAACWADPARAEQVLGWKAQRDLQAMCVDAWRWQSGNPQGYE---- 90855456 ---RSVLVTGGAGFIGTHTALRLLEQGYGVTVVDNFHNSVPEALERVDFIRGDLRSAGDLEKAFAARRYDAVVHFAGLKAVGESVARPDMYYENNLAGTINLYKAMNEHGCKKMVFSSSATVYGWPEVIPCVEDSKLQAANPYGRTKLILEELARDYQRADGWSIVLLRYFNPIGAHSSGEIGEDPKGPNNLLPYIQQVAVGRLPELNVYGHDYPTRDGTAIRDYIHVVDLADGHIAALNKLFDTPDFGCYNLGTGRGTSVLEMVAAFKKASGKEIPTKMCPRRPGDATEVYASTEKAERELGWRAQYGIEEMCRDQWNWAKKNPYGY----- 85714989 ----TVLVTGGAGYIGSHTVLALAEAGENVAVIDNLSTGFSSALPEGPLFIGDAGDENLVENVIAQHGIESIIHFAGSVVVPESMRDPLAYYRNNTMTTRNLLNAAVRCGVNRFIFSSTAAVYGNPDEMPVPEEAPTRPLSPYGSSKLMTEIMLHDVATAHGMNYVVLRYFNVAGADPQARIGLSTEGATHLLKIAVEAATGQRAKIDVFGTDYPTPDGSCIRDFIHVSDLAQAHRAALTYLQNGGRSTTLNCGYGRGYSVLETIEAVRQACGRNFAVHYSDRRPGDIMTMIADTSRIRTALDWTPQYDLATIAAHALAWEEK---------- 149907466 ----TILVTGGAGYIGSHTVLELLNSNQDVVVIDNLCNSSEESLKRVKFYCGDVLDSELLNRIFTEHDINAVIHFAGLKAVGESNQIPLTYYRNNIATTINILEVMDAHNVKNFVFSSSATVYGDPASVPIDESFPTSATNPYGRSKLMVEEILADYKSDSSWNIARLRYFNPVGSHESGLIGEDPNDPNNLMPYISQVAVGKLKQLSVFGDDYATVDGTGVRDYIHVVDLALGHLKALDKLMTNPGLVTYNLGTGQGYSVLEMVKAFEQASGKTVAYQISPRREGDIAQCYAATELAERELGWKAERSISDMTADTWRWQSNNPDGY----- 198463388 MAPPTVLVTGGAGYIGSHTVLEMLNAGYNVICVDNLCNAYSSGAKLPHFYRADITDRDQVRAIFQEHKIDMVCHFAALKAVGESCRIPLQYYHNNMTGTNVLLEAMADNNVFKFVYSSSATVYGEPKFLPVTEEHPTNCTSPYGKTKYFTEEILKDLCKSDKRWVVSLRYFNPVGAHISGRIGEDPNGEPNNMPYIAQVAVGRRASLNVYGSDFPTKDGTGVRDYIHIVDLAEGHVKALDKLRNIAETGFYNLGTGVGYSVLDMVHGFEKASGKKIAYVLVDRRSGDVATCYADATLAEKKLGWKAYRGIDKMCEDTWRWQSQNPNGYANK-- 227356331 -----ILVTGGMGYIGSHTCVQMLEAGMTPIILDNLSNANEEVLKRPLFYQGDIRDEKRLATIFTQHSIQSVIHFAGLKAVGESVEKPIEYYDNNVNGTLVLVRCMRDAGVKSIIFSSSATVYGDPQTVPITEESPVGTTNPYGTSKYMVERILSDYIADESWSISLLRYFNPVGAHPSGTMGEDPKGPNNLTPYISQVAIGRREKVAVFGDDYPTKDGTGVRDYIHVMDLADGHIAALNALGEKAGLHIYNLGTGNGTSVIEMIEAFRKASGKAIPYEIQPRRPGDIAQCWSSPAKAEKDLHWKAIRSIDDMAADAWRWQSQNPNGY----- 134093972 ----KILVTGGAGYIGSHTCVELINAGYQVVVVDNLCNSKASVLERIPFIQADLRHRNAIEKIFTQYNFDAVMHFAGLKAVGESVTEPLRYYDNNVEGSLVLLQVMAKHDVTKLVFSSSATVYGDPATVPILEDFPLAATNPYGRSKLMIEEMLRDLAHSDPWRIALLRYFNPAGAHESGLIGEDPNDPNNLFPYVAQVASGRRDLLSVYGDDYPTDDGTGVRDYIHVVDLAIGHVKTLEKLLASAAGVQYNLGTGCGSSVLQMIQAFERASGRRVPYRVVARRPGDIAVCYADASLAQRELGWRAERSIEQMCVDTWRWQS----------- 145299343 ----KILVTGGTGYIGSHTCLQLIAAGMTPILLDNLANSKESVLERIQTYRGDVRDASLLDRVFAEQQIDAVIHFAGLKAVGESVQKPLEYYDNNVSGTLVLLQAMQRAGVKTLLFSSSATVYGEPDTMPVREESPLQATNPYGQSKLMVERLIKDFNAAPDWSLTLLRYFNPVGAHPSGLMGEDPQGPNNLMPYIAQVAVGRRDKLAIFGDDYPTPDSTGVRDYIHVMDLADGHVAALQSKLGQSGVHVYNLGTGHGYSVLDIHAAFSRACGRDLPYEIVPRRTGDIAQCWADPSRALTELGWQAHRTIDDMTADTWRWQSQNPNGY----- 144129531 ----RILVTGGAGYIGSHTLLQLLLAGQEALVFDNFSNSSPTALQRFDVIEGDICDSVALNKAFSQFQPEAVVHFAGLKAVGESNEQPLEYYSQNVAGSIELLKAMDEARCQRIVFSSSATVYGEAEYLPYDEQHRLLPTNPYGRTKYFIEGIIRDWVAANGASAVLLRYFNPVGADASGQIGEDPNGPNNLAPFIAKVAVGRLPQLKVWGNDYDTRDGTGERDYIHVQDLAKAHLAALEYASQHQGCEAINVGTGQGATVLEMIHAFQAASGQPIPYEIAPRREGDVARSLASVEKAKRLLNWQAELTIEDMCASTWAWQSQNPDGYGS--- 135061120 ----RILVTGGTGYIGSHTVLELLNVGHDVVVMDNLSNSRKESLKQVRFHQTDLRDKAGMDRIFEEHPIDAVIHFAGLKAVGESVEKPLLYYDNNIAGTVNLLYAMDQAGVRDLVFSSSCTVYGDPQSVPIREDAPLSAANPYGRTKLTIEYILREHAADPRWNIALLRYFNPVGAHESGRIGEDPNGPNNLMPYVTQVAVGKLERLRVFGSDYPTHDGTGVRDYIHVTDLALGHLKAIDALQKNPGVLAVNLGTGTGYSVLDVVKAFEQASGRKIPYDLVDRRPGDAAQAYADPALAEKTLGWKAGRDLLQMCEDAWRWQRLNPGGF----- 260576618 MAD-HVLVTGGAGYIGAHACKVLAAAGFVPVAFDNLSTGWREAVQFGPLVVGDLLDRAAVDAAFAKYRPVAVLHFAALSLVGDSMSDPGRYWRENVTGALNLIEAAVAAGCGNFVFSSTCATYGDPDGVLIDEDTPQHPINAYGASKRAIEDILRNFGVSHGLQHVIFRYFNVAGADPEGKVGEQHRPETHLIPLLLDAVAGRRPALTVFGSDYPTRDGTCVRDYVHVLDLAEAHVLGLRWLLAGRGNAVFNLGSGSGFTVREVIAAAEQVTEKAVPVIEGERRAGDAASLVSGSVRAMRDLGWQPKRSLTDMITDAWAW------------- 261867855 ----TILVTGGAGYIGSHTVVELLNAGKEVVVLDNLCNASPKSLKKVKFYLGDVLDRTLLQQIFAENKIDSVIHFAGLKAVGESVQKPAEYYLNNITGSLVLVQEMKKAGVWNFVFSSSATVYGDPKVIPITEDCEVGGTNPYGTSKYMVEQILHDAKAEPKFSMTILRYFNPVGAHASSLMGEDPNGPNNLLPYISQVAIGKLPQLSVFGSDYDTHDGTGVRDYIHVVDLAIGHVKALARHQDDAGLHIYNLGTGVGYSVLDMVKAFEQANDIRIPYKLVDRRPGDIATCYSDPSLAAKELNWKAERGLAEMMKDTWNWQKNNPKGYRD--- 291548404 -----ILVTGGAGYIGSHTVVELQSAGYDVVVVDNLCNSSEKALKPVKFYKADILDREALNEVFDKEEIDSCIHFAGLKAVGESVAKPWEYYENNIAGTLTLVDVMRKHNVKNIIFSSSATVYGDPAMIPITEECPKGCTNPYGWTKSMLEQILTDIQKADPWNVVLLRYFNPIGAHKSGTIGENPNGPNNLMPYITQVAVGKLKELGVFGNDYDTPDGTGVRDYIHVVDLAKGHVKALKKLEDNSGLSIYNLGTGKGYSVLDIVKNFEAATGVKIPYVIKPRRPGDIATCYSDATKAEKELGWKAENGIREMCADSWRWQSQNPNGYE---- 167769249 -----ILVTGGAGYIGSHTCVELLNAGKEIVVLDNFVNSKPEALKRFKSYEVDLLDRDGVRRVFEQNQIDAVIHFAGLKAVGESCKIPLRYYHNNITGTLILCEVMAGAGCKKIVFSSSATVYGMHNQVPFREDMPLSTTNPYGTTKLFIEQILTDIQKADPWSVALLRYFNPIGAHKSGLIGEDPNGPNNLLPYIAQVATGKLPDLAVYGDDYDTPDGTGVRDYIHVVDLAVGHLKALDYIMNRTGVEAINLGTGKGYSVLDVVHAFERACGKPVKYHIAPRRDGDIATSYADASKAKELLGWSAEHTLDEMCADSWNFSKRNPNGL----- 282890588 ----HVLVVGGAGYIGSHVNEMLHEQGYETVVLDNLSQGNRRAVEKGVFIEGDISDAALLDHIFQTYPIEVVMHFAAFKNVGESVSNPLKYYNNNVSATVTLLEGMLRNHVNLFIFSSSAAIFGMPQEDLVTETHPCQPINPYGQSKLMVEKILEDLGQVNGFKYCALRYFNAAGGDPRGKLKSYQTKESNLIPVVLKSLLHPEGSVTIFGTDYPTQDGTCIRDYIHIEDLGSAHILAMEKLLAGAQSSCYNLGNGRGFSVRQVIDMAEKVTGMQVNVVEGERRAGDPPYLIASSAKAKQELNWHPNHSLEEIVRDTWN-------------- 260587224 -----ILVTGGAGYIGSHTVVELQSAGYDVVVVDNLSNSSEKSLERVKFYKADILDRDALNEIFEKEEIDSCIHFAGLKAVGESVAKPWEYYENNIAGTLTLVDVMRKHNVKNIIFSSSATVYGDPAIIPITEECPKGCTNPYGWTKSMLEQILSDIQKADPWNIVLLRYFNPIGAHKSGTIGENPNGPNNLMPYITQVAVGKLKELGVFGNDYDTHDGTGVRDYIHVVDLAKGHVKALKKIEEKAGLKIYNLGTGVGYSVLDMVKNFEEATGVKVPYAIKPRRPGDIATCYSSAKKAEEELGWKAEFGIKEMCEDSWRWQSNNPNGYEE--- 167588743 MTTKTILVTGGAGYIGSHTAVELLDHGYDVVIVDNLVNSKVESVRRPAFHQVDVCDEAALGKVFDGHPITGVIHFAALKAVGESVAKPLEYYQNNIGGLLTVLKVMRERNVKQFVFSSSATVYGVPERSPIDESFPLSATNPYGQSKLIAEQVLRDLESDPSWRIATLRYFNPVGAHASGLIGEDPAGPNNLMPYVAQVAVGKLAKLRVFGSDYPTPDGTGVRDYIHVVDLAQGHIAALDALVKRDASFVVNLGTGQGYSVLEVVRAFEKASGRPVPYELVARRPGDVAECYANPQAAADVIGWRAKFGLDEMCVDHWRWQENNPNGF----- 78211739 --GRRVLITGGAGFIGSHTCLVLLEQGHELVVLDNFDNSSPEALRRVQEVEGDVRDPSAVDQAFSSGGADGVIHFAGLKAVGESVANPLRYWDVNVNGSRVLAAAMERHGCRTLVFSSTSTAYGEPETFPLREDMPTAPVHPYAQTKVAVEQMLAALCRSGSWQVACLRYFNPVGAHPSGRIGEDPLGPNNLFPFITQVAAERRERLRIFGNDYPTPDGTGIRDYLHVMDLAEAHGSALDHLFKRSDPLTLNIGTGCGLSVLDVVHGFEQATGLAIPYEIVERRPGDVPRLEACPQTAQTVLGWRARRSLEEMCRDGWAWQQANPSGY----- 255060925 -----ILVTGGAGYIGSHVVRQLSEAGHEVVVFDNLSTGSAGALIHGEKIVGDLADQARIGEVLKETGAKSVLHFAAAIIAPESVHLPLKYYSNNTRNTLNLLQACVEHGVERFIFSSTAAVYGIPEGGRASEQSPNAPINPYGTSKLMSEWMLRDAAFAHGFSYVALRYFNVAGADPQARMGQRTPDATHLIKVACQAGLGMRDGVSIFGTDYDTPDGTGIRDYIHIEDLASAHLAALKYLEKGGASDVINVGYGAGSSVREVIKVVKEVSGVDFRVTEGPRRPGDPDSLIAVAQKIRSVLGWTPAYDLHTIVADAWRWEQR---------- 156934751 MENMRVLVTGGSGYIGSHTCVQLLQNNHDVVILDNLCNSKRSVLKAATFIDGDIRDEALLREIFHDYAIDTVIHFAGLKAVGESVAKPLEYYDNNVNGTLRLISAMRAAGVTNFIFSSSATVYGDQPKIPYVESFPTGPQSPYGKSKLMVEQILTDLQKCPEWSIALLRYFNPVGAHPSGDMGEDPQGPNNLMPYIAQVAVGRRESLAVFGNDYPTKDGTGVRDYIHVMDLADGHVAAMQQLADKPGVHIYNLGAGVGSSVLDVVNAFSKACGKPINYHFAPRRDGDLPAYWADATKADQELNWRVTRSLQEMADDTWRWQSRHPQGYPD--- 114328290 ----RLLVTGGAGYVGSHTVWALHDRGDEVTVYDSLFQGHRQALPPGVRVVADLADETTLHATLAEGQWDGVMHFAARSLVGESMVDPMLYMNQNAALGFKLIAACVQHKVPRFLLSSTAALFGHHDDTPIDENAAIQPGSPYGESKLMIERALSWADRIHGLRYACLRYFNAAGADPQGRSGEDHDPETHLIPLVIDAALGLRPEIKVFGHDYPTRDGTCIRDYIHVSDLAQAHLAALTRIDQ--GSTVYNLGNGAGYSVMEVIHSVERVSGLTVPMRIEARRPGDPAVLVASAEKIRRETGWTPRFPLDDIVATALAWRRAHPQGFD---- 301154777 -----ILVTGGAGYIGSHTVVELLNANKEVVVLDNLCNSSPKSLKDVKFYEGDILDRALLQKIFAENSIQSVIHFAGLKAVGESVQKPAEYYMNNVAGTIVLIQEMKKAGVWNFVFSSSATVYGDPEIIPITEDCKVGTTNPYGTSKYMVEQILTDAKAEPQFSMTILRYFNPVGAHASGLIGEDPNGPNNLLPYISQVAIGKLPQLSVFGSDYDTHDGTGVRDYIHVVDLAIGHLKALNRHENDAGLHIYNLGTGTGYSVLDMVKAFEQANNIKIPYRLVARRPGDIATCYSDPSLAAKELNWTAERGLEQMMKDTWNWQKNNPKGYRD--- 221201107 MSAKTILVTGGAGYIGSHTAVELLDNGYDVVIVDNLVNSKAEAVKQPAFHQVDVCDEAALAKVFDAHPITGTIHFAALKAVGESVAKPLEYYQNNLGGLLTVLKVMRARNVKQFVFSSSATVYGVPERSPIDESFPLSATNPYGQSKLIAEQILRDLEVSDPWRIATLRYFNPVGAHASGLIGEDPAGPNNLMPYVAQVAVGKLEKLRVFGSDYPTPDGTGVRDYIHVVDLAKGHIAALDALVKRDASFVVNLGTGQGYSVLEVVRAFEKASGRPVPYEIVARRPGDVAECYANPQAAADIIGWRATLGLDDMCADHWRWQEANPRGF----- 238762931 ----TILVTGGAGYIGSHTVLTLLENGKDVVVLDNLSNASAESLLRATFYQGDVLDSDCLKQIFSEHKIDAVIHFAGLKSVGESVVKPIEYYQNNVTGSIVLLEEMVIADVKKLIFSSSATVYGDPEFVPLTEDARIGTTNPYGSSKVMVEQILKDFFAHPDFSIRALRYFNPVGAHTSGLIGEDPNGPNNLLPFITQVAIGKLPKLAVYGDDYPTTDGSGVRDYIHVMDLAEGHICALNKLT--AGFKVYNLGSGVGYSVLQMIAEFERISGKKIPYEIVARRSGDIAECWASAELALKELEWKAKRSLTDMLKDAWNWQQSNPNGY----- 238788063 ----TILVTGGAGYIGSHTVLTLLEQGDDVIVLDNLSNASAESLLRAIFYQGDVLDRHCLKKIFSEHKIDAVIHFAGLKSVGESVAKPIEYYHNNVTGSIILLEEMVIAGVKKLIFSSSATVYGDPECVPLTENARIGTTNPYGSSKVMVEQILKDFFAHPDFSIRALRYFNPVGAHPSGLIGEDPNGPNNLLPFITQVAIGKLPKLAVYGNDYPTVDGSGVRDYIHVMDLAEGHLCALNKLTK--GFKAYNLGSGVGYSVLQMITEFERISGKKIPFEIVARRSGDIAECWASAELAFKELDWQAKRNLTDMLKDAWKWQQSNPNGY----- 119947079 ----KILITGGMGYIGSHTCIQLMAAGMTPIILDNLSNSSEIVLSRIHHYQGDVRDRHILEKIFAEHEIAAVIHFAGLKSVGESVAKPLEYYDNNVHGSLLLAEVMKNAGVKSLLFSSSATVYGSPLKMPITESTPTGTTNPYGRSKYIVEECLRDLQAQPELSITLLRYFNPVGAHISGTIGEDPQGPNNLMPFIAQVAVGRREKLSVFGNDYNTPDGTGVRDYIHVMDLADGHVAALKAVGLKPGLHIYNLGTGQGSSVLEMVDAFAKASGKEVPYTVCARRPGDIAECWADTSKANRELNWKASRTLKEMSEDSWRWQSQNPKGYGSQE- 254431768 ----TILVTGGAGYIGSHTVRALQRAGQPVLVLDNLVYGHAEQVLQVPLVTGQLGDRPLLDALLQGHHPQAVLHFAAYAYVGESVSDPARYYRNNLGDTLVQAEAQRRGLPIPLVFSSTCATYGIPDQIPINERCPQAPINPYGRSKWMVEQLLADFGAAYGQPSVIFRYFNAAGADPAADLGEDHDPETHLIPLVLEALAGRRESIAVFGRDYPTPDGTGIRDYIHVADLAAAHVLGLDRLLQQGGQHIYNLGTGRGYSVQEVINAACRITGRSLRELDAPRRPGDPAELVADASRAMAELGWTPQRSLDTILSDAWAWHQR---------- 219871230 -----ILVTGGAGYIGSHTVVELLNQGKEIVVLDNLSNSSEISLERVRFYQGDILDREMLRRIFAENQIDSVIHFAGLKAVGESVREPLRYYQNNVTGSIVLVEEMLKANVNTIVFSSSATVYGDPKEIPITEACEVGTTNPYGTSKYMVERILEDTAKAFPFSAVVLRYFNPVGAHASGLIGEDPNGPNNLLPYISQVAVGKLQQLSVFGSDYETHDGTGVRDYIHVVDLAIGHLKALEKHQDDAGFHVYNLGTGTGYSVLDMVNAFEQANDIKVPYKLVERRPGDIAVCYSNPQKALEQLGWKTQYDLTQMMKDTWNWQKNNPNGYK---- 11386774 -SGETILVTGGAGFIGSHTVVQLLKQGFHVSIIDNLYNSHRVRLLVGPFHHGDLRNIHDLDILFSKTKFDAVIHFAGLKGVGESVLNPSNYYDNNLVATINLFQVMSKFNCKKLVISSSATVYGQPDQIPCVEDSNLHAMNPYGRSKLFVEEVARDIQREAEWRIILLRYFNPVGAHESGQIGEDPRGPNNLMPYIQQVAVARLPELNIYGHDYPTKDGTAIRDYIHVMDLADGHIAALRKLFTTDNIGCYNLGTGRGTSVLEMVAAFEKASGKKIPIKMCPRRPGDATAVYASTEKAEKELGWKAKYGVEEMCRDQWKWASNNPWGYQGKH- 37788092 -----ILVTGGAGYIASHTILSLIEQGNEVIIIDNLSNSYYDSLLKIKFYKGDILDKKLLLKIFDENNITAVMHFAGAKSVSESVIRPLQYYRNNVSGTFSLVEAMEESGVENLIFSSSATVYGEPKIIPVNESCAIGTTNPYGTSKLFVENFLRDYSKASNFKTIVLRYFNPIGAHSSGKIGEDPNGPNNLMPFICQVAIGKQKTLKIYGNDYPTKDGTGIRDYIHVMDLAEGHVAALNNINQGANYRVYNLGTGIGYSVLELLEAFQKVTTRRVPYVFTKRRSGDIAECWSDPTKAYEELGWKARRGLEDMIRDAWNWQQKNPNGFKK--- 260777670 ----NVLVTGGMGYIGSHTCIQMIEAGMTPVIFDNLYNSKKSVLKRVEQVEGDIRDKQALVAVMKAHQINAVIHFAGLKAVGESVEKPLEYYDNNVNGTLVLVDAMREVGVKSIVFSSSATVYGDPASVPITEDFPTSATNPYGRSKLMVEECLTDFQKANDWSVTLLRYFNPVGSHPSGHLGEDPQGPNNLMPFVSQVAVGRRECLSVFGDDYPTKDGTGVRDYIHVMDLADGHVAALKKVGQKEGLHVYNLGTGNGYSVLDMVKAFELASGKQVPYQIVERRPGDIAECWADPSKAMRDLEWKADRTLEEMTTDTWRWQSNNPQGYPD--- 308187472 -----ILVTGGAGYIGSHTVLALLQRGDDVVVLDNLCNASREAIKKATFVEGDIRDRACLRDLFAANSISAVIHFAALKAVGESTRMPLEYYENNVAGTVVLLEEMRNAGVWNFIFSSSATVYGADAPVPYVETTPIGTTSPYGTSKLMTEFVMRDFAKEPKFKAIALRYFNPVGAHESGEIGEDPTGPNNLLPYIAQVAIGRLEKLGVFGGDYDTPDGTCLRDYIHVVDLAEGHLKALDHLNKVEGYTAFNLGGGKGFSVLEMIKAFEKASGKAISFEIKPRRDGDLPAFWADASLANSTLDWRVTRGIDEMMRDTWNWQSKNPDGF----- 256827164 ME--TILVTGGTGFIGSHTCVELIEAGYDVVVFDNLVNSKAESLVRPRFYQIDMRDRAGLENLFEQENISAVIHFAGLKAVGESVSLPWEYYENNITGSLILLDVMRSHGVKNLIFSSSATVYGDPAFVPITEDCPLEVTNPYGQTKKMLEQILRDLQRADDWNITLLRYFNPIGAHESGLMGEDPNGPNNLMPYITQVASGKLERLGVFGDDYDTPDGTGVRDYIHVVDLARGHVSTLAATKGKPGIHVWNLGTGRGYSVLEVVHAFEQATGKKVPYAILPRRAGDVAECYSSADAAKRDLGWEAKFDIEDMCRDAWRWQMMNPNGYEE--- 242048932 -SSRAVLVTGGAGYIGSHAVLQLLTAGFRVVVLDSLANSSPDNAKNLAFHKVDIRDKDGLEKVFASTRFDAVIHFAGLKAVGESVQKPLLYYDHNVVGTINLLEVMAAHGCKKLVFSSSAAVYGSPKNSPCTEDFPLTPHNPYGRTKLMAEEICRDYHSDPEWNIILLRYFNPVGAHPSGYLGEDPCGPNNLMPFVQQIAVGRRPSLTIFGNNYATKDGTGVRDYIHVVDLAEGHLFALRKLFESSGCEAYNLGTGKGTSVLEIVNAFEKASGKKIPLVMGQRRPGDAEILFSSPAKAERELHWKAKYGITEMCRDLWNWASKSPYGYA---- 288816240 -----ILITGGAGYIGSHTAVALLKKNYEVVIIDNLVNSHYSVIKTIKFYEGSISNKSLLHEIFSKNRIESVLHFAGLKSVAESTRLPLHYYNTNVSATLNLVEAMLKNDVCNFIFSSSATVYGEPENIPLNESSRVNTTNPYGTSKLMVEKILQDISSSNKFRTTILRYFNPVGAHKSGEIGEDPNGPNNLMPYICQVAIGKRKELFIYGNDYKTKDGTGIRDFIHVMDLAEGHIAALKKCDNGSNYKIFNLGTGKGYSVLDLISAFERVTSVTIPYVITSRRPGDIAECWSDPKKAFLELGWKAMYNLDDMVRDAWNWQKKNPSGYK---- 118101801 ----RILVTGGAGYIGSHCVLQLAEAGYEPVVIDNLRNARVQRIAQTPFQELDITDGAALRKLFSTHRFSGVMHFAGLKAVGESVRRPLEYYNVNLTGTIRLLEAMEAYSVRNIVFSSSATVYGDPQYLPLDEKHPVGGTNPYGKSKYFIEEMIQDLCKEKGWNAILLRYFNPIGAHESGMIGEDPQGPNNLMPYVAQVAVGRQEFLSVFGNDYETADGTGIRDYIHVVDLAKGHIAALKKLKENCGCKIYNLGTGTGYSVLQMVQAMEKASGREIKYKITGRREGDVAACYANPELAERELGWKAAFGLDKMCEDLWRWQLQNPTGYSK--- 301056915 -----ILITGGAGYIGSHTCVELLNNNYKIIVVDNLSNSSIESLKQFEFYKENVLNREKTNEIFLENNIEAVIHFAGFKAVGESTTIPLAYYYNNIISTIVLCDVMQKHNVKNFIFSSSATVYGIPKTSPITEEFPLSVTNPYGQTKLMIEQIMRDVAKADDWSIALLRYFNPFGAHQSGRIGEDPNGPNNLMPYVTQVAVGKLKELNIFGNDYPTKDGTGVRDYIHVVDLAKGHVKALEKVLKTKGVEAYNLGTGKGYSVLEMVNAFEKVSGKKIPYKVIGRRPGDVAICFADVSKAKRELGWEAEYGLEEMCVDSWRWQVNNKNGY----- 141539050 MAKQRVLVTGGAGYIGSHTCKLLAKEGYDPIVVDNLSTGNKWAVKWGPLEICDIRDNDALSLVMDKYKPEAVAHFASCCYVGESVENPEKYYNNNVSGMLSLLKTMNKKQVRKIIFSSSCSTYGNPQYIPIDETHPQTPINPYGFTKYICERILSDYHRTLDIDFVALRYFNAAGADPEAEIGELHEPEPHLVPRILDAALGKTDHFLIHGTDYDTDDGTCVRDYVHVLDIAKAHLKAVEHILKNNSAEFINLGNGSGFSVKEVVNKVLEITGSKIKVVEGDRRDGDPAILISDSQRASEIVDWSPDYP------------------------ 142206012 ----NILVTGGAGYIGSHACKALAKAGHTPVCFDNYTTGWREAVRFGPAVEGDLLDRASIDAAFEQYNPKAILHFAALSRVDEAMAKPGLYWRNNVLGSLNLIEAAVDHGCLDFVFSSTCATYGDQDGVLLDENSQQEPLNAYGASKLAVERILHDFGATHGLRHVIFRYFNVAGADPEAEVGEFHRPETHLIPIVLDAVSGVRDKVTVYGTDYPTEDSTCIRDYVHVCDLVDAHVLGLNWLLDGKGSRVFNLGTGSGFSVREVIDSARRVSNMDVPTVVGDRRAGDAIKLVSGSRRAEEELKWQPHRSMPQMIEDAWRWHQ----------- 61658230 ---RTILVTGGAGYIGSHTVLQLLLQGFRVVVVDSLDNASEEAIRRVRFRKVDLRDKAALEDIFSSQRFEAVIHFAGLKAVGESVEKPLLYYDNNLIGTITLLQVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLSATNPYGRTKLVIEDICRDLQRSDDWKIILLRYFNPVGAHPSGYIGEDPLGPNNLMPYVQQVAVGRRPALTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDCEVYNLGTGKGTSVLEMVAAFEKACGKKIPLVYAGRRAGDAETVYAATAKAEKELKWKAKFGVEEMCRDLWNWASKNPYGYGS--- 146342982 MSTKAILIAGGAGYIGAHCSKAVAEAGFTPVVYDNLTTGHRGFVQWGPLMVGDIADSIKIASTIRQYDVKAVMHFAAWSAVGESVADPQKYYLNNVAGTLGLLQGMREAGCTRLVFSSTGAVYGNAGREPIPESAAGPTVNPYGRSKYMIEQILADYRAAYGFSATALRYFNACGADASGTIGELRDPETHLIPRALMAILGHVPDFAIFGTDYETPDGTAVRDYIHVDDLAAAHIAALGRLLEGGAGGAYNLGTGSGYSVREIVDAIRNETGEQVPLVYRERRAGDPPILVADPTRAEQELGFKARRSLGHVIRSAWAWHQKHPR------- 115478551 -TSRTVLVTGGAGYIGSHTVLQLLAAGFRVVVADSLGNSSELAVRRVAAHKVDIRDKGGLEKVFSSTRFDAVVHFAGLKAVGESVQKPLLYYDHNVAGTIILLEVMAAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPHNPYGRTKLIAEEICRDYHSDSEWSIILLRYFNPVGAHPSGYLGEDPCGPNNLMPFVQQVAVGRRPSLTIFGNDYATKDGTGVRDYIHVVDLAEGHIAALRKLFESSGCQAYNLGTGKGTSVLEIVNAFEKVSGKKIPLVIGPRRPGDAEILFSSAAKAEREFKWKAKYGIEEMCRDQWNWASKNPFGYAS--- 260773821 -----VLITGGMGYIGSHTCVQMIEAGLEPIIIDNLCNAKVEVLKKPIFYHGDVRDEAFLDSVFTQHDIQAVIHFAGLKAVGESVAKPLEYYDNNVNGSLVLTRSMRKAGVKSLVFSSSATVYGDPDIVPITEDSPTGSTNPYGRSKYMVEQCLSDFHAENDWSITLLRYFNPVGAHPSGLMGEDPQGPNNLMPFIAQVAVGRREKLSLFGNDYPTPDGTGVRDYIHVMDLADGHLAALQSVGEKSGLHIYNLGTGKGSSVLEMVDAFSEACGKPIPYQTCPRRPGDIAQCWASTEKAARELGWKATRNVAEMTADTWHWQVKNPNGYS---- 270263739 -----ILVTGGAGYIGSHTVLALLERGEEVVVLDNLSNSSPESLKKVIFYQGDIQEHHLLDHLFRQHDIQAVIHLAGLKSVRESTEEPLKYYQNNISGSLIVLDRMRHAGVRKFIFSSSATVYGPAEYTPLTESCRVGTTNPYGTSKFIVEQILAEFARTPQMAITALRYFNPAGAHESGLIGEDPAGPNNLLAYLAQVAIGKLAVLPVYGNDYPTSDGTGVRDYIHVMDLAEGHLKALDNL--RPGFNVYNLGTGKGYSVLEIIQAFERACGFKIAYQINPRRAGDIAECWSDPTLAAEKLGWKAKRSLEKMVRDAWHWQSKNPQGYG---- 238026409 MSDKTILVTGGAGFIGSHTAVELLGHGYDVVIVDNLVNSKRVAVDRPVFHELDACDEAALDKVFDAHPITAAIHFAALKAVGESVAKPIDYYRNNLGSLLSLLAVMRAREVRQMVFSSSATVYGVPERSPIDESFPLSATNPYGQTKLMAEQILRDLEISDPWRIATLRYFNPVGAHESGLIGEDPAGPNNLMPYVAQVAVGKLERLRVFGNDYPTPDGTGVRDYIHVVDLARGHLAALDALRQRDASFTVNLGTGRGYSVLDIVRAFEAASGRKVPYEIVARRPGDVAECYADPARAAELIGWRAEYGIERMCTDHWRWQEKNPRGFA---- 302799723 ---RTILVTGGAGYIGSHCSLQLLLAGYKVVIIDNLSNASDEAVRRVYFYKVDLCDKESLERMFRVYLFDAVIHFAGLKAVGESVAKPLLYYCNNLVGTLNLMDVMTKHNCKKLVFSSSATVYGQPKTVPCTETFPLDVLNPYGRTKLYIEQICRDYAADTGWQIILLRYFNPVGAHPSGHIGEDPRGPNNLMPFVQQVAVGRRPELTVFGNDYPTRDGTGVRDYIHVVDLATGHIAAVEKLFKSGSTGVYNLGTGRGTSVLEMVAAFEKACGKKIPLKIAGRRPGDCSEIYASTEKAEKELGWKARYGIDEMARDQWNWASKNPYGYVYEEE 170044588 ----NILVTGGAGFVGSHTILELLNVGHRVICVDNLCNAFGAANSKLPFYDVDIRDKDGLREIFNKHKIDCVAHFAALKAVGESCRIPLQYYQNNITGTSVLLEVMAEANVFSFVYSSSATVYGEPQKLPLDELHPTGSTNPYGKSKYFTEEILKDLCESDPWSVISLRYFNPVGAHKSGRIGEDPNGEPNNMPYISQVAVGRRETLKVFGNNYDTPDGTGVRDYIHIVDLAEGHVSAIKKLAGGKGFVVYNLGTGQGYSVLEVVDAFSKASGRAIKYEIVDRRLGDVASSYADVTLAAKELGWKAKRGLKEMCEDTWNWQKSNPNGFA---- 136416979 -----ILVTGGAGYIGSHTVLALLERGHDVVVLDNLCNSSVESLKNPIFIEGDIRDKEILRVVFSTQDIDSVIHFAGLKAVGESVQKPLAYYENNVGGTVALLQAMQSAAVHRIVFSSSATVYGLEAPTPYVETQPRGTTNPYGASKAMVERVLEDWQAVPHASAVLLRYFNPIGAHESGRIGEDPQGPNNLMPFMAQVAVGRREKLSVFGGDYPTQDGTCRRDYLHVMDLAEGHVSALSALDQRG-VRAFNLGTGTPVSVLEMISVFESQTGIKIPYEIVGRRAGDLPEFWAKPDKALRELGWSAKRDLNAMMADTWRWQSNNPEGY----- 186477022 MTTKTILVTGGAGFIGSHTCVELLNSDYDVVVIDNLVNSKRESMKQVAFYEANVRDETVLNTIFDRHPITGAIHFAALKAVGESVAKPLEYYRNNMDGLLALLDVMRARNVKQFVFSSSATVYGVPKSSPIDETFPLSATNPYGQSKLIAEQILRDLEISDPWRIATLRYFNPVGAHESGLIGEDPGGPNNLMPYVAQVAVGKLERLRVFGGDYDTPDGTGVRDYIHVVDLARGHIAALDALVERDASFVVNLGTGQGYSVLDVVKAFEKASGKPVPYEIVARRPGDVAQCFANPAKALEVIGWQAQYGIERMCADHWRWQAQNPRGFE---- 115523103 ----TILVTGGAGYIGSHTCVELLNAGHEVVVVDNLSNSNRVALDRVERIEGDIRDRFLLKKIMLHHRISAVVHFAGLKAVGESVLKPIEYYDNNVGGTLCLLEAMKETGVKRIVFSSSATVYGEPKKLPLDESHPLSATNPYGRSKLMIEDILRDHHAMPDWSVAILRYFNPTGAHESGLIGESPLGPNNLLPFVAQVAVGRREHLSVWGNDYPTPDGTGIRDYIHVVDLAIGHVMALD-QSHEPRLLTVNLGSGAGSSVLDVVKAFAEASGRDIPMVFAPRRAGDVASCYADTTAAEVRLGWKAQRNLAQMCADHWRWQHANPNGYE---- 52424853 ----TILVTGGAGYIGSHTIVELLNAGEDVVVLDNLCNSSPKSLERVKFYEGDVLDRTLLQRIFAENQIKSVIHFAGLKAVGESVQKPAEYYMNNVTGSLVLVQEMKKAGVWNLVFSSTATVYGEPETIPVTENCKVGGTNPYATSKLMVEQILTDVVKAEPRFSMIIRYFNPVGAHESGLIGEDPNGPNNLMPYISQVAIGKLPELSIFGNDYDTHDGTGVRDYIHVVDLAIGHLKALTRHEDDAGLHIYNLGTGIGYSVLDMVKAFEKANNMTLPHKFVARRPGDIAAYYSDPSLAAKELSWTAQRGLEQMMKDTWNWQKNNPKGYRD--- 294789782 ----NILVTGGMGFIGSHTVVELLNVGHNPIILDNLSNSSPKVLKQPTFFEGDIRDKSLLQKIFTENKIDSVIHFAALKAVGESVAQPLRYYDNNVSGSLILLEEMQKAGVRSIVFSSSATVYGDPAVVPITESSQTGTTNPYGTSKYMMERMMMDLQHANDWSVIILRYFNPIGAHASGKIGEQPNGPNNLLPYVCQVAAGKLKELAVFGNDYNTPDGTGIRDYIHVVDLAIGHLKALDKKAKTAGVHIYNLGTGTGYSVLDIVKAFEQASGKSVPYAIKPRRAGDIAACYANPAKAANEIGWRAERDLSTMMSDAWRWQSHNPNGYDD--- 220927823 ----KVLVTGGAGYIGTHTCVELLEAGFEVIVVDNLCNSKETAIKKIKFYKVDILDKEALEQVFINNQPDSVIHFAGLKAVGESVSIPLKYYHNNITGTLILCELMEKYGVKNLVFSSSATVYGDPASVPISEEFPLSVTNPYGRTKLMIEEILKDHVADASWNIALLRYFNPIGAHESGTIGEDPNGPNNLVPYITQVAVGKLKEVNVFGNDYDTVDGTGVRDYIHVVDLAKGHIKALEKLTNEHIGIRYNLGTGNGYSVLQVIKAFSEACGKEIPYKITGRRPGDIAECYAKPDRAKSELGWTAEKGLSEMCVDSWRWQSQNPEGYK---- 152980429 -----ILVTGGAGYIGSHTCVELIKAGYQVVVVDNLCNSKLTVLDRIPFIEADLRDRSAMEEIFSAYDFDAVVHFAGLKAVGESVAEPLRYYDNNVEGSLVLFQVMAKYGVKSLVFSSSATVYGDPATVPIVEDFPLAATNPYGRSKLMIEEMLRDATSDPSWRIALLRYFNPAGAHESGLIGEDPNDPNNLFPYVAQVASGRRELLSVYGSDYPTTDGTGVRDYIHVVDLALGHVKTLARLMNEAGVLAYNLGTGCGSSVLQMICAFEKASGRKIPYRIVARRPGDIAVCYADASLAQRELGWRAERSIEQMCADSWRWQ------------ 51243878 ----NILVTGGAGYIGSHTCLELLEAGYEVTVVDDLSNSCYEALKKITFHQVNVLDQQALDAVFVSSKFAAVIHFAGLKAVGESVAEPLRYYHNNVTGTLVLCDLMAKHGLKNIIFSSSATVYGDPATVPITEDFPLSCTNPYGRTKLMVEEILADHGADHEWNACLLRYFNPVGAHKSGRIGEDPNGPNNLMPYIAQVAIGRLDYLSVFGNDYPTVDGTGVRDYIHVVDLAKGHVCAVKKILEGRGITTYNLGTGHGCSVLEMASAFSRACGHDVAYKIVARRAGDVAVYCADATKALDELGWSTSLGLEDMCEDTWRWQKNNPTGYRQ--- 160902525 -----ILVTGGAGYIGSHLVKRLQDQNKEVVVFDNFEKGHRWAVKDVQVVEGDLRNEKDIDYAFENYKIDEVYHFAAFSLVGESMTEPNKYFNNNICGTLNLLKNMQKHKCRYIVFSSTAAVYGEPEKVPITENQPKNPTNIYGQSKLMIEDILNWYSKLDIIRYVALRYFNAAGAYYDGSIGEAHEPETHLIPLVLETALGKRDQLYVYGNDYPTKDGTAVRDYIHVMDLIDAHILAMKWMKENEKSDVFNLGNGQGFTVLEVIKTAEKVTSKKINYEVVERRPGDPAVLIASSKKAEEVLNWHPQKELEKIISDAWKWHKN---------- 87120033 ----TILVTGGAGYIGSHTVLELLSANQEVVVIDNLSNSNEESLKRIHFYRGDLLDKGLVDNIFVKHDIDSVIHFAGLKAVGESNEIPLTYYRNNIAATISVLEIMATHNVKSFVFSSSATVYGDPASVPINESFPTSATNPYGRSKLMIEEVLADYESDNSWNIACLRYFNPVGAHKSGLIGEDPNGPNNLMPFISQVAVGKRELLSVYGNDYNTHDGTGVRDYIHVVDLALGHLKALDKLKSNPGRVTYNLGTGCGYSVLEMIKAFEKAANKTIPYRIVERRMGDIAECYAATDLAEEELGWKANLSISDMTNDTWRWQTMNPNGYE---- 254507692 ----NVLVTGGMGYIGSHTSIQMIDAGMTPVLFDNLYNSKSSVLERPEFIQGDIRDKALLVEVLKQHNIQAVIHFAGLKAVGESVEKPLEYYDNNVNGTLVLVDAMREVGVKTLVFSSSATVYGDPASVPITEDFPTSATNPYGRSKLMVEECLTDFQKANDWSITLLRYFNPVGSHPTGELGEDPQGPNNLMPFVSQVAVGRREYLSVFGNDYPTVDGTGVRDYIHVMDLSDGHIAALQKVGDKSGLHIYNLGTGNGSSVLEMVKAFEKASGKPVPYKIVERRPGDIAECWADPAKAMKELDWKATRSLEEMTSDTWRWQSNNPQGY----- 229365688 -SSQKILVTGGAGFIGTHTVVQLLNNGFNVSIIDNFDNSVMEAVERVREVVGDLRNKDDLEKLFSKSKFDAVIHFAGLKAVGESVENPRRYFDNNLVGTINLYEVMAKHNCKKMVFSSSATVYGQPEKIPCVEDFKLQAMNPYGRTKLFLEEIARDIQKEPEWRIVLLRYFNPVGAHESGKLGEDPRGPNNLMPYIQQVAVGRLPELNVYGHDYPTRDGSAIRDYIHVMDLADGHIAALRKLFTSENIGCYNLGTGRGSSVLEMVAAFEKASGKKIALKLCPRRPGDATEVYASTAKAEKELGWKAKYGVEEMCRDQWNWAKNNPWGYSGK-- 259418671 MKGQNICVTGGAGYIGSHACYALAREGVSPMVVDNLSTGNRSAIRWGPLSQIDLRDTERLAQALIAHDISTVMHFAASAYVGESVADPMKYYDNNVGGMLSLLRACALAGVERFVFSSSCATYGIPDVLPVDERAEQRPINPYGESKLICEHMLRDIAPQIGMSFAILRYFNVAGADPSGALAEQHDPETHLVPLTLFTAAGRRHVLTLFGADYDTPDGTCIRDYIHVSDLVQGHLLALDHLEDHKGNLIVNLGSGHGVSNLQIIRTVEEITQRHVRLLTAERRVGDPPVLVADTTFANQILGFEPQRSIETIVTDAAR-------------- 124267103 -----ILVTGGTGFIGSHTSVALANAGHDFVILDNLANSQRDVLKRPAFVEGDVRDAATLDRVFAQYPIRAVIHFAALKAVGESVQKPLAYYENNITGTLRLLEAMRRAAVHTLVFSSSATVYGDPASVPIREDFPLSATNPYGWTKLMVEQILADLSKEPQWRIARLRYFNPVGAHESGQIGEDPSGPNNLMPYVAQVAVGQRPQLQVFGGDYPTPDGTGVRDYIHVMDLAEGHVAALDSLAREPGLLTVNLGTGLGVSVLDMVAAFEKASGRPVPYRIVDRRPGDVAACWADPALALQRLGWRTRRDLDQMCADAWRWQSN---------- 72162990 ----NVLLTGGAGYIGTHVAVELLENGHDVVVVDNLRNSHAEAVRRVHFYVGDCADRELMDRVFTEHRIDAAVHCAGLKAVGESVELPLLYYRNNLDALLTVCEAMDAHGVRSLVFSSSATVYGDPDRVPIPEDAPLRATNPYGATKLFAERILSDLVASPDWRIITLRYFNPVGAHPSGLIGEDPEGPNNLFPYIAQVAAGRRERVLVYGDDYATPDGTGVRDYLHVMDLARGHVAALERLGDAYGMRTYNLGSGHGVSVLEAIAAFERACGKTIPYEVVARRPGDIAVCYADPSAANRDLGWKTAYTLDEACAHLWRWQTMNPNGFA---- 261251158 ----NVLVTGGMGYIGSHTCIQMIEAGMKPVIFDNLYNSKSSVLVRPHFIEGDIRDKERLKDALETHHIDAVIHFAGLKAVGESVEKPLEYYDNNVNGTLVLVDAMREVGVKSLVFSSSATVYGDPASVPITEDFPTSATNPYGRSKLMVEECLTDFQQANDWSITLLRYFNPVGSHPSGELGEDPQGPNNLMPFVSQVAVGRREFLSVFGNDYPTPDGTGVRDYIHVMDLSDGHIAALKKVGRKDGLHIYNLGTGNGSSVLEMVKAFELASGKQVPYKIVERRPGDIAECWANPAKAMNELEWQAKRSLEEMTADTWRWQSNNPQGY----- 241763227 -ESNVILVTGGAGYIGSHTCVELLVAGYEVAVFDNFSNSSPEVLRRVHLYRGDIRDSGALDHALADSGAGAVVHFAGLKAVGESVVQPIRYYDNNVVGTLRLLEAMSRAGVKSLVFSSSATVYGEPQSLPLTEEHPLAATNPYGRTKLVIEDMLRDHHADPSWRIAILRYFNPVGAHASGQIGEDPMGPNNLLPYVAQVAVGRREYLNIWGGDYPTPDGTGLRDYIHVVDLAQGHLKALERLQTAAQCFSVNLGTGTGYSVLEIVRAFEQASGRAVPYRIASRRSGDVAACYSDPRQAAELLGWRAERDLARMCQDAWNWQSQNPQGFTR--- 75907270 --NQKVLVTGGAGYIGSHVVRQLGEAGYDVVVYDNCSTGLPQAVLHGELIIGDLKNSECLSQVFHQHQFAAVLHFAASLSVPESVARPLDYYANNTRNTLNLLRCCHETGVNQIIFSSTAAVYGQPETAVVTESTPTEPINPYGRSKLSCEWLIRDHAKASDLRYVILRYFNVAGAEPGGRLGQMSKDASHLIRVTCDAALKRRLGVKIFGTDFPTPDGTAIRDYIHVEDLATAHLDALAYLEQGNASQILNCGYGQGYSVRQVIERVKAISGVDFPVIEAERRSGDPVCVTACSDKIRHVLGWQPKYDMNQIIHSTLTW------------- 115523467 ----TVLVTGGAGYIGSHMVLALVEAGESVVVIDDLSTGFSAFLPEGPLFIGDAGDENLVENVIAAHRVDAIIHFAGSVVVPDSMSDPLRFYRNNTMTTRNLLSAAVKCNVSRFIFSSTAAVYGNPDQVPVPEQAPTRPLSPYGCSKLMSEIMLHDTAVAHGLNYVVLRYFNVAGADPLARIGLATIGATHLLKIAVEAATGQRAKIDVFGTDYPTPDGSCIRDFIHVSDLAQAHRAALSYLRRGGGPTTLNCGYGRGYSVLETIDAVRRVSGRNFAVQTAPRRPGDIMTMVADTSRIRAVLDWTPSYDLDTIAAHALAWEEK---------- 258544436 -----ILVTGGAGYIGSHTVLVLLQAGHDVVVLDNLVNSSAESLRRATFIEGDIRDRAALDALFARYPITSVIHFAGLKAVGESVEKPLPYYENNVSGSITLFEAMAAAGVKRIVFSSSATVYGEAGGERYRETLPVGPSSPYGQSKVMIEQILRDTARADGWSVALLRYFNPIGAHESGDIGEDPQGPNNLVPYISQVAVGRLAQLSIYGNDYDTPDGTCLRDYIHVMDLAEGHVKALQGIDSKTGVFTWNLGSGKPSSVLDVLHAYEKACGKAIPYQFAPRRAGDLPAFWANADKAAKELGWRTSRSLDDMVADTWRWQQKNPMGY----- 85713308 --NKSILLTGGTGYIGSHTTLELLNNGYEVVVIDNLSNSSRESLKRVEFAQVDVRDAEALNQVFENHNIQSVLHFAGHKAVGESVEKPIQYYQNNVAGTLTLCEVMARNNVFELIFSSSATVYGANAQSPISESMPIGTTNPYGTSKYMVERMLMDLAASDPWRIATLRYFNPVGAHRSGQIGEDPNGPNNLVPFVSQVAVGKLDQLQVFGNDYDTTDGTGVRDYIHVVDLALGHIAALRYMDSHQGFEAFNLGTGKGYSVLEVVDAFEQQSGLNIPYTVAQRRPGDIAKCFANPEKASKLLGWQAKCELAEMMRDTWHWQSQNPNGYDQ--- 126648341 ----KILCTGGAGYIGSHTVIALIEEGYSVHILDNFSNSDPEVVNRIPFFEIDIRDKERMLDLFLKERYNAVIHFAGLKAVGVSVSQPLEYYENNVVGTIRLLEVMKEANCNILVFSSSATVY-LPKSTPLLETDPLGASNPYGQTKFMIELMMKDYNASKNTKFSILRYFNPVGCHPSSLIGEDPEEPNNLLPYIQLVSIGRKEKLFVFGNDWPTRDGTGVRDYIHVTDLANGHVKALEKLLNLSNTDIYNLGCGSGISVLEMVKNFENASGKQIPYEIINRRPGDLASVIADPSKAEKELGWKAKHSIFDACKSAYDWQFNNPNGYSKK-- 292492406 MAQKGILVTGGAGYIGSHVVQQLTAMSHRVVVLDNLSTGFADAVINADLVIGNTKDSELVRSLLKEYQVDTVMHFAAHTVVPESVANPLKYYANNTCHTRNLLECCTAAGVKYFIFSSTAATYGTPSTPLVTEDTPTAPINPYGTSKLMSEWMLRDLSQASNLNYVILRYFNVAGSDPTGRIGQSTREATLLIKVACEAAVGKRDQVSIFGTDYPTPDGTGIRDYIHVEDLAKAHILALDYLKQGNQSTILNCGYGHGYSVREVLDAVQRVHGRPLKVVKCARRPGDPPRLIAAAEQIRGVLGWQPQYDLDFIVKTSLDW------------- 71064688 MKHK-ILVTGGAGYIGSHTCIALHEAGYDVVIYDNLSNSSREAVNRIEFIEGDVRNTESLRQVFAAQPFFGVIHFAGLKAVGESVAKPLMYYDNNVSGTINLLEIMKEHDVKNFVFSSSATVYGDPETLPIDERSKRSCTNPYGQSKLTVEHILEDLAASDKSWSLIPRYFNPVGAHSSGSIGEDPNDPNNLMPYISQVAVGKLDKLSIFGNDYATVDGTGVRDFIHVTDLAEGHVAALNYLKQQPQSLPINLGTGKGTSVLELLRAFSVVSGQNIPFQFVDRRAGDIASCYASADKARELLGWQATLSITDMCQDTWRWQSMNPNGYN---- 304415416 MEEK-ILVTGGAGYIGSHTVVQLLEAGLSVVVFDNLSNSSRAVIDRIDFIEGDIRDRTAVRHALRDQKISAVVHFAGLKAVGESETEPLKYYDNNVSGSVVLLEEMMKTDINTIVFSSSATVYGNPEYSQYHEDTPPAPANVYGRTKLIVEDILRDLKKQPSWRIALLRYFNPVGAHDSGLIGENPKGPNNLMPFIAQVAVGVRQKLSVYGNDYPTPDGTGLRDYIHVEDLAAGHLATLQYLKIKPTLLTLNLGTGQSYSVLDIIKAFEKASGKAIPYEVVGRREGDLAEYYADPSLAEKILGWKAQLGIDRMCADTWRWKKNNPAGYSN--- 149189632 ----NVLVTGGAGYIGSHTCVQLINAGMTPVIFDNLYNAKAAVLTRPAFIQGDVRDKSSLLEVLKAHNINSVIHFAGLKAVGESVEKPLEYYDNNVNGTLVLVDAMREAGVKHLVFSSSATVYGDPASVPITESFPTSATNPYGRSKLMVEECLTDFQQANDWTITLLRYFNPVGSHPTGEMGEDPQGPNNLMPFVSQVAVGRREFLSIFGNDYPTPDGTGVRDYIHVMDLADGHIAALKTLNNQKGLHVYNLGTGNGSSVLDMVKAFELASGKQVPYKIVERRPGDIAECWADPSKANKDLGWVATRSLQDMTTDGWKWQSQNPEGY----- 291542257 ----NVLLAGGAGYIGSHTCVELINAGHDVVIADNFSNSCPVAVERIPLYEADVCDRDAVEKIFSENKIDAVIHFAGLKAVGESCEKPVEYYRNNIDSTLTLLEVMKKHGVNNFIFSSSATVYGTPETVPLVETMPKGPTNPYGWTKFMMEQILTDANANPDMSVVLLRYFNPIGAHESGRIGEDPNGPNNLMPYITQVAAGRLKCLGVFGNDYPTHDGTGVRDYIHVVDLAKGHVKAIEYSAEHKGTEIFNLGTGVGYSVLDIVKAFEKANSIEIPYVIKPRRAGDIAECFADATKAKKILGWIAEKSLEDMCRDSWNWQSHNVNGYK---- 119385027 MTDK-VLVTGGAGYIGSHACKALRAAGFEPVTYDNLCTGWRQAVRFGPFEQGDLLDRVRLDEVFARHKPVAVMHFAALSQVGEAMREPGKYWRGNVGASLSLIEATLAAGVGNFVFSSTCATYGDHDGVVLDEATAQTPLNAYGASKRAIEDMLKDFGASDGLRSVIFRYFNVAGADPEAEVGEFHQPETHLIPLILDAIDGKRPALTIHGTDYPTPDGTCIRDYVHVMDLVDAHRYLLDGKVAEGGHEVFCLGTGNGFSVREVIDHSRHVTNRPVPLTEGPRRGGDAVKLVSGSEKAISVLGWNPARSLPQMIGDAWRWHQN---------- 259047348 -----ILVTGGAGYIGSHTVIELDKAGFDVVIVDNFSNSSPEVLKDFPLYEGDILDRDFLVTVFEKENIDSVIHFAGFKAVGESVEKPLEYYHNNITGTLVLLDVMRQFNVKDIVFSSSATVYGMNNVVPFKEEMPTSATNPYGYTKVMLEQILNDAFSDSNWSVTNLRYFNPIGAHESGLIGEAPNGPNNLMPYITQVAVGKREFLSVFGDDYDTHDGTGVRDYIHVVDLARGHVLAVKNNAAQKGAKVFNLGTGIGYSVLDLVKAFIAENEVDIPYKIAPRRAGDIATCYADASKAKEVLGWVTEKNLNDMVRDSWNWQKKNPEGY----- 13474741 ----TVLVTGGAGYIGSHMVWELLDAGERVVVLDRLSTGFEWAVPEAKLVVGDVADKELVGSIIRDNHVDAIIHFAGSIVVPESVADPLAYYENNTSKTRTLIETAVREGVPHFIFSSTAAVYGGAGLEPVREDARLAPESPYGLSKLMSEWMLRDAGLAHDIRYTALRYFNVAGADPKGRTGQSTPGATHLIKVACETALGKRPFMQVFGTDYPTPDGTCMRDYIHVSDLAAAHRLALQRLRAGGTSLVANCGYSHGYSVLEVIDSVRRAFGRDFEVKMGDRRPGDAAAVVANSDLARAELGWTPQRDLDQIVADALAWER----------- 170030372 -----VLVTGGAGYIGSHTVVSLLEAGYSVVALDNFTNSRVETITGKPFYRCDLLDKDAVEAIFKAHKIESVIHFAALKAVGESMTNPLLYYKNNMIGMINLLEVMDSHGIYKMVFSSSCTVYGEPERLPITEENPTGNVNVYGRTKYFIEEMLKDAVRADKWNIIALRYFNPVGAHKSGMIGEDPTKQFTNMPYISQVAIGKKDVLTIFGNDYNTPDGTGVRDYVHVMDLATGHVAALNKLDREHGLKMYNLGTGKGISVMELIQTFERVNKVKIPYVIQERRAGDISAMYANATLAETELGWKAVHTVDEMCEDFWRWQQMNPNGYKGEH- 258541955 ----RFLVTGGAGYVGSHVVLALLDDGHDVVVLDNLRTGHRAAVPQATFVQGDISDIACLDKVLSSGPWDGILHFAALSLVGESMQNPMMYMTANAGYGFGLIDACVRHGVKRFVFSSTANLFGSAGEGPINEDAPINPGSPYGESKYMVERALYWADKIHGLKSACLRYFNAAGADPAGRAGEDHRPETHLIPLVIDAALKRRPALTLFGEDYPTPDGTCIRDYVHVTDLARAHLAVLPLLNDK--SVVFNVGTGRGNSNREIIDAVGRVSNLEVPWQAGPRRAGDPASLVASPARLMEATGWAPETDIDRIVETAFNWRKNHPQGYG---- 78183799 ---RRVLITGGAGFIGSHTALVLLEQGYELVVLDNFDNSSPEALKRVKTVEGDVRDLDAVNRAFDRGGPDGVIHFAGLKAVAESVANPLHYWDVNLNGSRVLATAMEQHQCRTLVFSSTSTVYGEPEVFPLHEEMPTAPVHPYAQTKLAVEQMLSALCRSGDWRVACLRYFNPVGAHPSGRIGEDPLGPNNLFPFITQVAAGRRDKLRIFGQDYSTHDGTGIRDYLHVMDLAEAHSVTLDHHSTAPHQLTLNIGTGCGLSVLDVVRGFEKATGLPIPYEVVARRPGDVPKLEGCPQKAKDILGWSAKRDLAQMCRDGWTWQQANPLGYRS--- 167629032 ----KYLVTGGAGYIGSHTALALLAAGAEVVILDNLTTGHLSLVPRGPFYRGDVGDAGLLQEIFHQHRIDGVLHFAAKSLVGESMVAPGHYFLANTGQTTALLQAMAEAGVRRFVFSSTAAVYGEPDQVPIPEDHPVRPTNPYGLSKHLIEAMLPWFARVHGLKWIALRYFNVAGADPEGRSGEQHDPETHLIPNVLQVAQGKREYLSLFGDDYATPDGTCIRDYIHVSDLADAHVLALQALAAGHPSGFYNLGNGLGFSVLQVVERARQVTGHPIPLRIEPRRPGDPAVLVASNARAMAELGWRPRYDLSVIIETAWRWQSR---------- 148698014 ME--KVLVTGGAGYIGSHTVLELLEAGYSPVVIDNFHNARRVQELTGRFEEMDILDQAALQHLFKKHSFKAVIHFAGLKAVGESVQKPLDYYRVNLTGTIQLLEIMRAHGVKNLVFSSSATVYGNPQYLPLDEAHPTGGTNPYGKSKFFIEEMIRDLCRADAWNAVLLRYFNPIGAHASGRIGEDPQGPNNLMPYVSQVAIGRREALNVFGDDYATEDGTGVRDYIHVVDLAKGHIAALKKLKEQCGCRTYNLGTGTGYSVLQMVQAMEKASGKKIPYKVVARREGDVAACYANPSLAHEELGWTAALGLDRMCEDLWRWQKQNPSGFGAQ-- 300728528 ----NILLTGGAGYIGSHTIIELDKAGHSVVVVDNFVNSQPEALKEIPFVEADVRDREAMDKVFSENKIDAVINFAGLKAVGESVAKPLEYYENNMNGVFVLVDVMRKHGCKNIIFSSSATVYGDPAIIPITEECPKGCTNPYGWTKSMLEQVLMDVQKADPWNVVLLRYFNPIGAHESGTIGENPNGPNNLMPYITQTAVGMRKELGIFGNDYDTPDGTGVRDYIHVCDLASGHVAALKAITENKGLAIYNLGTGHGYSVLDVVNAFMKVNGVKVPYVFKERRPGDIATCYCNPAKAKAELGWEAKHGIEDMCRDSWNWQKKNPNGYDTKY- 71063878 --TRTVLVTGGAGYIGSHAVLQLLAAGFRAVVVDSLENSSEEHARNLSFHKVDIRDEDALDAVFASTRFDAVIHFAGLKAVGESVQKPLFYYDHNIAGTINLLKVMAAHECKKLVFSSSAAAYGSPKNSPCTEEFPLLPHNPYGRTKLMAEEICRDYRSDSEWRIILLRYFNPVGAHPSGYLGEDPRGPNNLMPFVQQVAVGRRPSVTIFGNNYATKDGTGVRDYIHVLDLAEGHIAALRKLFDSSGCEPYNLGTGKGTSVLEIVNAFEKASGKKIPLVVGQRRPGDAEILFAGTGKAERELNWKAKYGITEMCRDQWNWASKNPYGYGS--- 223939653 ----NVFVTGGAGYIGSVCVEELIKAGHQVTVYDNLSEGHAKFILGHPEKENDLRNAVL------STKPEAILHFAASTLVGESMTDPGKYFHNNVCNGLTLLNAAVEAGVKKFVFSSTCATYGPPDKVPMTEDLPQRPINPYGESKLMFEKMLNWYHQVHKLEFVAFRYFNAAGA--SEQFGEHHRIETHLIPNILKVPLGQSKQCDIYGTDYPTPDGTCIRDYIHIIDLAQAHMLAL----APGKQGFYNLGNGDGYSVRQVIEMCEKVSGTKIPAIEKPRRPGDPPKLVAGADKAIRELGWKPQYPLQDIVTTAWNWHKQHPNGYSD--- 118785594 -QKGTVLVTGGAGYIGSHTVVSLLEAGYGVVALDNFTNAKNESVALKRVEEIDLLDKDAVERIFKAHRIDSVIHFAALKAVGESMTNPLLYYKNNMIGMINLLEVMDSLGIYKMVFSSSCTVYGEPERLPITEENPTGNVNVYGRTKYFIEEMLKDAVRADKWNIIALRYFNPVGAHKSGRIGEDPTKQFTNMPYISQVAIGKKDVLTIFGNDYDTPDGTGVRDYVHVMDLASGHVAALHKLDQEHGLKMYNLGTGKGISVMELIQTFERVNKVKIPYVIQERRAGDISSMYANATLAEKELGWKAVHSVDEMCEDFWRWQTMNPNGYKTEQ- 301165680 ----KILVTGGAGYIGSHIVNLLRSTEHEVVVYDDLSTGRRESVTFGRLVVGELEDIEKLEGLIQEERFDACFHFAGSIIVPESVENPLKYYNNNTQNTLDLINLCVENNINKFIFSSTAAVYGEPAGGVCTEETSTNPINPYGRTKLMTEWMLQDVAKAHDFEYIALRYFNVAGASVDGRVGQCSPLSTHLIKLACEAALGKREELLIFGDDYETRDGTCIRDYIHTDDLARAHLDALDYLLGGGKSEVMNCGYGNGFTVKEVIDVVKRVSGVNFRVKVAPRRDGDPPVLMSKTEKISKKLRWKPKYDLSVIVKTALDWERE---------- 212634486 ----TILVTGGAGYIGTHTVVELLNNGSDVVILDNLSNSSVTALERVEFYQGDILNKPFLQKLFTDHDIESVIHFAGLKAVGESVEQPLRYYENNVTGTIVLCQVMAEFNVKNIVFSSSATVYGDPASLPITEDFPTGATNPYGQSKLMVEHVLADHHSDPSWNIARLRYFNPVGAHDSGLIGEDPNDPNNLMPFITQVAVGKREQLSVFGNDYDTVDGTGVRDYIHVVDLAVGHLRALDKLRTKPGLVTYNLGTGQGYSVLEMVAAFEKASGTEIKYQLVARRAGDIAACYANPDKAQTELNWQATHTIDDMAQSSWHWQSSNPNGYSS--- 268611343 ----TILVTGGAGYIGSHTIIELIVAGHNVVVVDNLCNSSKISLERIPFFQADIRDKKALSDILDMYSIDACIHFAGLKAVGESTAYPYEYYDNNIIGTLNLISVLRKHNIKNIIFSSSATVYGEPATIPITEECPKGCTNPYGWTKWMIEQMLMDHTADPTFNIVILRYFNPIGAHQSGLIGENPNGPNNLMPYITQVAVGKREYLHIFGNNYDTPDGTGVRDYIHVVDLARGHVKALEAINRNCGVAIYNLGTGKGYSVLEIVKAFESVNGMKIPYVIDPRRPGDIATCYSDPQKAQRELGWKADNGIEEMCRDSWNWQRRNPNGYEQ--- 298527738 ---QRILITGGAGYIGSHAAVVMLEAGHEIIVLDNLCNSSQESLHRPQFVYGDIRDAEMLKRIFAAQKIDSVMHFAGLKAVSESLSCPLEYYDNNVGGTVTLCRVMAESGVHCLVFSSSATVYGVPPSVPIKEDFPAEPANPYGRSKLMVEKVLTDLAESDPWSVALLRYFNPVGAHPSALLGEDPDGPNNLVPFISQVAVGRLPKLSIFGNDYPTRDGTGVRDYIHVLDLAKGHLAALMAMSGKSGVFTWNLGTGRGYTVLETLRAFEKAAGKAVPYEIVHRRPGDIAECWADPEKAQRDLDWKADYGLEEMMQDVWRWQSMNPYGYHAKR- 308388286 ---KKILVTGGTGFIGSHTVVSLLKSGHQVVILDNLCNSSINILPRIPFYQGDIRDREILRRIFAENRIDSVIHFAGLKAVGESVAEPMKYYDNNVSGSLVLAEEMARAGVFKIVFSSSATVYGDPGKVPYTEDMPLDTTSPYGTSKSMVERILTDIQKADPWSVILLRYFNPIGAHESGLIGEQPNGPNNLLPYICQVAAGKLPQLSVFGDDYPTPDGTGMRDYIHVMDLAEGHVAAMKAKGGVAGVHLFNLGSGRAYSVLEIIRAFEAASGLTIPFEIKPRRAGDLACFYADPSYTKAQIGWQTQRDLSQMMEDSWRWVSNHPNGYDD--- 27364741 ----NILVTGGSGYIGSHTCIQMIEAGMTPIILDNLYNSKLLVLVRPTFYQGDIRDSEILQHVFAQHDIQGVIHFAGLKAVGESVEKPLMYYDNNVSGTLNLVREMDKAGVKSLIFSSSATVYGDPASVPIRENFPTSATNPYGRSKLMVEECLTDFHKANDWSITLLRYFNPVGAHESGLLGEDPQGPNNLLPFVAQVAVGRREKLGVFGDDYPTPDGTGVRDYIHVIDLADGHLAALNKVGQQAGLHIFNLGTGQGNSVLEMVAAFEKAAQRPIPYEIKPRRAGDIAECWADPAYAEQVLGWKATRSLETMVVDTWRWQSNNPNGYE---- 238753316 -----VLVTGGSGYIGSHTCVQLIEAGYTPVIIDNLCNSKASVLHRPHFYNGDIRDRQLLDSIFSQHAIGAVIHFAGLKAVGESVNKPLAYYDNNLHGTLVLLEAMTAAGVKNLIFSSSATVYGDQPKIPYVESFPTGPSSPYGKSKLMVENILQDVQRADNWNMTILRYFNPVGAHPSGLMGEDPQGPNNLMPYIAQVAVGRRDSLAIFGHDYPTADGTGVRDYIHVVDLADGHVAALKKCHDQPGVHIFNLGAGYGHSVLDVVNAFSKACGKPLTYHFAPRRDGDLPAYWADPSKAASQLGWRVQRSLDEMAVDTWRWQSQNPQGYPD--- 56965580 -----ILVTGGAGYIGSHTCVELLTEGYDVIIVDNFSNSGPDTVKKVKTYDINLLDSSRLETVFAENNIEAVIHFAGLKAVGESVEKPLHYYETNIGSTIQLCKTMEKYGVYKLVFSSSATVYGLPEQVPIEENAPLKAVNPYGNTKIIIEDMLRDATSNNNWRISLLRYFNPIGAHKSGLIGEEPSGPNNLMPYITQVAAGVREQLSVFGDDYPTKDGTCIRDYIHVVDLALGHLQALKKIETYTGVQAYNLGTGTGYSVLEVVDAFEKATGITIPKKIGPRRPGDAPVSYADPTKARTELQWEAKRTLIEMCEDSWRWQ------------ 300728501 ----NILLTGGAGYIGSHTIIELDKAGHSVVVVDNLVNSNPESLKEIPFYEVDVRDKDALSKMFDENKFDAVIHFAGLKAVGESVAKPLEYYHNNMTGTFILLEVMRQHNCKNIIFSSSATVYGDPAIIPITEECPKHCTNPYGQTKSMLEEVLMDVQKADEWNVVLLRYFNPIGAHQSGMIGENPNGPNNLMPYITQTAVGIRKELGIFGNDYDTHDGTGVRDYIHVCDLASGHVAALIAIKKNCGLAIYNLGTGHGYSVLDVVKAFEKVNGVKVPYSFKPRRPGDIATCYCNPAKAKVELGWEARYGIEEMCRDSWNWQKMNPNGYSED-- 633700 ----TILVTGGAGYIGSHTVLTLLENGEDVVVLDNLSNASAESLLRPVFYQGDILDRNCLKKIFFEHKIDSVIHFAGLKSVGESVVKPIEYYQNNVTGSIILLEEMVISGVKKLIFSSSATVYGDPEFVPLTEDARIGTTNPYGSSKVMIEQILKDFAFAYDFSIRALRYFNPVGAHISGLIGEDPNGPNNLLPFITQVAIGKLPKLAVYGNDYPTVDGSGVRDYIHVMDLAEGHLCALNNLTH--GFKVYNLGSGIGHSVLEMIAEFELISGKKIPYEIVARRPGDIAECWASPELAFKELKWKAKRNLTYMLKHAWNWQQSNPNGY----- 160878729 -----ILVTGGAGYIGSHTCLELLRKGYDVVVVDNLSNSSKESLERVKFYEFNVEDKEKLTEVFEKENIESVIHFAGLKAVGESVQKPLAYYYNNLVSTLVLCEVMSKFQVKKLVFSSSATVYGNPHTVPIKEDFPLSVTNPYGRTKLMQEEMLRDLVADSEWKIILLRYFNPIGADESGMIGEDPLGPNNLVPYITQVAVGKLKELQVFGDDYDTPDGSGVRDYIHVTDLAIGHVKSIEKLEQIEGVKTYNLGTGKGYSVLEMVTAFSEVCKKTIPYVVKARRPGDIATCYADPKLAKEELGFTAVRELKKMCEDAYRWQCNYPNGYRD--- 2494669 ----RVLVTGGAGYIGSHVLHALTDAGIPAVTIDDLSAGRREAIPAAPLVEGDIGSAELLDRVMRDHRVDAVMHFAGSIVVPESVVKPLDYYRNNTANSLTLLGACLRAGIDKVVFSSTAAVYGAPESVPIREDAPTVPINPYGASKLMTEQMLRDAGAAHGLRSVILRYFNVAGADPAGRTGQATPVATHLIKVACQALLGRRPPLAIFGTDYDTPDGTCIRDYIHVSDLADAHVLALLHLRRGGGSLLMNCGYGRGASVREVVRTLEEVSGEQVPATFADRRPGDPPQLVAGADRIREQLGWVPKHDLDGIVRSALSWERSLEQSVGQ--- 37781556 --SKSILVTGGAGYIGSHTVLQLLLGGYKTVVIDSLDNSSEIAVKRVKFHKVDLRDKPAVEEIFRSNKFDAVIHFAGLKAVGESVEKPLMYYDNNLIGTITLLEIMAAHGCKRLVFSSSATVYGWPKVVPCTEEFPLSAANPYGRTKLFIEEICRDVQADSEWKIILLRYFNPVGAHPSGRIGEDPRGPNNLMPFVQQVAVGRRKELTVYGTDYGTKDGTGVRDYIHVMDLADGHIAALQKLSDPSGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPMVMSGRRPGDAEIVYAATEKAERELKWKAKYGIEEMCRDQWNWAKKNPYGY----- 298247870 ----KFLVTGGAGYIGSVMTKQLVEAGHEVTVLDNFTKGHHQAVLQVKLVEGELLNAQRLKEVFKD-GFDGVLHFAALSLVGESVTQPERYYRNNVVGTLNLLDAMREAGVKRLVFSSTAAVYGAPEETPILETAQPRPTNPYGGSKLAVDQMIGFEAAAHGLAAVSLRYFNVAGA--SGELGEVHNPETHLIPLVLQAAAGSRESVQIYGTDYPTPDGTAIRDYIHVEDLARAHILAL-THAQPGKHEIYNLGNGKGFSVREVIETARQVTGKPIKAIETDRRAGDPPVLVASSEKAQRDLGWKPQKPLASMIADAWNWLQAHPQGYHD--- 309799419 -----ILVTGGAGYIGSHTVVELLNLGKEVVIVDNLSNSSILVLQRPAFYELDVCDKQGLRKVFEQESIEAAIHFAGYKAVGESVQKPVMYYENNIMSTLALVQVMSEFNVKKIVFSSSATVYGIHNQSPLVETMPTSATNPYGYTKVMLEQILKDHVADSEWSIALLRYFNPIGAHESGLIGEDPSGPNNLMPFIAQVAVGKRSELSVFGDDYDTVDGTGVRDYIHVVDLAIGHIKALEKVSEKTDVYIYNLGSGEGTSVLQLVNTFESVNKVPIPYKIVPRRSGDVATCYANADKAYKELNWKTTKTVEDMCRDTWNWQSKNPNGYNK--- 256084103 MQKDNVLVTGGSGFIGSHTIVELVNNGYSVIALDNLSNSSINCIERIEFYEVNLLDEKAVNDIFEKHKIDYVIHFAALKSVSESTQKPIQYYNNNLVGILNLLKVMIEHNVKNFVLSSSATVYGEPQFLPLTEKHPINCQNSYGNTKLCCELILKDYTSDPTWNIISLRYFNPVGAHASGLIGEDPQGPNNLMPYVTQVASGLLPYVNVYGNDYSTVDGTGVRDYIHVVDLAEAHTKSLDKVKQNCGFKVYNLGTGQGYSVLQMIQAMEKASGKTIPYTICPRRPGDCATVYSDASLAQQELGWKAKYDIDKMCEDLWNWQVKNPQGY----- 83745689 --NETILLTGATGYIASHTWVELLDAGYQVIGLDNLCNSSAAVLARPKFVQGDVRDRRLLDDLFASSRISAVIHFAALKSVGESVQKPLAYYDNNMGGLVTLCAAMAHANVRQLVFSSSATVYGNPHTVPITESFPLSATNPYGQTKLMGEQVLRDLEVSNAWRIAYLRYFNPVGAHHSGLIGEDPRGPNNLMPYVAQVAGGRREQLSVFGGDWPTPDGTGVRDYIHVVDLARGHLSALDVLRRDGRGFTVNLGTGRGYSVLEVVAAYQRASGRPIPYEIVARRPGDIAACYADPSLAASLLGWRAQYGIERMCEDSWRWQNMNPDGFQTR-- 225426316 ---QTILVTGGAGYIGSHTVLQLLLGGFRAVVVDNLDNSSEISIHRVKFHKLDLRDKPALEQLFASTNFDAVIHFAGLKAVGESVQKPLLYFDNNLIGTITLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLCATNPYGRTKLFIEDICRDIHRSDSWKIVLLRYFNPVGAHPSGYIGEDPRGPNNLMPFVQQVAVGRRPALTVFGSDYSTKDGTGVRDYIHVVDLADGHIAALHKLLNSEGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVMDGRRPGDAEIVYASTTKAEKELNWKAKYGISEMCRDQWNWASKNPYGYES--- 90422938 ----TVLVTGGAGYIGSHMVLALVEAGETVVVIDNLSSGFSAMLPQGPLFIGDAGDENLVEGVIAAHGVSAIIHFAGSVVVPASLRDPLGYYHNNTMTTRNLLSVAVKCGVRRFIFSSTAAVYGNPDQVPVPEHAPTRPLSPYGSSKLMSEIMLHDTAAAHGMNYVVLRYFNVAGADPQARIGLATVGATHLLKIAVEAATGQRAKIDVFGADYPTKDGSCIRDFIHVSDLAQAHRAALAYLRQGGAPVTLNCGYGRGTSVLETIEAVRRVSGRNFAVQTAARRPGDIMTMVADTTRIRATLDWTPRYDLDTIVAHALAWEKK---------- 289740309 MAPPTVLVTGGAGYIGSHTILEMLNAGYNVVCVDNLCNAYSASTAKLPFYRVDITDREQLRAVFQENKIDIVAHFAALKAVGESVRIPLQYYHNNLTGTNVLLEAMADNNVFKFVYSSSATVYGEPQFLPVTEEHPTNCTSPYGKTKYFTEEILKDLCKSDKRWVVTLRYFNPVGAHPSGRIGEDPNGEPNNMPYIAQVAVGRRSCLQIYGSDFPTKDGTGIRDYIHIVDLAEGHVRALDKLRNVAETGFYNLGTGLGYSVLEMVYAFEKASGQKINYKLVERRSGDVATCYADASLAAKALGWTAVRTIDDMCLDTWRWQSNNPNGYA---- 262275412 ----KVLVTGGMGYIGSHTCVQMIEAGMTPVIVDNLCNASEEVLNRIYFYQGDVRDEMFLDRVFEQEPIDAVIHFAGLKAVGESVEKPIEYYDNNVNGTLVLVRAMQRAKVSTLVFSSSATVYGDPETVPITEQSPVGTTNPYGTSKYMVERCLADLTASPEWSITLLRYFNPVGAHPSGTMGEDPKGPNNLMPFIAQVAVGRREQLSVFGNDYPTPDGTGVRDYIHVMDLADGHIAALKAVGDKQGLHVFNLGTGKGSSVLEMVNAFGEACGKEIPYQICPRRPGDIAECWASTEKAEKELGWKATRTVAEMAADTWRWQRENPSGY----- 3087757 ----TILVTGGAGYIGSHTCVQLIEAGHEVVVVDNFDNSHPEALHRIEKITGDIAIVALMEQMIKRHKCTAVIHFAGLKAVGESSEKPLLYYDCNVLGTLRLLQAMEVTGVKKLVFSSSATVYGVPGKLPITEDQPLSATNPYGRTKLVIEDMLRDYNSDNSWAVAILRYFNPVGAHESGLIGEDPNDSQQSDAIIAQVATGQTVKLNIWGNDYPTPDGTGVRDYIHVNDLAAGHLKAL-KKLDKPSASSVNLGTGQGYSVLDVIKAFEHVSNREIKYEIAPRRPGMLPECYADPGFAKKFLGWSAEKNLREMCQDMWNWQSKNPTAYE---- 91793995 ----KILVTGGAGYIGSHTILEMLAVGLDIIIADNLSNSSLESIRRVESIQGDILDKTFLRDIFKRHSIDAVVHFAGLKAVGESVEQPLRYYENNVTGTLYLCQVMAEFGVKNLVFSSSATVYGDPSALPITEDFPTSATNPYGQSKLMVELMLADLAKDSSWNIACLRYFNPVGAHESGLIGEDPNGPNNLLPFIAQVAVGKRSHVNVFGNDYDTHDGTGVRDYIHVVDLALGHIKALEKITKGSGFVTYNLGTGQGYSVLEMINAFEKACGHKLAYEVVARRAGDIAACYAEPNKALNELGWQATHSLRDMVNSSWKWQSLNPNGYK---- 296447248 ----TILVTGGAGYIGSHMTLELRDAGEKLVVLDDLSTGFRSAVPADPMIVGDFGDDELVRDILVYNQIDSIIHFAAKIVVPESVADPLGYYLNNTAKARSLLANAVQTGVKRFIFSSTAAVYGDPQSNPVTEDAVLAPVSPYGRSKLMVEWMLEDASRAHGLDYVVLRYFNVAGADPLGRSGQSTPNATHLIKVAAQAALGLRPKLQVFGTDYATPDGTCVRDYIQVNDLARAHLDALRHLRSGGASLVCNCGYGHGFSVLEVIEMVKRVSGVDFPVELAGRRPGDPAAIVAANERIRAAFGWTPRYDLEAIVRQALDWERR---------- 303233155 -----VLVCGGAGFIGSHTTVELLKDGFRVVIADDLSNSSERVLDRIKQIHIDITDKAKLNDVFDRFPISRVIHFAGFKAVGESVEKPIEYYSNNLITTMNLLEVMRAHKCYSIIFSSSATVYGNPDSLPLTEDAPKKPTNPYGWTKWMIEQILEDTCAAPQFNAVLLRYFNPIGAHQSGLIGEDPKGPNNLLPYVAQVAVGKRECVHVFGNDYPTPDGTGVRDYIHVMDLAAGHVAALKWMEGKTGCEVFNLGTGKGTSVLQIITAFSKACGHDIPYVIEPRRSGDVAENYADCTKARTELGWSAQYTIDDMCRDSWHWQSENPNGYGD--- 114562505 ----TILVTGGAGYIGTHTVVELLKAGQEVVIVDNLSNSSIEALARVRFYQGDILNKALLQKVFTDHSIESVIHFAGLKAVGESVEQPLKYYENNVTGTIILCQVMAENNVKNLVFSSSATVYGDPASLPIKEDFPTGATNPYGQSKLMVENILADHNSDNSWNIARLRYFNPVGAHESGLIGEDPNDPNNLMPFIAQVAVGKRQQLSVFGDDYNTPDGTGVRDYIHVVDLAMGHLQALKKLQTKPGLVTYNLGTGIGYSVLDMVKAFEKACGKTIAYQISPRRPGDIAACYADPSFAATELDWRATHTVEDMANSSWKWQSNNPDGYN---- 256753562 ----KVLVTGGAGYIGTHTCVELLEAGFEVIVADNLCNSKETAIKNVKFYKVDILDKAALEQVFIENKPDSVIHFAGLKAVGESVSIPLKYYHNNITGTLILCELMEKYQVKNLVFSSSATVYGDPASVPIAEEFQLSVTNPYGRTKLMIEEILKDYVADASWNIALLRYFNPIGAHESGTIGEDPNGPNNLVPYITQVAVGKLKEVKVFGDDYNTVDGTGVRDYIHVVDLAKGHIKALEKLSREHGVREYNLGTGNGYSVLQVIKAFSEACGKEIPYKIADRRPGDIAACYAKPDRAKNELDWTAEKGLPEMCVDSWRWQSQNPEGYK---- 184200473 ----KILVTGGTGYIGSHTVLALLEAGHDVVVLDNLSNSSRASLERVAFEQVDLLDRAGLDRVFREHRPEAVIHFAGLKAVGESAEKPLWYYTNNVSGTVNLLWAMEENDCRSIVFSSSATVYGAPETMPLTEKLSMDAQNPYGRTKEHIEDMLADLAASDEWSVALLRYFNPVGAHESGRIGEDPSGPNNLMPFVAQVAVGRREKLMVFGDDYPTPDGTGVRDYIHVVDLADGHLKALDRISEDPGVHVWNLGTGRGYSVLEVREAFQKASGREIPYEIAPRRPGDAAVSYADPASALAELGWSADRDIDTMCRDHWNWQKNNPNGYE---- 92116849 ----TVLVTGGAGYIGSHMVLALAEAGESVVVVDNLSTGFSSALPDGPLFIGDAGDENLVENVIAQHGVESIIHFAGSVVVPESMRDPLAYYRNNTMTTRSLLNVAVKCGVNRFIFSSTAAVYGNPDRMPVPEDAPTRPLSPYGSSKLMSEIMLHDVATAHGLNYVVLRYFNVAGADPLARIGLSTAGATHLLKIAVEAATGQRTKIDVFGTDYPTPDGSCIRDFIHVSDLAQAHRAALTYLRNGGRSTTLNCGYGRGYSVLETIEAVRQVCGRNFAVHYSDRRPGDIMTMIADTGRIRAALDWTPQYDLATIAAHALAWEEK----LAQRH- 255642356 ----TVLVTGGAGFIGSHTVLQLLKQGFRVSIIDNLDNSLIEAVHRVRFFHGDLRNVQDLEAVFSKSKFDAVIHFAGLKGVGESVAKPRRYYDNNLVGTINLFEAMAKYKCKKMVISSSATVYGEADRVPCVEEEHLQAMNPYGRTKLFVEEIARDIQRETEWRIILLRYFNPVGAHESGQIGEDPRGPNNLMPYIHQVAVGRLPQLNVYGHDYPTKDGTPIRDYIHVMDLADGHIAALRKLFATDHIGCYNLGTGRGTSVLEMVAAFEKASGKKIPLKMCPRRPGDATAVYASTDKAEKELGWKAKYGIEEMCRDLWNWTSKNPWGYQGKH- 154414807 ----TILITGGAGYIGSHTLIELSKVGYDFVVFDNLSNSCDESLKRVKFVKGDIRDRAALKACFEQYKPDSVIHFAGLKAVGESVVKPIEYYDNNVNGTLVLLDVMREYNCKKIIFSSSATVYGNPNAPLIKEDFPVGTTNPYGTSKYFIERILQDYVADNKMQIVLLRYFNPVGAHESGMIGEDSSGPNNLMPYISQVAVRKRPHLNVFGNDYPTRDGTGVRDYLHVVDLAIGHVKALDYLNAHPGSKPVNLGTGNGCSVLELVHAFEKVNGIEIPYKIVDRRPGDLPTVVADPSYAKEVLGWTATKTIEDMVRDSWNWQSHNPNGY----- 142109703 MTAKTILVTGGAGYIGSHTAVELLDNGYDVVIVDNLVNSKAESVRRIEFHQVDVCDEAALAKVFDAHPITGTIHFAALKAVGESVAKPLEYYQNNIGGLLAVLKVMRKRNVRQFVFSSSATVYGVPERSPIDESFPLSATNPYGQSKLIAEQILRDLEVSDPWRIATLRYFNPVGAHASGLIGEDPAGPNNLMPYVAQVAVGKLERLRVFGSDYPTPDGTGVRDYIHVVDLAKGHIAALDALAKRDASFVVNLGTGQGYSVLEVVRAFEKASGRPVPYELVARRPGDIAECYANPQAAADIIGWRATLGIEEMCADHWRWQEGNPRGF----- 167033728 ----KFLVVGGAGYIGSHMVKHLLAVGHEVVVADLVWPG-----PGIQWAKLDIADEAALDVLFGVCRFDAVFHFASFIQVGESVSAPGKYYQNNVAATLALLQAMVNAGIKHLVFSSSAAVYGNPQYVPIDEAHTKGPINPYGLSKWMVEQILEDFDRAYGLKSVCLRYFNAAGADPEGQLGERHDPETHLIPLILQAASGRREAVTVFGRDYDTPDGTCIRDYVHVADLAAAHALAVDYLLAGGERTAFNLGNGLGFSVQQVIDTARTVTGRQINALDAPRRAGDPPRLVADASKAIQVLGWRPEFSLEQIVRHAWQWELQYP-------- 242049918 ---RSVLVTGGAGFIGTHTVLRLLEQGYGVTVVDNFHNSVPEALARLDFIRGDLRSTEDLEKVFSARRYDAVIHFAGLKAVGESVAHPEMYYENNLVGTINLYKTMKERVRVQLVFSSSATVYGWPEEIPCVEDSKLQAANPYGRTKLILEDMARDYHRADPWSIVLLRYFNPIGAHSSGEIGEDPKGPNNLLPYIQQVAVGRLPELNVYGHDYPTRDGTAIRDYIHVVDLADGHIAALNKLFDAPDIGCYNLGTGRGTSVLEMVAAFKKASGKEIPTKLCPRRPGDATEVYASTEKAGRELGWRAQYGIEEMCRDQWNWAKKNPYGY----- 86748722 ----TVLVTGGAGYIGSHTVLALVEAGESVVVIDNLSTGFSSFVPEGPLFIGDAGDENLVEGVIRTHGVDAIIHFAGSVIVSESMRDPLGYYRNNTMTSRNLLSAAVTCGVNNFIFSSTAAVYGNPDRTPVPEEAPTRPLSPYGCSKLMTEIMLHDTASANGMNYVALRYFNVAGADPQARIGLATIGATHLLKIAVEAATGQRAQIEVYGTDYPTPDGSCIRDFIHVSDLAQAHVAALAYLRRGGAPVTLNCGYGRGYSVLETIEAVRRVAGRNFAVSTAARRPGDIVAMVADTRRIRATLDWTPRHDLETIAADALRWERK---------- 257095666 ----NVLVTGGTGYIGSHACVALLAAGHSVTVLDDLSNSQAEVVARPTFFAGDVRDRALLRRIFAASPIDAVLHFAGLKSVGESVAQPLRYYDCNVGGAVALCEIMAEAAVRTLIFSSSATVYGDPASVPIREDFPRSATNPYGQSKLMIEDILADLTRADPWRIARLRYFNPVGAHETGLIGEEPSGPNNLLPYVAQVAAGKRPQLSVFGSDYPTPDGTGVRDYIHVMDLVEGHLAALNYLLDKGGLLTVNLGTGCGYSVLDMVRAFEAASGRPVPYALMPRRPGDIAACYADPQLAKDLLGWAARRGIDEMCRDAWRWQQR---------- 217972262 ----KVLVTGGAGYIGSHTVLALLQQDHQVVVFDNLCNASEVPLKHIEFFRGDIRDVAALDAVFGAHQFDAVIHFAALKAVGESTQKPLAYYQTNVHGSVCLLEAMLRHQVTDFIFSSSATVYGELAIVPYLESMPLGPSSPYGATKVMVERIMADYAAANPFRGVSLRYFNPIGAHESGNLGEDPKGPNNLLPYLAQVAVGRRTHLSVFGGDYPTQDGTCLRDYLHVMDLAEGHTNWLADHPQQRGIEAFNLGTGQGVSVLDIIAAFEQASGVPVPYEIAPRRAGDLAAFWANADKASTMLGWQAKRNLTQMMHDTWRWQSQNPSGYSEE-- 168481289 ----NILVTGGAGYIGSHTVLRLLENENEITVVDNLVNSSSEVIKRICFIEMDILNTELLHEVIINKDIDAVIHFAGLKSVSESISRPLEYYKNNVQGTISVLSAMINSKAKKIIFSSSATVYGEPEQIPLNEKCKVGTTNPYGTSKLMAEQILCDFAKNYGFDIISLRYFNPVGAHPSGMIGEAPNGPNNLVPYLTKVAIGELDSLKIFGNDYPTRDGYGVRDFIHVMDLADGHIAALNAEFKENSIRIYNLGTGKGYSVLELVDTFERIIARKINKCVISRRDGDIAECWSDPMLAFNELGWSAKFNLEDMLRDSWNWQIKNPKGYDQ--- 303257718 --KKHILVTGGTGYIGSHMVVRLIEAGMEPIIFDNFSNSKPAVVDRIEMVRGDIRDEKALEKLFADFPIDAVINFAGRKAVGESETDPLLYFSYNVEGTIVLLRVMKKFGVKEFVFSSSATVYGDPGYDEFDENTPLAPINNYGLSKWMIEEVLRTFKAEPDWSIAILRYFNPVGAHESGLIGEDPNGPNNLMPFISQVAVGRRASLKVFGNDYPTRDGTGARDYIHVQDLVEGHLDALRVLDGKPQLLTVNLGTGGNTTVLELVKAFEKASGKHIPYEICPRRAGDKAAYWTNPELAKKLLGWTAKRGIEKMCEDTWRWQQMNPEGYPD--- 126328560 --SEKVLVTGGAGYIGSHTVLELLEAGYQPVVIDNFHNAMPESLKRVEFEEMDILDKAALQGLFKKHSFMAVIHFAGLKAVGESVQKPLDYYKVNLTGTIQLLEAMNAHGVKNLVFSSSATVYGNPHYLPLDEKHPTGGTNPYGKSKFFIEEMIQDLCQAEKWNAVLLRYFNPIGAHASGRIGEDPQGPNNLMPYVSQVAVGRREVLSVYGNDYNTVDGTGVRDYIHVVDLAKGHITALKKLKEQCGCRIYNLGTGTGYSVLQMVTAMEKASGKKIPYKIVARRDGDVATCYANPTLAQEELGWKADFGLDKMCEDLWRWQEQNPTGFSSQ-- 302669564 -----ILVTGGAGYIGSHTVVELQNAGYDVVVMDNLANSSKKVIKKVPFYETDIRDREGLEKIFSNEKIDSVIHFAGLKAVGESVQKPWEYYENNIAGTLTLVDVMRQHGCKNIIFSSSATVYGNPAFIPITEECPKGTTNPYGWTKSMLEQVLSDIQKADPWNVVLLRYFNPIGAHKSGTIGENPNGPNNLMPYITQVAVGKLPELNVFGNDYDTHDGTGVRDYIHVVDLALGHVKALKKLEPGSGLNIYNLGTGVGYSVLDIVKNFEEATGVKIPYVIRDRRPGDIATCYSNADKAEKELGWKAENGIKEMCADSWRWQSQNPNGYED--- 255079646 -EGSTVLVTGGAGYIGSHTCLQLLAAGCKVVVVDNLDNSSEESLRRVKFHNCDILDREGLDKAFAAQKVDAVIHFAGLKAVGESVAQPMRYYSNNIVGTVVLIEVMEKHGCKAMIFSSSATVYGEPATVPCTEDFPTAALNPYGRTKLFIEHILTDLQHKPDWQVVLLRYFNPVGAHPSGRIGEDPKGPNNLMPYVQQVAVGRRERLSVYGDDYPTPDGTGKRDYIHVMDLADGHVAALRKVRNDPNSGCITVNLGTGTSVLELVAAFAKAAGKEIPMQIAPRRPGDAAEVYAKTDRAKEVLGWEAKFSIEECCVDQWKWASENPYGYGQ--- 300729965 ----KILVTGGAGYIGGTVSRLLLERGHEVTVFDSLCHSRRSAIAEGTFVEGDLADSALLEKTLNAGKFDGVLHFAALIEAGESMKQPEVYFRNNTAGTLTLLEAMLATGHNKLVFSSTAACYGEPEKTPITEEARLAPTNAYGESKLLSEHMMRWMHHSHGLRYAALRYFNVAGAIPG--YGENHEPESHLIPLVLDVALGRRASIKIFGQDYPTPDGTCVRDYVHVQDLADAHLLALAALEDKA-PLIYNLGSGTGFTVLEVIESVRRVTGKEIPVELCPRRPGDPAVLVASSEKIQQELGWKPQFKLDSIIESAWIWHQQLHR------- 51247035 MGTLNILVTGGAGYIGSHTCLELLEAGYEVTVVDDLSNSCYEALKKITFHQVNVLDQQALDAVFVSSKFAAVIHFAGLKAVGESVAKPLHYYHNNVTGTLVLCDLMAKHGVKNIIFSSSATVYGDPATVPITEDFPLSCTNPYGRTKLMVEEILADHGADHEWNACLLRYFNPVGAHKSGRIGEDPNGPNNLMPYIAQVAIDRLDFLSIFGNDYPTVDGTGMRDYIHVVDLAKGHVCAVKKVLEGQGITTYNLGTGRGYSVLEMVSAFSRTCGRDIAYKIVARRAGDIAECYADATKALDELDWLASLGLTEMCEDTWRWQKNNPTGYLGNRE 71906875 -----ILVTGGAGYIGSHTCVELLNSGQDVVVFDNFCNSHPESIKRVEVVKGDIRDQAAVEAALRQYDCQSVIHFAGLKAVGESVEKPLAYYDNNVIGTHKLLQAMSNCGIKTLVFSSSATVYGEPQFLPLTEQHPLSGTNPYGRTKLVIEDMLRDYRSDSSWRIGILRYFNPVGAHESGLIGEDPQGPNNLMPFVAQVAVGRRERLSVWGNDYPTPDGTGVRDYIHVVDLAIGHLKAL-ACLSESRCFEVNLGTGTGCSVLDVIKAFESASGRPIPYELAPRRAGDVASCYADPAFAAELLQWRAERNLEVMCVDAWRWQSNNPNGF----- 70732710 ----RFLITGGAGYIGSHTVLELLQAGHEAVVLDNLCNSSMLSLQRVQFVEGDVCDRAILDRIFSQHEIDGVLHFAGLKAVGESVKQPLPYYQNNVSGSITLCQAMASAGVYRLVFSSSATVYGDPVQIPLVESSPLGPTNAYGTSKLMVEKILQDMAAADPWSVALLRYFNPVGAHQSGLMGEDPNGPENLLPYISRVAVGKLERLSIYGNDYPTPDGTGVRDYIHVVDLAKGHIAAVNYISQHTGINAWNLGTGVGYSVLEMIRAFEDASGCTIPYTLSPRRQGDIAQCWSDPSKALHDLGWKAERGLKEMMEDTWRWQSNNPDGYRS--- 119469124 ----TILVTGGAGYIGSHTVLELLQQGSDVVVIDNLANSSQESLKEVTFYQGDILDKAFLDSVFAKHTVNSVIHFAGLKSVGESVAKPVEYYQNNVQGTLTLVDAMRDAGVFKLVFSSSATVYGDPASLPIKEEFPVGTTNPYGTSKLMVEMMLQDIAKSDEFAFAILRYFNPVGAHESGLIGEDPNGPNNLLPFIAQVAVGKLKQLAVFGDDYDTIDGTGVRDYIHVVDLAIGHLKALDKIAKNTGALVYNLGTGNGYSVLQMVNAFIKASNQAVPYQVSPRRPGDIAACYAAPEKALNELGWEAKRGIDAMMQDTWRWQSNNPNGYSK--- 92118499 -----VLVTGGAGYIGSHCCKALAEAGYRPVCFDNFSTGHRRFVKWGPMITGDVRDPRQLQAVFQSYDFSAVMHFAASSSVGESVTDPQKYYANNVGGTLALLSAMRGAGSGRLVFSSTGAVYGNAG----SESAPRFPVNPYGKSKLMIEEILSDYRQAYDLNSVCFRYFNASGADACGAIGECRDPETHLIPRAMMALQGEIPDFGIFGDDYDTPDGTAVRDYIHVTDLVSAHVQAV-NMLMGGMRGVYNLGTGVGYSVSEVLSAIFAEAGSKMPRVYYPRRPGDPSVLIADSSVARMHLGFNPIHSLGTIIRTAWNWHTK---------- 148259045 ----RIMLTGGAGFIGSHTAVVLLERGYDIVLLDDFSNAARDVPIAMPVIEADIRDPEAMRAAFAAFPVDAVVHFAAKKAVGESEADPLLYFDVNILGTIRLLAAMRDAGVGRLVFSSSATVYGEPDTCPISETASLRVTNVYGRTKMVMEGMIEDLSRTGVLAASAIRYFNPVGAHPSGLIGENPRGPANLMPYLCQVAAGQRPYLTVFGNDYPTHDGTGVRDFIHVMDLAEAHAAAVDRILAQDGGFTVNLGTGTGYSVLDLVHAFKAATGIDVPYQVGPRRPGDVAACYADPGLAASLLGWRASRGVQDMCRDAWRWQSRH--------- 33864727 ----RILITGGAGFIGSHTCLVLLEQGHSLVVVDNFDNSSPEALRRVQEVEGDLRNPDVLDRAFRSGRPDGVIHFAGLKAVGESVADPLRYWDVNLNGSRVLAAVMERHGCRTLVFSSTSTVYGEPEQFPLHEGMATAPVHPYAQTKLAVEQMLGALCRSGSWRVACLRYFNPVGAHPSGRIGEDPLGPNNLFPFITQVAAGRRDKLRVFGQDYPTPDGTGIRDYLHVMDLAEAHGVTLTHLLNQEPPTQVNIGTGTGLSVLDVIKGFEQATGITIPYEVVARRPGDVPRLQACPRQAEAVLGWTARRGLVEMCRDGWAWQQANPMGYRS--- 94536900 ---QKILVTGGGGYISSHCVVELIEAGFHPVVIDNFSNAVREMDTQIEFHELDLLDKPGLEKIFKMHSFYAVMHFAGLKAVGESVEQPLRYYRVNLTGTINLLEVMQSHGVRNLVFSSSATVYGDPQKLPIDEQHPVGGTNPYGKTKYFIEEMIRDQCAEKDWNAVLLRYFNPIGAHISGQIGEDPQGPNNLLPYVAQVAIGRRKHLNVFGNDYSTPDGTGVRDYIHVVDLAKGHIAAVRKLKDSCGCKVYNLGTGTGYSVLQMVSAMEKASGRKIAYQIAPRRSGDVASCYADASLAEKELGWKAEFDLERMCEDLWRWQSQNPTGFSN--- 93004947 MENNKILVTGGAGYIGSHTCIALHEAGYEVVIYDNLSNSSFEAVNRIDFIEGDIRDADSLRQVFVAHRFFGVIHFAGLKAVGESVAKPLMYYDNNVSGTITLLKIMAEYEVKKLVFSSSATVYGDPEILPINEDSPRSCTNPYGQSKLTVEHVLEDALSDNSWSLIPLRYFNPVGAHPSGQIGEDPNDPNNLMPYISQVAVGKLDKLSIFGDDYATVDGTGVRDFIHVTDLAQGHVAALNYLKQQKQSLPINLGSGKGTSVLELVRAFSAVSAKDIPFQFVGRRAGDIASCYASADKAQELLGWKASLSITDMCQDTWRWQSINPNGYNS--- 183599827 MQPTKVLVTGGMGYIGSHTCVQMIQAGFAPIIIDNLCNAKEEVLNRIEFYQGDIRDESLLNRLFSEHKISAVIHFAGLKAVGESVEKPLEYYDVNVNGTLVLAKCMQRAGVHRIIFSSSATVYGEPDTVPITENFPTNTQSPYGTSKYMVERCLSDLIAEPNWSVTLLRYFNPVGAHPSGLMGEDPQGPNNLTPYIAQVAVGRREKLSIYGNDYPTVDGTGVRDYIHVMDLADGHVAALKVVSQQAGLHIYNLGTGRGTSVLEVLHAFEKAVGREIPYVVVPRRAGDIAEYWSTSEKAQRELGWKATRTIDDMAMDSWRWQSQNPNGYES--- 300939790 MERMRVLVTGGSGYIGSHTCVQLLQNGHDVIILDNLCNSKRSVLKHPTFVEGDIRNEALMTEILHDHAIDTVIHFAGLKAVGESVQKPLEYYDNNVNGTLRLISAMRAANVKNFIFSSSATVYGDQPKIPYVESFPTGPQSPYGKSKLMVEQILTDLQKQPDWSIALLRYFNPVGAHPSGDMGEDPQGPNNLMPYIAQVAVGRRDSLAIFGNDYPTEDGTGVRDYIHVMDLADGHVVAMEKLANKPGVHIYNLGAGVGSSVLDVVNAFSKACGKPVNYHFAPRREGDLPAYWADASKADRELNWRVTRTLDEMAQDTWHWQSRHPQGYPD--- 146342067 ----TVLVTGGAGYIGSHMVLALAEAGESVVVIDNLSTGFSAFVPEVPLFIGDAGDENLVDGVISQHGVDSIIHFAGSVVVPESVRDPLLYYRNNTMTTRSLLNAAVKRGVSRFIFSSTAAVYGNPAQVPVPETAPTRPTSPYGSSKLMAEIMLHDTAAAYELNYAVLRYFNVAGADPQGRIGLATVGATHLMKIAMEVATGQRAKIDVYGTDYSTPDGTAVRDFIHVCDLVQAHRAALAYLRAGGPSVTLNCGYGRGYSVLETIEAVRRASGRAIPVQTAPRRPGDIMSMVADTSRLRATLDWTPAYDLDTIAAHALAW------------- 148825365 -----ILVTGGAGYIGSHTVVELLNVGKKVVVLDNLCNSSPKSLKEAKFYEGDILDRALLQKIFAENEINSVIHFAGLKAVGESVQKPTEYYMNNVAGTLVLIQEMKRAGVWNFVFSSSATVYGDPKIIPITEDCEVGTTNPYGTSKYMVEQILRDAKAEPKFSMTILRYFNPVGAHESGLIGEDPNGPNNLLPYISQVAIGKLAQLSVFGSDYDTHDGTGVRDYIHVVDLAVGHLKALQRHENDAGLHIYNLGTGHGYSVLDMVKAFEKANNITIAYKLVERRSGDIATCYSDPSLAAKELGWVAERGLEKMMQDTWNWQKNNPKGYRD--- 257126682 ---KTILVPGGAGYIGSHTVLDLIKKGFNPIIVDDFSNSSKKVIKEITFYELDVKNKEGLRKIFNENKIDAVINFAGFKAVGESVEKPLMYYENNLFGMITLLEVMKEFDVKNIVFSSSATVYGIPEKMPLVESDPMGATNPYGRTKLMIENILVDLAASDDWNIIALRYFNPLGAHESGKIGEDPNGPNNLAPYITQVAVGKLEKLHIFGNDYDTPDGTCIRDFVHVNDLAAGHSAAINYLFSRNGFEAINLGSEKGYSVLEILKNFEKAVGKTIPYVIDGRRAGDIAVSYADASKAKKLLNWEAKYTIEDMCRDSWNWQKKNPNGFKN--- 226470554 -----VLVTGGSGFIGSHTIVELVNDGYSVIILDNLSNSNIKCIERIEFYETNLLDINSVNDVFAKHKFDYVIHFAALKSVSESTQHPLDYYNNNVLGILNLLKVMMAHNVKNFVLSSSATIYGEPQFLPLTEKHPINCQNPYGNTKLCCELILKDYTSDPTWNIVSLRYFNPVGAHPSGLIGEDPRGPNNLMPYVTQVASGLRPYVNVYGNDYQTVDGTGVRDYIHVVDLAEAHTKSLDKIKENCGFKVYNLGTGQGNSVLQMILAMEKASGKTIPYTICPRRPGDCATVYSDASLAERELGWKAKYDVDKMCKDLWNWQVKNPHGY----- 136566681 -----ILITGGTGFIGSHTVVTLAEAGQPVVILDNLCNSSPKVLDRLEFVQADIRDSAALDRLFARYNFAGVIHFAGLKAVGESVAQPLRYLENNVSGTLNLLQAMDRANVCRIVFSSSATVYGDPVSVPIPETAALTATNPYGRTKLMCEDALREHAADPRWSIAILRYFNPVGAHESGLLGEAPNGPNNLMPYITQVALGQREYLQIFGNDYDTPDGTGVRDYLHVSDLAAGHLAAL-RALNEPKLLTVNLGTGRGVSVQDMVDTFARVNGVPIPHKVVPRRPGDVAQCLADPRQAKALLNWQTQFDLDRMCADAWRWQSMNPQGFD---- 213155477 ----KILVTGGAGYIGSHTCVELLQAGYEVIVFDNLSNSSEESLNRVQFVHGDIRNVDELDRVFQEHPIEAVIHFAGLKAVGESQEKPIIYFDNNIAGSVQLVKSMEKAGVYTLVFSSSATVYDEANISPLNEEMPTGPSNNYGYTKLIVEQLLQKLSASNKWSIALLRYFNPVGAHKSGRIGEDPQGPNNLMPYVTQVAVGRREKLSIYGNDYETVDGTGVRDYIHVVDLANAHLCALNNRLDTTGCRAWNIGTGNGSSVLQVKDTFEKVNGVPVAFEFAPRRSGDVAISFADNSRSVAELGWQPQYGLEDMLADSWNWQKQNPVGYKVE-- 158421787 -----VLVTGGAGYIGSHMVLALVDAGEPVVVLDNLSTGFRWAVPSATFIEGDVADADLVARIVAEHDISAVVHFAARIVVPESVADPLGYYLANTVKTRALLDALVKADVPHMIFSSTAAVYGMVGNDPVAEDALLSPISPYGRSKLMSEWMLEDTAVATPLRYVALRYFNVAGADPAGRSGQSTAGATHLIKVACETALGKRAAMSVFGTDYPTPDGTCLRDYIHVSDLVAAHLDALNHLRRGGASGVYNCGYGRGYSVLEVIETVKAVSGVDFTVNYAPRRPGDPAAIVAKADRIRAAIGWTPRLDLETIVRHALDWER----------- 297840011 ----NILVTGGAGYIGSHTVLQLLLGGYNTVVIDNLDNSSLVSIQRVKFHQVDLRDKPALEKVFSETKFDAVMHFAGLKAVGESVAKPLLYYNNNLIGTITLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEESPLSGMSPYGRTKLFIEDICRDVQRGDPWRIIMLRYFNPVGAHPSGRIGEDPCGPNNLMPYVQQVVVGRLPNLKIYGTDYTTKDGTGVRDYIHVVDLADGHICALQKLDDTEGCEVYNLGTGKGTTVLEMVDAFEKASGMKIPLVKVGRRPGDAETVYASTEKAERELNWKANFGIEEMCRDQWNWASNNPFGYGS--- 282857706 ----NVLLTGGAGFIGSHTAVELIAAGHGVVIADDLSNSSAAVIERVPFYRIDVADKAALARLFAEHDLDAVIHFAGLKAVGESVAKPLLYYRNNLDTTLALLEVMAAHGCKRFIFSSSATVYGMTNTVPFTEDMPAGGTNPYGWTKFMIEQILRDAAAADAGMAAVLRYFNPIGAHESGLIGEQPQGPNNLMPYLCQVAAGKLPQLRVFGDDYPTPDGTGVRDYIHVVDLAKGHAAALDYAASHQGAEVFNLGTGHGSSVLDLIRSFERVNGVEIPYAIAPRRAGDIAACWADASKAERLLGWKAQKSLDDMCRDSWNWTKK---------- 210061043 MSTKTILVTGGAGYIGSHTAVELLAHGYDVVIADNLVNSKREAIARIEFHETDVSDERALARIFDAHPITAAIHFAALKAVGESVAKPIEYYRNNLDSLLSLLRVMRERAVKRIVFSSSATVYGVPERSPIDETFPLSATNPYGQTKLMAEQILRDVEAADPWRVATLRYFNPVGAHESGLIGEDPAGPNNLMPYVAQVAVGKLEKLRVFGSDYPTPDGTGVRDYIHVVDLARGHIAALDALERRDASLTVNLGTGRGYSVLEVVRAFEKASGRAVPYELVARRPGDVAECYANPAAAAETIGWKAERDLERMCADHWRWQENNPRGF----- 261252104 ----KVLVTGGMGYIGSHTCVQMIEAGMEPIIIDNLCNAKDAVLDRIEFYLGDIRDETFLDEVFNLHEIKAVIHFAGLKAVGESVAKPLEYYDNNVNGTLVLARSMRKAGVKSIVFSSSATVYGDPEVVPITEDSPTGTTNPYGRSKYMVEECLSDFNAENDWSITLLRYFNPVGAHPSGTMGEDPQGPNNLMPFIAQVAVGRRESLSVFGNDYPTPDGTGVRDYIHVMDLADGHIAALKTVGEKAGLHIYNLGTGKGSTVLEMVDAFGKACGAPIAYEMCPRRPGDIAECWASTDKAERDLGWKATRSVAEMTADTWKWQSNNPHGY----- 90414185 ----RVLVTGGMGYIGSHTCVQMIEAGMTPIIVDNLYNSKETVLARIEFFQGDIRDRAFLDKVLSENEIDAVIHFAGLKAVGESVVKPLEYYDNNVHGTLVLVEAMRAAGVNSLIFSSSATVYGDPASVPITEGFPTSATNPYGRSKLMVEECLTDIQLAYPMSITLLRYFNPVGSHKSGTMGEDPQGPNNLMPFISQVAVGRREFLSVFGDDYPTVDGTGVRDYIHVVDLADGHLAALNYKGSEAGLHIYNLGTGNGSSVIQMVDAFSKASGVEVAYKVAPRRPGDIAECWADPAKAKVELHWEAKLSIEDMTTDTWRWQSNNPNGYPD--- 256545570 ---KNVLITGGAGYIGSHVAVELLNKNYKVIVYDNLTNSSKISVKRIIFYEADILDEKKLSEVFEKENIDVVIHCAALKAVGESVKKPLEYYHNNISGTLSLLKIMRKYSCKNIIFSSSATVYGDPEKVPITEDFPKGCTNPYGWTKSMMEQIMTDLQKSDPWKVVLLRYFNPIGAHESGKIGEDPQGPNNLLPYIAQVAVGKLDYLRVFGDDYDTVDGTGVRDYIHVVDLAKGHVLSVDKIDKLEGVSIINLATGNGYSVLEVLKAFEKACGKKISYKIVERREGDIAKCFADASKAYEVLGWKAEKGIDEMCEDSWRWQSQNPNGYEEKE- 148652043 MSNK-ILVTGGVGYIGSHTCIELIAAGYEVVVYDNLSNSNLEALKRIAFIEGDVLDSKLLNQVFDEHDFFGVIHFAGLKAVGESVAQPLRYYKNNVTGTLVLLEVMQAHNVKNFVFSSSATVYGDPETLPIPETAPRSCTNPYGQSKLTVEHILQDAQADPSWSITCLRYFNPVGAHSSGSIGEDPNGPNNLMPYISQVAVGKLKQLSVFGSDYYTVDGTGVRDFIHVVDLAKGHVAALDYITYNQGFEAINLGTGNGSSVLQLVEAFEKVTGQKVPYQLVDRRPGDIAACYASADKAKHLLGWQAELTIEDMCKDSWRWQSQNPGGYK---- 142776368 ----RILITGGAGFIGSHTALVLLEQGHSLVVVDNFDNSSPEALRRVKAVEGDLRNPDVLDRAFRCDGVDGVIHFAGLKAVGESVADPLRYWDMNLNGSRVLAAAMERHGCRTLVFSSTSTVYGEPEEFPLHEGMTTAPVHPYAQTKLAVEQMLGALCRSGPWRVACLRYFNPVGAHPSGRIGEDPLGPDNLFPFITQVAAGRRDKLRVFGQDYPTPDGTGIRDYLHVMDLAEAHGVTLNHLLNQESPTQLNIGTGTGLSVLDVIQGFETATGLSIPYEVVARRPGDVPRLQACPRQAEAVLGWRARRGLEEMCRDGWAWQQANPMGYRS--- 127513213 MKGEKILVTGGAGYIGSHACVELLSAGHQLVVLDNLSRAKFESLARVEFVEGDIRDERTLDALFSHYHIDAVMHFAGLKAVGESTRLPLEYYDNNVVGSMRLLSAMTRHGVKTLVFSSSATVYGANPPLPIMEAAPRSSTNPYGQTKLVVEQMCAEWNAKQDVSVILLRYFNPVGAHESGLIGEDPKGEPNNLPYITQVAMGHRPYLSVFGSDYATTDGTGVRDYIHVMDLVQGHLAALTRLHGVAGCHTFNLGSGQGYSVLEMVRAFEQASGKDIALHMAPRRPGDIAASYACPDKAARELDWRVARDLSQMMQDSWRWQCRNPRGYS---- 115480267 -----VLVTGGAGYIGTHTVLRLLEKGFAVTVVDNFHNSVPEALARLDFIAGDLKSKDDMEKVFAAKRYDAVIHFAGLKAVGESVAHPQMYYENNVAGTMNLYSAMTKYGCKKIVFSSSATVYGQPEKTPCVEDSKLSALNPYGTTKLVLENYFRQVQAADPMRVILLRYFNPIGAHRSGDIGEDPRGPNNLLPYIQQVAVGRRPELNVYGVDYPTRDGTAIRDYIHVVDLADGHIAALEKLFATPDIGCYNLGTGCGTTVLEVVKAFEEASGKKIPIKICPRRPGDCTEVYASTDKAKKELGWSARFGIEDMCRDQWNWAKKNPYGYS---- 67468636 ----TALVTGGTGFIGSHTVVELIEIGYDVVIIDNLTNSHETVIKRITFYKADLLNIDEIDQILNKHTIDFVIHFAALKAVGESVSKPIEYYRNNLNGVLNLLDSMQRHNIWRIIFSSSATVYGEPEVMPVKETTPLQPSNPYGQTKAMTEQILTDFSKAHKASVILLRYFNPIGAHKSGLLGENPLGPTNLMPIITKVLVGKLPQLSVFGNDYNTRDGTCIRDYIHVVDLAQGHVAALKVLLKQQGLNIYNLGTGNGCTVLEVIHAMEKASGKKINYTIVGRRPGDIPAIYAECKKAEEELGWKAKLTLEDMCKDSWRWQTNYPDGI----- 309808346 ----NILVIGGAGYIGSHAVRKLLDSGYHIVVLDNLITGHRKAIPRAKFYQVDLLNTFLVSKILRNEKIDAVMHFAAYSLVSESVQKPLLYYQNNITGMISLLNAMNDAKVKYLIFSSSAATYGIPEKLPITEEAPLKPINPYGETKMMMEKMMLWADKANNIKSIALRYFNVAGATSDGTIGEDHRTESHLIPNILKCADSHDKIFTIYGNDYQTLDGTNVRDYVEVEDLIDAHILALKHLIKTNLSDVFNLGTTHGYSNLEILECAKRITATDIPYKFGPRRGGDPDSLVADSTKARTILGWQPKHNIDNIIASAWKWHQKHPNGYED--- 304310334 -----VLVTGGAGYIGSHTCVELLGAGHQVVVLDNFSNSHPESIVRVQKVEGDIRDEAQVAKTIREHNCTSVIHFAGLKAVGESVEQPLRYYDNNVQGTLCLLRAMGSCGVKTLVFSSSATVYGEPQQLPLVESHPLSATNPYGRSKLMIEEILRDLFREPDWRIGILRYFNPVGAHKSGTIGEDPQGPNNLMPYVAQVAIGRRERLSVWGSDYPTPDGTGVRDYIHVVDLALGHVKALDK-LKAPSCFEVNLGTGVGYSVLDVVRAFERASGRKVAYQLCERRPGDVASCYADPHFAASFLGWKAERDINAMCEDAWRWQEQNPQGY----- 309813038 ----RVLVAGGAGYIGSHTVLALIEAGHEPVIADNFSNSSPAVLPRIDLHEIDLTDAAATSALVASVKPDAVIHFAGYKAVGESVEKPLMYYTNNLDSTFSLLSAMTAHGVEKFVFSSSATVYGPDAPLPYSETEPLRATNPYGWTKAMIEQIVTDAAASPGFKAALLRYFNPIGAHSSGLIGEDPSGPNNLMPYIAQVAVGRREKLGVFGDDYDTKDGTGERDYIHVVDLAAGHVAALDHLDAMDDSRAFNLGTGEGTSVFELLHAFERACGRELPYEVGPRRAGDLPTTFADPTRAREELGWKAQKTIDDMCTDTWRWQSANPNGFG---- 119490781 --------TGGAGYIGSHVVKQLREIGYEVVVYDNLSTGSQTAVLYGELVVGDLEDKQKLDQVFAEHQFDAVLHFAASISVPESTANPLAYYGNNTRNTLNLLQCCEKYGVKKLVFSSTAAVYGETVENPVRESTPTAPINPYGYSKLMSEQMIKDYSQASGLKYVILRYFNVAGADLNGRIGQSNKKASHLIKVAIDAALNRRQSVSIFGTDFPTPDGTGIRDYIHVEDLAAAHLDALRYLQEDHESQIFNCGYGQGYSVKEVLAKVKEFSGVNFPVIEIERRAGDPGCVIACSDKIRQILGWKPQYNLDTIVSSALSWELK---------- 73539417 --SQTLLLTGATGYIASHTWVALLNAGYKVIGLDNLCNSSRVVVDRPHFVEGDVRDRALLDRLFAEHDITGAIHFAALKAVGESVSQPLEYYSNNLDGMLTLCAAMRDAGVKQLVFSSSATVYGNPHAVPILEDFPLSATNPYGQTKLMGEQILRDLEKSDPWRIAYLRYFNPVGAHKSGLIGEDPRGPNNLMPYVAQVAGGRREKLMVFGGDYPTPDGTGVRDYIHVCDLADGHLAALVCLRDRGQSMTVNLGTGSGYSVLEVVAAYQRASGRPVPYEIVARRPGDIASCYADPALAHQLLGWRARHGLDRMCEDSWRWQSTNPNGFD---- 261822313 ----NVLITGGSGYIGSHTCVQLLAAGHTPVILDNLCNSKASVVKAPIFYQGDIRDSALLDDILAKHSIDSVIHFAGLKAVGESVREPLSYYDNNVNGTLVLVEAMKKAGVKNLIFSSSATVYGDQPHTPYQESFPTHPASPYGRSKLMVEQILQDLQHEPEWSITLLRYFNPVGAHPSGEMGEDPQGPNNLMPYIAQVAVGRRDSLAIFGNDYPTVDGTGVRDYIHVVDLADGHIAAMNTLQNRAGVHIYNLGAGVGYSVLQVVEAFSQACGKPLAHHFAPRRQGDLPAYWADAGRAAKDLNWRVTRSLKEMAQDTWRWQSNHPNGYEE--- 225548205 -----ILVTGGAGFIGSHTCVELLDAGYDVAVVDNLYNSSRESLKRVRFYEADIRDEKAMNEIFDKEETEAVIHFAGLKAVGESVEKPIEYYENNIAGTLVLCNTMRNHGVKNIIFSSSATVYGDPAFIPITEECPKGCTNPYGWTKWMLEQILTDHTADPEWNVVLLRYFNPIGAHKSGKIGEDPKGPNNLIPYITQVAIGKLERLGVFGNDYDTPDGTGVRDYIHVVDLAVGHVRAVEKLREKAGVSVYNLGTGNGYSVLDMVKAFGKACGKEIPYEIKPRRAGDIATCYCDASKAAKELNWTAKRGLEEMCEDSWRWQSQNPDGYRK--- 116071591 ---RRVLITGGAGFIGSHTALVLLEQGYELVVLDNFDNSSPEALERVDFVEGDVRDLDAVNRAFDCGGPDGVIHFAGLKAVGESVADPLRYWDVNLNGSRVLAAAMEQHQCRTLVFSSTSTVYGEPEVFPLHEQMPTAPVHPYAQTKCAVEQMLGALCRSRDWRVACLRYFNPVGAHPSGRIGEDPLGPNNLFPFITQVASGRRDKLRIFGQDYPTHDGTGIRDYLHVMDLAEAHSVTLGHQATAPHQLTLNIGTGYGLSVLDVVHGFEQATGLPIAYEFVERRPGDVPKLEGCPQKAKDILGWSAQRDLAQMCRDGWAWQQANPMGY----- 90577845 ----RVLVTGGMGYIGSHTCVQMIEAAMTPIIVDNLYNGRIENLTGVKFYHGDIRDRAFLDKVFAENEIDAVIHFAGLKAVGESVEKPLEYYDNNVHGTLVLVEAMRAAGVNALIFSSSATVYGDPASVPITEDFPTSATNPYGRSKLMVEECLADIQHAHPMSITLLRYFNPVGSHKSGTMGEDPQGPNNLMPFISQVAVGRREFLSVFGNDYPTIDGTGVRDYIHVVDLADGHLAALNHKGSEAGLHIYNLGTGNGNSVLQMVDAFSKASGVEVAYRIAPRRPGDIAECWADPAKAKAELHWEAKLTLDDMTQDTWRWQSTNPNGYPD--- 228476385 -----ILITGGAGYIGSHTTVELLNAGFDVVLVDDFSNSSPAVLKKFPFHQGSILDTDFLDKVFTNEDIELVIHFAAFKAVGESVQKPLKYYKNNISGTITLLEKMKEYDVKNIVFSSSATVYGTNNPSPMTEDMPTSAINPYGYTKLMMEQILTDVAASDPWSVTNLRYFNPIGAHESGLIGELPNGPNNLMPYITQVAIGKLPELNVFGDDYPTPDGTGVRDYIHVLDLASGHLAAVKYNLSHKGAEIFNLGTGHGYSVLDLVKTFEAENKVAIPYRIQGRRAGDIATCYASPEKAKEVLGWEAKKTLKEMVRDSWRWQTTNPNGYED--- 123420788 -----ILITGGAGYIGSHTLIELAKAGYDFIVYDNLCNSSEESLKRVKFVKGDIRDRDALKSCFEKYKIDSVIHFAGLKAVGESVVKPIEYYKNNVNGTLVLLDVMRQFGCKKIIFSSSATVYGNPNAPLIKEDFPVGTTNPYGTSKYFIERILQDYVADKKMQIVLLRYFNPVGAHESGLIGEDPSGPNNLMPFISQVAVRKRPCLNVFGNDYPTPDGTGVRDYLHVVDLAIGHVKALDYLNAHPDSLIVNLGTGKGCSVLELVHAFEKVNGIEIPYKIVARRPGDLPTVVADPSYAKEVLGWTATRTIEDMVRDSWNWQSHNPNGY----- 142414724 -----VLVTGGAGYIGSHTVALLTQQQRDVVVLDSLELGTKSRIDTVPFFQGNISDERLIEKICKKHDITEVIHFAAYKAVGESMEQPLRYYNNNVGGTIDLVRALLANGVERMVFSSSAAVYGNPASVPVTEDSPLLPESVYAETKAVMERFLAS-CDAIGMRSVSLRYFNAAGASADSSIGEDWSMSQNLVPLVMKAILGFSGPLNVFGNDYPTPDGTCIRDYIHVEDLADAHVKALDYLEQGGASLACNVGTGRGTSVLEVIDIAEQVSGRKVPHVITSRRAGDPTSVYADPTLVRALLGWKATRDLRDIITSAWNWHSK---------- 196008197 MANSKILVLGGAGYIGSHTIVELVKAGYQPVVADNAFNSSPECVTRIQFYQVDMRDKEGLRNVFSKHNFYAVIHLAGLKAVGESVQIPLSYYSINIGGTISLLEVMKEFKVFNIAFSSSAAVYGDPQFLPITEDHPTRCANPYGRTKYFIEEIFKDLCKEPEWNVFLLRYFNPVGAHESGVIGEDPRGPNNLMPYVSQVCVGKREYLTIFGNDYDTHDGTGVRDYVHVVDLAAAHALAIDKMEGEHGCKIYNLGSGKGISVLDIIKEFEKASGNKVPYKIVDRRPGDVPKSYADVSLAEKELGWKTMKNLQEMCEDTWKWQSGNPSGF----- 294507799 ----RVLVTGGAGYIGSTVARQLVETGNDVIVLDNLSQGHRAAVPDDAFVHGDLNDRGLIDRTLAEHRPEAIMHFASHTLVGESMEEPFLYLDENVRCGMNLMKSAVEHDVDRFILSSTANLFGTPERIPIDEDVTVDPGSPYGESKFILERTLHWLDETEDLSYAALRYFNAAGAAGPNQ-GEDHAPETHLIPIVLEVALGQRDKIVIFGDDYDTPDGTCVRDYVHVLDLAQAHVLALNALDN--GSRVYNLGNGKGYSVREVIKTARRVTGHEIPVEEGAPRPGDPPVLIASSDKIREELGWAPEHSLDDIIGSAWEWHRRHPNGYD---- 114331854 ----KVLVTGGAGYIGSHTCVELLTAGYEVVVFDNFCNSHPEALRRVEKVRGDVRDQATIEKVLKDYHCEAVIHFAGLKAVGESVEKPLEYYDNNVISTLRLLAAMQNCAVYTLVFSSSATVYGEPQRLPLTEDHPLSATNPYGRSKLMIEEMLRDYRANPQFRIAILRYFNPVGAHDSGLIGEDPQGPNNLMPFVAQVAVGRREHLNVWGSDYPTHDGTGVRDYIHVVDLALGHLSALGH-LATPQCLAVNLGTGTGYSVLDVVKAFENASGQHVPYQLSPRRAGDVATCYADPALAEKILEWNAQRDLAAMCRDHWRWQKCNPEGY----- 217072660 -QNKTVLVTGGAGYIGSHTVLQLLLGGFKTVIVDNLDNSSAEFGKNLNFHKVDLRDKAALEQIFSSTKFDAVIHFAGMKVVGESVQKPLLYYNNNLIGTITLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLSAANPYGRTKLTIEEICRDVNRADDWKIMLLRYFNPVGAHPSGYIEDPHGIPNNLMPFIQQVAVGRRPALTVFGNDYKTVDGTGVRDYIHVVDLADGHIAALRKLEEADGCDVYNLGTGKGTSVLEMVRAFEKASGKKIPLVKAGRRPGDAEIVYASTKKAERELKWKAKYGIDGMCRDQWNWASKNPYGYGS--- 257065420 ---QTILVTGGAGFIGSHTCVELLQTGYDVVIVDDLSNSHVKAVERVRFIQADILDKESLSAVFDTHDIHAVIHFAGLKAVGESVRKPLEYYHNNLTGTFVLCDVMREHGCKNIVFSSSATVYGEGNPVPYVETMQKHPTNPYGWTKWMIEQVLTDLSAADPWNVVLLRYFNPIGAHPSGLIGEDPKGPNNLVPYVAQVAVGKLEAVGVFGNDYDTPDGTGVRDYIHVVDLARGHIAALKWMEGRSGTEIVNLGSGKGSSVLDVIRSFSRACGRDLPYVIKPRRDGDLAETYADASKAKELFGWETQYDLDRMCEDSWRWQSSNPNGYKD--- 136320281 ----KVLVVGGAGYIGSVCAAVLRQHDFEVVTFDDLSTGHEEAV-QGPLIKGDIRDRDHLRSVLTDGHFDAVMHFAAKSLVGESVSNPLRYYDVNMGGTASLLQAMQEAEVHSLVFSSTCAIYGNPEYLPLDEHHPKNPVSPYGASKKMVEEMLAACREKEDLRVTTLRYFNAAGCMPDGSLGESHKTETHLIPLAISAALGDRPPLSLFGDDYDTRDGTCIRDYIHVLDLADAHVRALNRLIDGDPGSAFNVGTGVGTTVREVLASIERCLGKPVPHEVTARRAGDPPALFARADKIRQELDWAPQWDIDDIVSTAVRW------------- 148229632 MNGK-VLVTGGGGYIGSHCVLELLEAGYTPVVIDNFHNAIRGANKTIEFEEVDMLDRVALDELFNKHKFSAVLHLAGLKAVGESVQKPLLYYKVNLTGTIQLLEVMNSHGVKNIVFSSSATVYGDPSYLPIDESHPVGGTNPYGKTKYFIEEMIKDQCKETDWCAILLRYFNPIGAHVSGKIGEDPQGPNNLMPYVSQVAVGRREFLNVFGNDYNTPDGTGVRDYIHIVDLAKGHIAALKKLETTSGCKVYNLGTGTGYSVLEMVKAMEKASGKKIQHKIAPRREGDIATCYADPALAKAELGWKAEYGLDRMCEDLWRWQSENPTGFS---- 118593535 ----TVLITGGAGYIGSHCCVAFLQAGHDIVVVDNLSNASQVSLERVAAETADIRDQSRMEEILKKYRCTAVVHFAGLKAVGESTRIPLAYYDNNVVGTHRLLSAMANCGVKQMIFSSSATVYGDPQFLPLTEDHPTSAVNPYGRTKLMIEEMLRDVAASDPWRFGILRYFNPVGAHESGLVGEDPQGPNNLMPFITQVADGRREELSVFGNDYDTPDGTGIRDYIHVIDLVEGHHEVLGSGAAPSNCFAVNLGTGNGYSVLEMVKAFERASNRQIRYSIAPRREGDVAQCYANTEFAEKLLNWKAERGLEDMCRDTWNWVCKNPRGYE---- 224058243 ----NILVTGGAGYIGSHTVLQLLLGGYNTVVVDNLDNASDIALKRVKFHQVDLRDKPALENVFAETKFDAVIHFAGLKAVGESMQKPLLYFNNNLIGTITLLEVMAAHGCKQLVFSSSATVYGWPKEVPCTEEFPLSAANPYGRTKLFIEEICRDYSSDSEWKIILLRYFNPVGAHPSGYIGEDPRGPNNLMPYVQQVAVGRRPHLTVFGTDYPTKDGTGVRDYIHVVDLADGHIAALRKLSEANGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVMADRRPGDAETVYAATEKAERELSWKANYGVDEMCRDQWNWASKNPYGYGS--- 262402283 ----KVLVTGGMGYIGSHTCVQMIAAGIEPIIIDNLCNAKLAVRKRIEFYQGDIRDESFLDSVFSQHRIHAVIHFAGLKAVGESVVKPLEYYDNNVNGSLVLARCMRKVGVKRLVFSSSATVYGDPQHVPITENSPTGTTNPYGRSKHMVEACLSDFQAEKDWSITLLRYFNPVGAHPSGTMGEDPQGPNNLMPFIAQVAVGRREALSVFGSDYPTPDGTGVRDYIHVMDLADGHIAALKAVGEKEGLHIYNLGTGKGSSVLDMVDAFANACGKPVPYELCPRRAGDIAECWASTAKAESELGWKATRTIAQMTADTWRWQSNNPNGYAD--- 27381423 -----ILVTGGAGYIGSHVCIALLDAGFDVVVVDNLSNSNKASLERVQFRHADIRNEELIYDMLRTCGVTAVIHLAGLKAVGDSNVRPMMYYENNVLGTMRLLSAMERANVTTLVFSSSATVYGMPQYLPLDEKHPLGPTNPYGRTKLVIEEMLKDLCRSHGWRIGNLRYFNPVGAHESGLVGEDPLGPSNLVPFVAQVAIGRREKLRIWGNDYDTPDGTGIRDFIHVVDLASGHLSAL-RQLRQPGLITVNLGTGNGSSVLDIVRTFESVSGRSIPYEIGERRAGDVAACYADPTVAKDALGWASTRSLEQMCADHWRWQLQNPNGY----- 299131844 ----TVLVTGGAGYIGSHTVLALVESGASVVVIDNLSTGFSTALPEGPLFIGDAADENLVEGVIAAHGITSIIHFAGSVVVPDSMRDPLAYYRNNTMTTRNLLNAAIKGQVKNFIFSSTAAVYGNPEQMPVPEIAPTRPLSPYGSSKLMTEIMLHDVATAHDLNYVVLRYFNVAGADPQARIGLQTAGATHLLKIAVEAATGQRAKIDVFGTDYPTPDGSCIRDFIHVSDLAQAHLAALSYLAQGGESVTLNCGYGRGYSVLETIEAVRRVSGRNFAVQMSPRRPGDIMTMIADTTRINAMLDWTPRYDLDTIAAHALAWEEKLQDRLANRQE 260767727 ME---ILITGGMGYIGSHTCVQMIAAGMTPIMVDNLCNAKAEVLVRPAFYQGDIRDEAFLDSVFAQHHVQAVIHFAGLKAVGESVSKPIEYYDNNVNGTLVLVRSMRKAGVKSLVFSSSATVYGDPQTVPITETSPTGTTNPYGRSKYMVEQCLSDLVAAPEWSITLLRYFNPVGAHPSGTMGEDPQGPNNLMPFIAQVAVGRREKLAVFGNDYPTPDGTGVRDYVHVMDLADGHIAALQAVGEKAGLHIYNLGTGKGSSVLDMVHAFSDACGKPIAYDICPRRPGDIAECWASTEKAQRELGWQAKRSLADMTADTWRWQSHNPLGYDE--- 251790968 -----ILVTGGAGYIGSHTVLLLIENGYDVIVLDNLTNSSNISLKKIKFIHGDINDCFCLAEIFKGNNIDAVIHFAALKSVGESVKEPLKYYINNVSGTLTLLDEMKKANVNKFIFSSSATVYGNNAPVPNLEDYPIGNASPYGTTKVMIENILSDCVSDSSMKVIALRYFNPAGAHYSGLIGEDPNGPNNLIPYISQVAVGKLDKLLVYGGDYETIDGTGVRDFIHIMDLAAGHMHALKYLDSMTGYETFNLGTGKGVSVLEVIRSFEKASQRNINYEIVERRKGDIASSWANVAKAKTVLGWTAKHDIDDMMRDTWNWQRKNPAGYNS--- 291550887 -----ILVTGGAGFIGSHTCVELLNAGYDVVIADNLYNASEKAVKDLKFYKADIRDKEAMNEIFEKEEIESVIHFAGLKAVGESVVKPLEYYENNIAGTLVLCDVMRNHGVKNIIFSSSATVYGDPAFIPITEECPKGTTNPYGWTKWMLEQILTDHTADPEWNVVLLRYFNPIGAHESGLIGEDPKGPNNLIPYITQVAIGKLECLGVFGDDYDTHDGTGVRDYIHVVDLAVGHVRAVEKLKEKAGVSVYNLGTGNGYSVLDMVKAFSKACGKEIPYQIKPRRAGDIATCYCDASKAKEELHWVAERDLNKMCEDSWRWQSMNPNGYED--- 302336333 MSEKKVLVTGGAGFIGSHTVVELLRGDYQVIVVDDLSNASEERAQRLSFYRADVRDRADLERVFDDNEIDRVIHFAGFKAVGESVTKPIEYYSNNLGNTLTLVDVMRGHGCKSIIFSSSATVYGDPDSLPLTEASPKKPTNPYGWTKWMIEQVLSDLVADPEWNVVLLRYFNPIGAHPSGLMGEDPKGPNNLLPYVAQVAVGKRDCVHVFGDDYDTPDGTGVRDYIHVMDLASGHVAALGWMNGRSGCETFNLGTGRGTSVLEIVRAFSRACGRELPYVIEPRRAGDVTANYADCSKAREEMGWQARFGIDDMCRDSWNWQSQNPNGYE---- 167768490 -----ILVAGGAGYIGSHTCVELLNAGYEVVVVDNLYNSSEEALKRVKFYEADVLDREALEKIFDAEDIDSVINFAGLKAVGESVQKPLEYYHNNITGTLILCDVMRNHGVKNIIFSSSATVYGDPAFIPITEECPKGQINPYGQTKGMLEQILTDFHADPEWNVVLLRYFNPIGAHESGLIGEDPKGPNNLVPYIAQVAVGKLEKLGVFGDDYDTPDGTGVRDYIHVVDLAKGHVKALKKFEEKPEVRIYNLGTGIGYSVLDVLHAYEEACGKTLPYEIKPRRAGDIATCYCDATKAKEELGWAAEKGIKEMCADSWKWQSMNPDGYRTE-- 295099757 ----KILVTGGAGYIGSHTCVELLNEGYEVVIVDNLYNSNQKAVKKVTFYQNDILDREALDQIFTKENVDAVIHFAGLKAVGESVRKPIEYYTNNIQGTLILTDVMRKHNVKNIIFSSSATVYGNPAMIPITEECPKGCTNPYGWTKWMLEQILTDHTADPEWNVMLLRYFNPIGAHESGLIGEDPKGPNNLLPYIAQVAIGKLECVGVFGDDYDTPDGTGVRDYIHVVDLAKGHVKALNKIKEKAGVKVYNLGTGNGYSVLDVIHAFEKACGHEIPYQIKPRREGDIATCYSKCDLAKEELGWQAQYNLDDMCASSWKWQTMNPNGYND--- 219847074 ----KILVTGGAGYIGSITSAELIRAGHEVIVIDNLYQGHRAAVPEAVFIEADLADRKTLSEIFAAYGIDGIMHFASYTLVGESMEKPFLYLRDNLTNAANLLEAAIAAGVRRFILSSTANLFDRPERIPIAEHERIVPGSPYGESKFFIERTLHWMARIYGMRYACLRYFNACGDTPDR--GEDHDPETHLIPIVLQVALGQRPHVTIFGDDYPTRDGTCVRDYIHVLDLASAHILAMEALDRLGE-RRYNLGNGQGFTVREVIETARKVTGHPIPAVVGPRRPGDPAVLVASSDTIRAELGWQPQYDLETIIASAWEWHRTHPYGYDDK-- 197337809 ----KVLVTGGMGYIGSHTCIQMIEAGIEPIILDNLENSKVAVLIRPVFYQGDIRDEAFLDSIFAEHSISSVIHFAGLKAVGESVAKPLEYYDNNVNGSLVLARSMRKANVKSIVFSSSATVYGDPAIVPITENSPTGTTNPYGRSKYMVEECFSDFDAENDWSITLLRYFNPVGAHPSGTMGEDPQGPNNLMPFIAQVAVGRRESLSVFGNDYPTPDGTGVRDYIHVMDLADGHIAALKAVGEKAGLHIYNLGTGKGSSVLEMIEAFAQASGKPVPYTLCPRRAGDIAECWASTEKAEKELGWKATRNVMEMSADTWRWQSNNPEGY----- 262200606 ----RVLVSGGAGYIGSHTVIRLIEAGHDVVVVDDFSNAKPTVVGRIPVHAFDLADIDKTEHFFAHENVDAVIHFAGYKAVGESVAKPLDYYQNNLGTTFSLLRAMNRHDVHTLVFSSSATVYGAEPQLPITEDLPTSATNPYGWTKVMIEQILSDAAADTTLRIAALRYFNPVGAHPSGQIGEDPSGPNNLMPFVAQVAVGRREKLSVFGDDYDTPDGTGVRDYIHVDDLAAGHVAALDRISTADPGMSTWNGTGQGLSVLEVVKAFERASGRPIPYEIAPRRPGDIAASYADPSRANTELGWYATKTIDDMCADTWRWQSGNPNGYPD--- 299532668 MKT---LLTGGAGYIGSHTAIELISHGHEVVIFDNFSNSHPEAIRRVEQINGDVRNQAALEQALQEHGIDSVVHFAGKKAVGESVAQPVDYYDNNVNGTLVLLRAMKKHNVRNLVFSSSATVYGSPQYLPLNEVHPTSVTNPYGRSKLMVEEILSDYHSDSQWSLAVLRYFNPIGAHASGLIGEDPDIPNNLLPFVAQVAVGRREKLQIFGGDYDTVDGTGVRDYIHVVDLARGHVKALEKGLAKPELLTINLGTGNGYSVLEVLHAFEKACGKTLPYTITDRRPGDVASCYADPDHALKTLGWQAEHDLDTMCADTWRWQSQNPNGYRS--- 84387214 ----KVLVTGGMGYIGSHTCIQMIQAGMEPIIVDNLCNSKELVLKRPTFYLGDIRDQSFLDSVFSENDIQAVIHFAGLKAVGESVSKPLEYYDNNVNGSLVLARSMKKAGVKSIVFSSSATVYGDPEVVPITESSPTGTTNPYGRSKYMVEECLSDFNAENDWSVTLLRYFNPVGAHPSGTMGEDPQGPNNLMPFIAQVAVGRRDKLAVFGNDYPTVDGTGVRDYIHVMDLADGHVAALKAVGEKAGLHIYNLGTGKGSSVLEMVEAFAQASGKPVPYELCPRRAGDIAECWASTEKAERELGWKATRSVMEMTADTWNWQSNNPNGYS---- 288928407 --KQTILVTGGTGFIGSHTTVELQQAGYDVVIVDNLSNSNAEVVIRPAFEKVDCCDKQALEAVFAKYKDIGIIHFAASKAVGESVEKPLLYYRNNIVSLLNLLELMPVYGVKGFIFSSSCTVYGQPTHLPVTEDAPQEACSPYGNTKQINEEIIRDDIHSAPIKSVILRYFNPIGAHPSALIGELPNGPNNLIPFVTQTAMGIRKELKIFGNDYDTPDGTCIRDYIYVVDLAKAHVKAMQRDMDTEPIEYFNVGTGRGVSTYEVVDKFEKATGVKVNWSYAPRREGDIEKVWANPDKANTVLGWKAETSLEDTLRSAWNWQLK---------- 144162055 LTSMTILVTGGAGYIGSHAVRALQRQGFRVVVLDNLVYGHREQVLQVPLVVGQVGDRPLLDQLLSGEHPAAVLHFAAYAYVGESVADPARYYRNNLGDSLTLLEALLAEGQRRLVFSSTCASYGDPDQIPIAETCLQRPINPYGRSKWMVEQLIADFAAAYGLPSVIFRYFNAAGADPAGDLGENHTPETHLIPLVLDAMSGRIPYLQIFGDDYPTADGTCIRDYIHVCDLADAHALGLRKLLTEGGNHVFNLGNGTGYSVQQVIDTAKAVTSRGLLAHVAPRRPGDPPVLVASAERARRVLGWQPCYPLSTILSHAWAWHQ----------- 143130038 ----KILVVGGAGYIGSHMIKRFQNTDHQIEVLDNLSTGFKENSQNYKFHMCDLSNKEQVHKILKENKYEMVMHFASSINVGESYDHPMKYYENNVTNTLNLLECMIDLKILNFIFSSTAAVYGEPESIPIKEEQKLSPINPYGKTKSVVESILSDYDKSYGLKYISLRYFNACGAHIDGTIGERHNPETHLIPLILQTASGRRNNFKIYGDDYKTKDGTCIRDYIHVMDIAEAHLLSLEKLIQTQTSDIYNIGNKQGYSVREIIDVVEKVTQIKISYEISEKRKGDPAELIADNSKISEKLNWKAKYSLYTIINTAWEWERK---------- 89111290 ----RFLVTGGAGYVGSHVVLALLDDGHDVVVFDSLRTGHRAAVPEASFVHGDLADLDCLNKVLAEGPWDGVLHFAALSLVGESMQDPMLYMSANAGIGFGLIDACVRHGIKRFVFSSTVGLFGSAGDAPISEDTPVDPGSPYGESKYMVERALYWADRIHGLKSACLRYFNAAGADPAGRAGEDHRPETHLIPLVIDAALQRRPALTLFGQDYPTPDGTCIRDYVHVTDLARAHLAVLPLLNEK--SVTFNVGTGRGNSNREIIESVGRVTGLDVPWKAGDRRPGDPPCLVASPARLMEATGWAPETDIDRIVETAYAWRKVHPQGYG---- 288963208 -----VLVTGGAGYIGSHVVLALRDQGRAVLVLDDLSSGRRSAVPDGPLVEGDAGDRALLADIFARHGVGTVMHFAGSIVVPESVERPLAYYRNNTVKSHALIEACVEAGIGRFIFSSTAAVYGMPDRLPIDERTPTKPINPYGSSKLMTEWMLRDSAAAHDLRYVALRYFNVAGADPQGRSGQVSKVATHLIKIAAQTVTGQRAELQIFGDDYDTPDGTCVRDYIHVSDLADAHVAALRHLEAGGASEVLNCGYGRGHSVREVLAMVERVTGRPLPMRIGPRRPGDPPALVAGVERIGRTLDWTPRHDLETIVTSALTW------------- 51244859 MGASRILLTGGAGYIGSHTCLELLEAGYQVTVVDDLSNSSYEALKKVTFHQVNVFDEQALDAVFAPESFAAVIHFAGLKVIGESVAEPLRYYHNNITGTLVLCGLMAKHGVKNIIFSSSATVYGDPARVPITEDFPLSCANPYGRTKLMVEEILADHGADHEWNACLLRYFNPVGAHKSGRIGEDPDGPNNLIPYIAQVAIGRLDCLSVFGNDYPTVDGTGVRDYIHVVDLAKGHVCAVKKVLEGRGVTTYNLGTGLGYSVLEIVDAFSRACGRDIAYKIVARRAGDIAACYADSAKALTELGWSASLGLAEMCEDTWRWQENNPTGYRS--- 117928883 ----KVLVTGGAGYIGSVVTRVLIEDGHDVVVLDDLSTGHRDAVPAGPFVHADIADAGD---VLAREPFDGVLHFAAKSLVGESMNRPELYWATNVCGTRHLLDAMRRHSVPRLIFSSTAAVYGEGGPDGIGEDTPPRPTSPYGTSKLAVDLMISDECRAYPLGAVSLRYFNVAGA--YGPCGERHRTETHLIPITLDVAAGRRPHLEIYGNDWPTPDGTCMRDYIHVLDLARAHVVALQH-ARPGHHAIYNLGNGRGFSVREVVAAVERVTGRRVPVTVAPRRPGDPAWLVADDSRARAELNWQPQADLDTIIADAWAFHQQ---------- 121603968 ----NILLTGGAGYIGSHTCVALIEAGFTPVILDNFSNSHPAVLERLRCEKGDVLDTPWVTDLLLRHEIAAVLHFAGDKAVGESVAQPLKYYRNNIGGAVSLLDAMQATDCRTLVFSSSATVYGDPASVPITEDFPRQHTNPYGHTKLVIEDMLAAMRAADSWRLGVLRYFNPVGAHPSGLIGEDPSGPNNLMPFVAQVAIGKRPFLNVYGNDYATPDGTGVRDYIHVQDLAEGHVAALQALLEKGESFTVNLGTGRGNSVLEVVKAFEQASDQPIPYQIAPRRAGDVAQCYAETALAKTLLGWSAKHSLAGMCADAWRWQSGNPDGYK---- 170717485 -----ILVTGGAGYIGSHTVVELLNHHFEIVVLDNLSNSSEVSLKNITFYQGDILDREILQRIFSTHKIEAVIHFAGLKAVGESVKKPLHYYQNNVNGSITLLEEMLKAGIYNLVFSSSATVYGDPEIIPITETCKVGTTNPYGTSKFMVERILQDAVSANHLSVVILRYFNPVGAHESGLIGEDPNGPNNLLPYISQVAVGKLPQLSVFGSDYDTHDGTGVRDYIHVVDLAIGHLKALEKHQNDPGCHIYNLGTGIGYSVLDMVKAFEKANDIQIPYKLVERRPGDIATCYSNPQKALEKLGWKTERDLTQMMKDTWNWQKNNPNGYKS--- 283841286 ----TVLVTGGAGYIGSHTVLALVEAGESVVVIDNLSTGFSQFVPEGPLFIGDAGDENLIDGVIASHRVDAIIHFAGSVIVSDSMRDPLGYYRNNTSTSRSLLSAAVRRGVKKFIFSSTAAVYGNPDRVPVGEDAPTRPLSPYGRSKLMTEIMLHDAAEAYGVNYVALRYFNVAGADPLGRLGLATVGATHLLKIAVEAATGQRSRIDVYGTDYPTPDGSCIRDFIHVTDLAEAHGAALGYLRAGGGPVTLNCGYGHGYSVFEAINAVKRVAGRNFAVASAPRRPGDIMTMVADTSRIRRTLDWTPRYDLDSIAAHALAWEEK---------- 168013276 ----HVLVTGGAGFIGSHAALRLLEDGHRVTIVDNLSRGNMGAVERLQFIYCDLGDSKRVNEIFKENAIDAVMHFAAIAYVSESMVDPLRYYHNITFNTLTVLEAMKTYGVKKLIYSSTCATYGEPEMMPITETTPQVPINPYGKAKKMSEDLIQDFAKNSDFSVMILRYFNVIGSDPKGRLGEAPRPELRKHARCFDAAMGIIPGIQVRGSDYNTTDGTCIRDYIHVTDLVDAHVKALEK-AKRAEVSVYNVGTGKGSSVKEFVEACKFATGVNVTVTILDRRPGDYAEVYSDPSKIRRELNWVAQHDLESSLSDAWRWRKQHPNGYS---- 227516773 MEHQCVLVTGGAGFIGSHTCVVLLSAGYDVVIVDDLSNANDEAFAHLTFYQANVCDANALDSVFAKHNISSVIHFAGFKAVGESVSKPVEYYSNNLMSTLVLTQVMKKHGCRSIIFSSSATVYGDPDSLPLTEESPKNATNPYGWTKWMIEQILSDIVADPSYNVVLLRYFNPIGAHPSGLIGEDPRGPNNLLPYVAQVAVGKREYVHVFGNDYPTPDGTGVRDYIHVMDLATGHLAALRWMQGKQGCEVFNLGTGKGTSVLEIIHAFSEACGKELPYKIEPRRPGDVATNYADCSKAYKEMGWKAQYDIKDMCRDGWKWQSSNPSGYE---- 163761325 ----TVLVTGGAGYIGSHMVWALVDANEDVVVVDRLSTGFAWAVPEAKLIQADIGDTAAVADIIRTHSVDSIIHFAGSISVPESLADPLAYYQNNTVNSFGLVRAAIETGVRNFVFSSTAAVYGTPDNHPITETAPTRPQSPYGTSKLMTETMLADAAVVHDFRYVALRYFNVSGADPKGRTGQSTRGAVNLIKVATETAMGKRDAMEVFGTDYPTRDGTCVRDFIHVTDLVAAHVDALGYLRKGGQSLVANCGYGRGYTVNEVLESVRRVSGRDFEVRHAPRRTGDIITSVANSTRAREALSWEPRHDLDEIVSSALAWYLR---------- 88808095 ----KILVTGGAGFIGSHTCLVLLDAGHELVVVDNLSNSSLASLNRVQFVEGEIRDTPCLEAIFQQAEIDAVIHFAGLKAVGESVAEPLRYWDVNVHGTLSLLKVMANHGCHTFVFSSSATLYGYPDHGPIPETAAIQPINPYGYTKAAAERLLLATSAQELWRIACLRYFNPVGAHPSGQIGEDPLGPNNLFPFVSQVAVGRRECLHVFGGDWPTPDGTCIRDYIHVMDLAEGHRAALDCLLEEPPQLSLNLGSGRGISVLEVIQAFAEASKRPIPHAIVSRRPGDAAITVADPAEALRRLGWQTQRSLQDICRDGWAWQSANPQGYASE-- 257067157 ---KNVLITGGAGYIGSHIAVELLDKGYKVSLYDNLSNSSKLAVKRPNFYEADILDKKSLKEVFIKDKIDVVIHCAALKAVGESVKKPLEYYHNNITGTLTLLEVMRDVNCKNIIFSSSATVYGDPERVPITEDFPKECTNPYGWSKSMMEQIMTDHTADPEWKVVLLRYFNPIGAHKSGKIGEDPKGPNNLLPYISQVAIGKLDHLSVFGDDYDTHDGTGVRDYIHVVDLAKGHVLAVDKIDELNGVEIINLATGNGYSVLDVVKAFEKASGRDVPYQIAPRREGDIAKCFADAKKAEEVLGWVAENGIEEMCEDSWRWQSQNPNGYEQ--- 225709368 ---QKVLVTGGGGYIGSHCVVELIEAGFCPVVIDNFSNARIEKILDIEFHELDLLDRPGLEKLFKQHSFSAVMHFAGLKAVGESVEQPLRYYQVNLTATMNLLEVMQTHGVRNLVFSSSATVYGDPQWLPIDEQHPVGGTNPYGKTKYFIEEMIMDQCKEKDWNAVLLRYFNPIGAHSSGLIGEDPQGPNNLLPYVAQVAIGRRKHLNVFGNDYDTIDGTGVRDYIHVVDLAKGHIAALKKLKDNCGCKVYNLGTGTGYSVLQMVKAMEKASGIEIMYLIAPRRGGDVASCYADPRLAEKELGWKADFNLERMCKDLWRWQSKNPTGFTN--- 222084824 ----TVLVTGGAGYIGSHMVWRLLDAGEDVVVVDRLSTGFRWAVPAARFYLGDAADETLLQTIFAENDIDAIIHFAGSSVVPASIEDPLGYYENNTGKTRTLMSAAIRAGIRHFVFSSTAAVYGSPADRPVKESAPLLPETPYGQSKLMSELMLRDAATAYDFRYVALRYFNVAGADPQGRAGQSTDGATHLVKVACEAALGRRRQVEIYGTDYPTHDGTGVRDYIHVSDLVDAHLMALRHLRDGGRPLVANCGYGVGYSVLDVLNMVMRVHGRAFRIHMAPRRPGDTASVVADATLAHRELGWTPKYNMETIVRSSLEWELQ---------- 91793948 ----TILVTGGAGYIGTHTVVELLNAGQEVIIIDNLSNSCLEALKRMSFYQGDILNKPLLQKIFTDNKIDSVIHFAGLKAVGESVQKPLKYYETNVTGTLVLCEVMAEFKVKNLVFSSSATVYAETLKLPITEDFPLGASNPYGRSKLMVEEILNDYISDNSWNIARLRYFNPVGAHESGLIGEDPNDPNNLMPFIAQVAVGKREKLHIFGDDYPTHDGTGVRDYIHVVDLAKGHLLALNKLATKPGLVTYNLGTGKGGSVFDMLKAFESACGKPIAYQVSPRRAGDLACYYGDPSLALAELGWQAKYNLDDMAASSWHWQSTNPNGYRSR-- 182416994 ------IVLGGAGYIGSHAVNKLIENNYDVIVVDNLQSGHEEAINKAKFYKGDIRDKNFLSNVFKKENIDGVFHFAANSIVGESMKEPLMYFNNNVYGMQILLEVMNEHNVNKIVFSSTAATYGEPKQVPITEDMETCPTNTYGETKLVMEKMMKWCDKAYGMKYVALRYFNVAGAEHDGSIGEDHNPETHLIPIVLQTALGKRDHITIFGDDYDTEDGTCVRDYVHVVDLVEAHILAMKYLTHGGESNTFNLGSSQGFSVKEIVETARKVTDKNIKAIIGERRAGDPSKLIASSDKARKILGWNPRTNIENIIKDAWLWHDTHKNGY----- 135260814 -----ILVTGGAGYIGSHTCVELLEHDQEIIVFDNLSNSSLKSLERVQFVEGDIRDPQALEGLFSKHHIDAVIHFAGLKAVGESVKEPIMYYDNNVVGTLRLLQAMKTANCKKIVFSSSATVYGDPASVPIKENFPTSATNPYGQSKLMIEEILNDYESDPSWKIAILRYFNPIGAHASGLIGEDPNGPNNLLPFVSQVAVGLRPTLSVFGGDYPTCDGTGVRDYIHVVDLAKGHLKALNNKVEQAEVITVNLGTGHGYSVLQIVQAFEQASGKPVAYQIVDRRPGDIAECFADTDYALQKIGWKAEFGVERMCQDAWRWQSQNPNGY----- 225875013 ----RILVTGGAGYIGGTVAGLLVSQGHDVVVLDNLGHSKRSMIPAGTFVEADLADRATVESTLRD-GIEAVLHFAALIEAGESMQVPERYFRNNTASTLTLFEAMLAAGVNKLVFSSTAAVYGEPESTPIREDAKLQPTNAYGESKLLVEQMLAWLHRCHGLRYASLRYFNVAGAMPGR--GEAHEPESHLIPLILDAAIGRRAAIKVYGSDYPTPDGTCIRDYIHVRDLAKAHLLALDALNDESRPGRYNLGNGQGFSVREVIETARRVTGREIPVIEETRRPGDPAVLVASSEKIRQDLGWTPELSIEQILTSAWEWHQQRYE------- 304382702 --KQTILVTGGTGFIGSHTTVELQQAGYRVVIIDNLSNSKAEVITRPDFEQADCCDKQALEAIFAKYKDISIIHFAASKAVGESVEKPLPYYRNNIGSLLNLLELMPQYGVHGIIFSSSCTVYGTPEHLPVTEDAPQQALSPYGNTKQINEEIIYDYIHSAPVKAIILRYFNPIGAHPSAYIGELPNGPNNLIPFVTQTAMGIRKQLRVFGNDYNTPDGTCIRDYIYIVDLAKAHVKAMERVLNQDAIEYFNIGTGKGVSTLEVIKAFEKATGVKLNWTFAPRREGDIEKVWANPEKANRVLGWKAETNLEDTLASAWKWQQR---------- 306833098 -----VLLTGGAGFIGSHTAVELISAGYDVIIVDDFSNSSQEVLNRIPFYKGSILDKNFLNNVFYENDIEAVIHFAAFKAVGESVEQPLSYYQNNLTGTLTLLEVMKNYHVNHIVFSSSATVYGMNNVSPLTEDLPTSATNPYGYTKVMIEQILTDAYADKDLSVTNLRYFNPIGAHESGLIGEAPNGPNNLVPYISQVAVGKLKELSVFGNDYDTPDGTGVRDYIHVVDLAKGHVAALKKNLASKGVAVYNLGTGHGYSVLDLVKAFEEVNHVSVPYIIKDRRAGDIATCYADASKAKEELGWTAQKTLQDMMRDSWRWQNQNPNGY----- 91086129 --NGTILVTGGAGYVGSHTVVELLNNNYSVIAIDNLVNCYAEKNKQITFYNVDIRNKEALDKIFKTHKIDAVIHFAALKAVGESVQVPLMYYQNNIAGSSTLFEVMQNNGVKKVVFSSSATVYGLPQHLPITEAHPQNCTNPYGKTKFFVEEILKDVCTSDPWKVILLRYFNPVGAHSSGLIGEDPSGPNNLMPYISQVAIGRREKVFVFGNDYSTKDGTGVRDYIHITDLAIGHLKALEKMNEADFKGWYNLGTGQGYSVLDLINAFAKASGQKINYEVIKRREGDIASSFADPSLAKKELNWTATRGIDEMCRDTWNWQKLNPNGFQKK-- 218462554 -----VLVTGGAGYIGSHMVWALIDAGEDVVVLDRLSTGFRWAVPAARFYLGDIADADILKKIFIENDVEAIIHFAGSAVVPVSVADPLSYYDNNSGKTRALLSASIKAGIRNFVFSSTAAVYGQQQNDPVKENASLNPENPYGQSKLMTEFMLRDAAAAYDFNYVALRYFNVAGADPDRRTGQSTAGATHLIKGACEAALGKRDSVHVYGIDYPTHDGTCVRDYIHVLDLADAHVRAVEYLLKGGESVALNLGTGTGTTVKELLGAIEDVSNRPFPVEYIGRREGDSHTLVANNDKARDVLGWVPQYDLSAIIRSAWNWHAK-----TNQH- 300931833 ----TILITGGAGYIGSHTTAALLENGYDIVVIDNLCNSDIGSIKKISFFNADIRDEIELNKIFTRCNISSVIHFAGLKSVAESKDLPIEYYSNNVYGTMNLVKCMLKNRVYNLIFSSSATVYGIPEKNPLDENCITGTTNPYGYSKYISENILKDIAKNNKLNITCLRYFNPVGAHPSGHLGENPVGPNNIMPFISGVAIGRFKKLNIFGSDYNTKDGTGVRDYIHVMDLASGHLSALNKKWLTNGFRVYNLGTGKGYSVLELVKTFEKISNRTINYEFVARRPGDVAECWSTPLKAKKELGWEAKYSLENMIEDAWRWQLNYPNGF----- 91976088 ----TVLVTGGAGYIGSHTVLALVEAGESVVVIDNLSTGFSSFIPEGPLFIGDAGDENLVEGVIRNHDVDAIIHFAGSVIVADSMRDPLAYYRNNTMTSRNLLSAAVTCGVKNFIFSSTAAVYGNPDRTPVPEEAPTRPLSPYGCSKLMTEIMLHDTASACGMNYVALRYFNVAGADPQARIGLATAGATHLMKIAVEAATGQRPQVEIYGADYPTPDGSCIRDFIHVSDLAQAHGAALGYLRQGGAPVTLNCGYGRGYSVLQTIEAVRRVAGRNFAVSTAARRPGDIVAMVADTRRIRATLDWTPRYDLDTIAADALGWERK---------- 66506314 MTNKNVLVTGGAGYIGSHTVLELLEADFEVVVIDNLSNAYPECLLRIIFVQCDVTNLDELQKVFEKYKFHSVIHFAALKAVGESCEKPLEYYKTNVCGTLNLLKVMREFNVKCFIYSSSATVYGIPEKLPLVEDMKTDCTNPYGKTKYMVEEILKDLCISDKWSVISLRYFNPVGAHSSGLIGEDPNGPNNLMPYIAQVSVGKREILYVYGNDYDTPDGTGIRDYIHITDLAMGHVKAMIYQKIRNFTGFFNLGTGKGYSVLEVIQAFEKASGQNIPYKIIERRPGDISVSYADASLANKELGWQATKNIDNMCLDTWRWQQNNPNGYKS--- 240950307 -----ILVTGGAGYIGSHTIVELLNANREVVVLDNLSNSSEVSLKEVTFYQGDILDREMLRKIFAENKIESVIHFAGLKAVGESVREPLRYYQNNVTGSIVLVEEMLKANVNTIVFSSSATVYGDPQIIPIVESCPVGTTNPYGTSKYMVERVLEDTVKAFPLSAVVLRYFNPVGAHESGLIGEDPNGPNNLLPYISQVAVGKLQQLSVFGSDYDTHDGTGVRDYIHVVDLAIGHLKALDKHQDDAGFHVYNLGTGTGYSVLDMVKAFEKVNNIEVPYKLVERRPGDIATCYSNPQKALVELGWKTERGLDEMMRDTWNWQKNNPNGYK---- 218676516 ----NVLVTGGMGYIGSHTSIQMINAGMTPVLFDNLYNSKPSVLVRPDFIEGDIRDKALLTETMKQHNIEAVIHFAGLKAVGESVAKPLEYYDNNVNGTLVLVDAMRDADVKTLVFSSSATVYGDPASVPITEDFPTSATNPYGRSKLMIEECLTDFQNANDWSITLLRYFNPVGSHPSGELGEDPSGPNNLMPFVSQVAVGRREFLSVFGSDYPTKDGTGVRDYIHVMDLSDGHIAALEKVGRKDGLHIYNLGTGNGSSVLDMVKAFEKASGQAIPYKLVERRPGDIAECWADPAKAQKELGWNATRTLAEMTEDTWRWQSTNPDGF----- 296114010 --SKKILVTGGAGYIGSHTLIELIQAGFVPVVYDNLSNSSFVAVKHIEFIQGDVLDKTHLDAVFKAHQFFAVVHFAGLKAVGESTKNPLKYYQNNVVGTLSLLELMAKYGVKNCVFSSSATVYGSSNRLPITEDMPRFCTSPYGQSKLMVEHILEDLVNADDWNVVCLRYFNPIGAHESGRIGEDPTDPNNLMPYISQVAVGNLKQLSVFGNDYETPDGTGVRDYIHVVDLAKGHVAALHYLVGQSVGIGFCPGTGQGTSVLQLIKAFESNTGQSVPYVITSRRTGDIAAYYASADKAKAILNWTAELDIERMCVDTWRWQSNNPKGY----- 229822959 -----ILVTGGAGYIGSHTVVELLAAGHEVVIVDNFSNSKPEVLNRIRFYQVDVLDKDAMRQVFKENDIQAVIHFSGFKAVGESVAEPIKYYHNNVGGAIALVEVMNEFGVKHIVFSSSATVYGLNNPSPLTEDMPTHTNSPYGSTMVMKEQIFRDAVSDPDWSITILRYFNPIGAHASGRIGEDPQGPNNLMPYITQVAVGKLPALSVFGNDYPTHDGTGVRDYIHVVDLSLGHLKALDRNLTVKGVETYNLGTGEGYSVLDLVKAFEEANGIKVNYKIVDRRPGDVAACYSDASRANQLLGWYAQRNLVDMCRDSWKWQKENPQGYD---- 242238618 ----KVLITGGSGYIGSHTCVQLIAAGHQPIILDNLCNSKSSVIKEPLFYQGDIRDRHLLQTIFAQHDIDAVIHFAGLKAVGESVREPISYYDNNVYGTLTLVDAMKQAGVKTLIFSSSATVYGDQPQIPYQESFPTGPASPYGRSKLMVEQILADLQREPDWSVALLRYFNPVGAHPSGEMGEDPQGPNNLMPYIAQVAVGRRESLAIYGHDYPTPDGTGVRDFIHVVDLADGHVAAMRALHQKPGVHIYNLGAGVGYSVLQMVDAFSRACGKPLPYHFAPRRDGDLPAYWADAEKAARELHWRVNRTLDEMAADTWRWQSRHPNGFPD--- 255636196 -SSQHILVTGGAGFIGTHTVVQLLKAGFSVSIIDNFDNSVMEAVDRVRQVQGDLRNRDDLEKLFSKTTFDAVIHFAGLKAVAESVAKPRRYFDFNLVGTINLYEFMAKYNCKKMVFSSSATVYGQPEKIPCEEDFKLQAMNPYGRTKLFLEEIARDIQKEPEWKIILLRYFNPVGAHESGKLGEDPKGPNNLMPYIQQVAVGRLTELNVYGHDYPTRDGSAIRDYIHVMDLADGHIAALRKLFTTENIGCYNLGTGRGTSVLEMVAAFEKASGKKIPVKLCPRRPGDATEVYASTERAEKELGWKANYGVEEMCRDQWNWAKNNPWGYAGK-- 163748323 -----ICVTGGAGYIGSHACYALGVEGRPPLVVDNLVTGHRAAVRWGPLAHIDLRDTTQLTHALWQHKTRTVLHFAASAYVGDSMQDPISYYDNNVCGMISLLQACLAAGVRHFILSSSCATYGIPQTIPITETTSQRPISPYGQSKLICENILRDAAGQAGMDFAILRYFNAAGADPSGALCEEHSPETHLIPRALAATCPQGEPLALFGTDYDTADGTCVRDYIHVSDLVRGHLMALAHLEKFGGSLVLNLGSGRGVSNMQIIQVVEEVTGAEVKVRKAPRRQGDPPSLIADINQAREILGFEPNHSIHTIIADAAR-------------- 269837798 ------LVIGGAGFIGSVVAGQLLAAGHRVTVYDSLVNGHRAAIPDGDFVHGDVLDLDALTRTLSR-GFDGVFHFAALSLVGESVAEPGRYFRTNIGGTVNVVEAMRATGVNRLVFSSTAAVYGIPDCVPIPETAPVRPISPYGASKLAVDTFLGFAAEAYGLGAVSLRYFNVAGAWDR--FGEDHRPETHLIPLALQVALGRRPHLAVFGNDYPTPDGTCIRDYLHVEDLGVAHQLAL-AAAEPGTHRIYNLGNGAGFSVREVIETARRVTGHPIPTVEAPRRAGDPPVLVASSERIREELGWQPRKDLETIIADAWAWMQAYPQGYPDE-- 39937640 MTRRHILVTGGAGYIGSHMTLALLGAGERPLVIDNLSTGSRAIVPTDPFFEGNVGDADFVGRIMDEHPIEAIIHFAASIVVPESVTQPLAYYGNNTANARTLLECAVNHGVPHVVFSSTAAVYGEPDRTPVEEDDPTRPINPYGRSKLMVEWMLADIAQAYPFSYAALRYFNVAGADPQGRAGQSTPNATHLIKIAVQAALGKRSGLDVYGTDYSTPDGSCIRDYVHVSDLAQAHLDALDYLRAGKPSITCNIGYANGYSVLDVINVVKRVSGVDFEVRIKGRRAGDPAALIAANQRARTALSWTPRYDLEQIVRDALAWERR---------- 229526707 ----KVLVTGGMGYIGSHTCIQMIQAGMTPVILDNLYNSKVTVLVRPQFVQGDIRDKALLVDLMQQHNIEAVVHFAGLKAVGESVQKPLEYYDNNVNGTLILVAAMREAGVKSLVFSSSATVYGEPTSVPITESFPTKAANPYGRSKLMVEECLTDFQKANDWSISLLRYFNPVGSHPSGELGEDPQGPNNLMPFVTQVAVGRREYLSVFGSDYPTKDGTGVRDYIHVMDLADGHIAALKKVGTCAGLHIYNLGTGKGYSVLDVVKAFETASGRTVPYKLVDRRPGDIAEYWADPTKAAQDLGWKATRNLHTMAQDAWCWQSNNPQGY----- 195952746 ---KKITITGGAGYIGSHMLKEALKRGYDVLVIDNLSTGHREFVKGGKFLQADMQSKETLEALLE-FKPDAIIHFAAYIAVEESVQEPIKYYENNFCKSLKLLEYTLKAGIKNFIFSSTAAVYGIKSDKPVKETDSIEPITPYGQAKANFEKVLEDVSRVSDLKYVAIRYFNVAGADPEGELGQISKKPTHLILRALKAAKGEIKDFGIYGTDYNTKDGTCIRDYIHVSDLVDAHFEAMRYLEEGGKSDVFNCGYGRGLSVKEVVDIVKEVTGVDFPVYNYDRRPGDPPVLIANVDKIKNTFGWKPKYD--DIVKTAWEWEKK---------- 225028732 -----VLVTGGAGYIGSHTCVELLENNKEVVVLDNLSNSSEEALKEVKFYKGDISDIVILDTIFKKENIESCIHFAGLKSVGESVAKPLEYYQNNIAGTLILLQKLKEYNVKNIIFSSSATVYGDPAFVPITEECPKGCTNPYGWSKSMLEQILMDYKADETWNIILLRYFNPIGAHKSGLMGENPNGPNNLMPYVTQVAVGKLKELGVFGNDYDTPDGTGVRDYIHVVDLAKGHVKALQKIDEKCGFKIYNLGTGKGYSVLDIVKNFEAATGMKVPYVIKDRRPGDIATCYCDPGKAAKELDWKAENGIKEMCEDSWRWQKKNPNGYE---- 239626525 -----ILVTGGAGYIGSHTCVELLNAGYDVVVVDNLYNSSEKALKKVKFYEVDLLDQPALKDVFDKETIESVIHFAGLKAVGESVHKPLEYYHNNITGTLILCDEMRKHGVKDIVFSSSATVYGDPAEIPITENCPKGEINPYGRTKGMLEQILTDHTADAGWNVVLLRYFNPIGAHESGLIGEDPKGPNNLVPYIAQVAVGKLEYLNVFGNDYDTPDGTGVRDYIHVVDLAKGHVKAVKKLTDREGVSIYNLGTGVGYSVLDVLHAYEKACGKTLKYEIKPRRDGDVAVCYSDCAKAKKELGWVAEKGIEEMCADSWKWQSMNPDGYRD--- 308177202 ----HVLVTGGAGYIGSHTVLLLLNEGHTVSVLDNFSNSSPESLRRVQNYEMDLLDREPLMQLVSQLSPDAVVHFAGLKAVGESVSKPLQYYRNNVVGTLNLLDAMSATGCTSLVFSSSATVYGESTELPLVETTARSATNPYGRTKLHIEEMLEELAASDPWSIATLRYFNPVGAHESGQIGEDPQGPNNLMPFVAQVAIGKRDKVNVFGADYATADGTGVRDYIHVMDLAAGHLAALDYMSKHNGVGAWNLGTGQGTSVLEVVQAFSRVSKRDVPYTIVARRSGDVAESYAHPEKAMLELGWKAERGLEQMVEDMWRWQEQNPDGY----- 309368975 ----NVLVTGGTGYIGSHTCLQMLEMGYTPVLLDNFSNSKASVLDRIEEVTGDILDKNLLVETMKRYGISAVIHFAGLKSVGESVSEPLKYYSNNVSGTLCLVEAMSECNIKTLIFSSSATVYGDPSTVPINEGFPTSATNPYGRSKLMVEECLNDYKANPDWSITLLRYFNPVGAHPSGKLGEDPNGPNNLMPFISQVAVGKRECLNVFGGDYPTPDGTGVRDYIHVMDLARGHIAALNKVGHSKGIHIYNLGTGRGSSVLEMIEAFEKASNKKVAYKMVDRRPGDVAECWADPQKAQKELGWYAQLEVEQMAIDTWRWQSNNPNGYHD--- 168056693 ----HVLVTGGAGFIGSHAVLRLLEDGYRVTIVDNLSRGNMGAVERLQFLFGDLGDSKRVTEIFAQNAIDAVMHFAAIAYVSESMADPLRYYHNITFNTLTVLEAMRAYGVTKLIYSSTCATYGEPETMPITETTPQIPINPYGKAKKMSEDLIKDFAKTADFSVTILRYFNVIGSDPKGRLGEAPRPELRKHARCFDAAMGIIPGIQVRGYDYKTSDGTCIRDYIHVTDLVDAHVKALEK-IEKGKVSIYNVGTGKGSSVKEFVEACKFATGVNVTVTMLDRRPGDYAEVYSDPSKIKRELSWTAQHDLKASLSDAWRWRKRHPDGFSS--- 135364417 ----NILVTGGAGYVGSHACKALKAAGYTPITFDNLSSGWRDAVQFGPFFFGDINSPSCLESAFQQYQPQAICHFAARSNISESQHQPAQYWLTNVMGSLNLLQAAQLNGCKNIIFSSTCAVYGDQPREPLSEDAPLNPSNAYAAGKLAAEHLLQNFQHIMALKYLIFRYFNVAGADPTGRLGECHMRETHLIPCLLQAAEAGKD-IQVFGTNYQTPDGTCLRDFIHVSDIARAYLCGVQWLLHGKASQIFNLGSGRGYSVLQVISAVSKLTGQKIKITAAPRRPGDCASLQADIRRVAQSLGWSAQQSLDVIIRDAQRWQQ----------- 254669574 ----KVLVTGGTGFIGSHTAISLIKAGYDVVILDNLCNSSINILPRITFYQGDIRDRALLQKIFAEHKIETVMHFAGLKAVGESNVLPMKYYDYNVSGSLILAEEMAKAGVFSIVFSSSATVYGDPARTPITEDMPVGTTNPYGTSKYMVERILSDIQKSDSWSVILLRYFNPVGAHESGLIGEYPNGPNNLLPYICQVASGKLPYLSVFGDDYPTPDGTGVRDYIHVVDLADGHLKAMQAKAKESGVHIYNLGTGNGYSVLDMVKAFEAASGLDIPYQIKPRRDGDIAVCFADPAFTESQIGWKAQFGLSQMMEDSWRWVSNNPNGYDD--- 298370165 ----TIFVTGGTGFIGSHTVISLLQSGFDVVILDNLCNSSAKILPRVPFYEGDIRDREVLRRIFAEHDIDSVIHFAGLKAVGESVAEPMKYYDNNVSGSLVLAEEMARAGVFNIVFSSSATVYGDPGKVPYAEDMKPDTTNPYGTSKAMVERILTDIQKADPWSVILLRYFNPIGAHQSGLIGEHPNGIPNNLLPYICQVAGGKLPYSVFGDDYPTPDGTGMRDYIHVVDLAEGHVAAMKAKSHVPGVHLLNLGSGRAYSVLEIVRAFEAASGLTIPYQIKPRREGDLACVYADPTYTKQQTGWETKRDLTQMIEDAWRWVSRNPNGYGD--- 20094161 -----ILVTGGAGFIGSHVVEELVDRGHDVVVLDNFSVGCEENLREVRDVRADVTDPRAVERTFREYRPEAVIHLAAQVNVRYSMESPFVDARINALGTLNLVSLAAEHDVERFVYASSGAVYGEPEYLPVDEEHPTRPISNYGVSKLAGEYYVRVYAERDGFEYVILRYANVYGPRQDPRGEAGVIP-------IFLLRAARGEPLTIFGDG------EQTRDFVFVEDVARVTAEAVER-----GDGVYNIGTGRETSVNDIVNAVKAVTGVDVEVVYEDPRPGEVRRIYLDPSRAREELGFEPRVDLEEGIERTWEW------------- 261494056 -----ILVTGGAGYIGSHTLVELLNENREIVVLDNLSNSSEVSLERVKFYQGDILDRDILRKIFAENQIESVIHFAGLKAVGESVREPLRYYQNNVTGSIVLVEEMLKANVNTIVFSSSATVYGDPQIIPIVESCPVGTTNPYGTSKYMVERILEDTVKAFPLSAVVLRYFNPVGAHESGLIGEDPNGPNNLMPFISQVAVGKLPQLSVFGGDYNTHDGTGVRDYIHVVDLALGHLKALDKHQNDAGFHVYNLGTGTGYSVLDMVKAFEAANGITIPYKVVDRRPGDIAVCYSAPQKALEQLGWKTERGLEQMMKDTWNWQKNNPNGYK---- 56797955 ----TILVTGGAGYIGSHTVLTLLEQDDDVVVLDNLSNASAESLLRAIFYQGDVLDRGCLKRVFSDHKIDSVIHFAGLKSVGESVVKPIEYYQNNVIGSIVLLEEMVAAGVTKLIFSSSATVYGDPEFIPLTEDARTGTTNPYGSSKVMVEQILKDFSVAHDFSITALRYFNPVGAHPSGLIGEDPNGPNNLLPFITQVAIGKLPKLAVYGNDYPTVDGSGVRDYIHVMDLAEGHLCALNKLTK--GFKVYNLGSGTGYSVLQMITEFERISGKKVPYHIVARRSGDIAECWASAELALKELDWKAKRSLTDMLTDAWNWQQSNPNGY----- 135398366 MNKKKVLITGGAGYIGSHVVKLLGALDVNLVVLDNLSTGYEKSVLFGEFVKGDLANAELLESLFKKHNFNAVIHFAGSIVVPESVSNPDEYYKNNTDNSLRLLRCCKEYGVKNFIFSSTAAVYGQLKGGVCSEDSPVNPINPYGRSKLMVEWMLEDFSRAYDFNYVALRYFNVAGSDYKSCLGQFNPKATHLIKIASQTATKQRDYINIWGTDYNTPDGTCIRDYIHVLDLAEAHILALEYLFAGGSSRILNCGYSKGASVKEVLTAVGEVLGAPIKSIEGSRRAGDSPKLIASASKIQEVLHWRPKRSLYEIVHSAYEFEKQLIQSID---- 260574734 ----TVLVTGGAGYIGSHACKALRRAGFTPVAFDNLCNGHRDAVRFGPFVHGDVRDGMAVQQALRDHGAVAVMHFAAFAYVGESMQKPQLYYDNNVGGMLGLLAGCRGAGVNRVVLSSSCATYGQPAVMPITEATPQQPINPYGQTKLICEHMLRDIGAASDLTHVALRYFNAAGADPDGELTERHDPETHLIPLALLAAAGRRGALGIFGTDYPTPDGTCVRDYIHVSDLARAHVLALRHLLAGRGSVALNLGTGQGTSVRQIVAAVENVTGRRVPVQDLPRRPGDPPILLADPGKAAQVLDFRPDHSITSMVRHA---------------- 167519547 ---RKILVTGGAGYIGTHTCIELIAAGFEVIIVDNLINASRVAVERVEEIEIDLLDKEAIDKVFAEHEFFAVIHFAGLKAVGESTQKPWLYYHNNITGTLNLLDSMKQHKCFNLVFSSSATVYGDPKYLPLDEKHPVGATNPYGKTKYFIEEMCRDMAKDSQWNIVLLRYFNPIGAHASGRIGEDPQGPNNLLPFVAQVAAGRRPHVNVFGDDYDTPDGTGVRDYIHVVDLALGHVASLRKLEKPCGCVVYNLGTGKGVSVLEMIKAFGKAAGRDIPYQIAPRRPGDIASCYADASAAKKDLDWVATRTVEQACEDSWRWQSNNPNGFN---- 169837114 ----KILLTGGTGYIGSHTAIELIENGNDIVIVDNLSNSSKEVINRVPFYEADIRNKSKLEDIFEQEKPDAVIHFAGLKAVGESVEQPLKYYLNNLESSLVLLDVMSKFDVNKIVFSSSATVCGTPKKLPITESDQVGITNPYGWTKFMIEQILTDIAAANDFEVTILRYFNPVGAHKSGLIGEDPNGPNNLLPYIAQVAVGRLAKVGVFGNDYDTPDGTGVRDYIHVMDLASGHTAAL--NNSKPGVAIYNLCSGKGVSVLELIDAFRKAAGKPIPYEITDRRPGDIAASYADASKAKKELDWQTVYSIEQACADSWKWQSNNPNGFAD--- 188592075 ----TLLLTGATGYIASHTWVALLNAGYHVIGLDNLCNSSPAVIERPHFVQGDVRDRALLDRLFAEHRISAVIHFAALKAVGESVSQPLAYYSNNLDGLLTVCAAMGAAGVKQLVFSSSATVYGNPHAVPILEDFPLSATNPYGQTKLMGEQILRDLEKSDPWRIAYLRYFNPVGAHESGLIGEDPRGPNNLMPYVAQVAAGRRDQLMVFGGDYPTVDGTGVRDYIHVCDLADGHLAALNYLRQQGRGMTVNLGTGRGYSVLEVVQAYQRASGRPVPYQIVDRRPGDIAACYADPALAHQLLGWRAQHDLDRMCQDSWRWQSMNPHGFD---- 142334546 ----KILVVGGIGYIGSHMLKRFKETNYDIEILDNLSSGQKENSQNYKLHVCDLSDKETVYKILSEHNYDLVMHFAASINVEESYYDPKKYRQNNVINTINLLECMKDLKINKFIFSSSAAVYGEPEHLPITERHTINPVNPYGDTKAEVENALKNYDESCGLKYVSLRYFNACGAHLDGTIGEMHDPETHLIPLVLQVASGKKKHISVFGDDYPTPDGTCVRDYVHVMDIVEAHILAMENLTNTNKSQVFNIGNNKGFSVNQIIQVAKEITKVDIPIKIQGRRKGDPAKLIADNKKIINLLNWNPKYSLETIVKSAWNWEKK---------- 143368961 ----TVLVTGGAGYIGAHTVRALRASGRTVVVLDTLERGNKEAVIDAELVVGDIADQDLVAKICRDHRVASVIHFAAYKAVGESMEKPEMYWNNNVASTEKMLAVLTEQKIDKFVFSSSAAVYGTPKSVPVTETMPTVPESVYAETKLAVEKYLAALSQNQPMNSVSLRYFNAAGASSDNKIGEDWSSSQNLLPRVMRGLLDGKFKFEIYGNDYDTPDGTCIRDYIHVEDLADAHLKALEYLEAGNKSLVCNIGTGHGTSVMQLIELAEITAKRKVPYSIAPRRAGDPIAVYADVQLANKTLQWRASRSLQQIVESAYRWHESHPNQY----- 301050020 ----KILITGGTGYIGSHTILMLLNEGYDIIVIDNFSNSSPKVIKKVCFHEGDIRDERFLKSVFKEHVITTVIHFASLKSVSESISMPIEYYDNNISGTLTLLKVMNYFGVNNFIFSSSATVYGAPSRIPLDESDTGDTTNPYGTSKYFLEQILIDTQRANPLNIMLLRYFNPVGAHSSGFIGEDPNGPNNLFPYITQVAIGRLPRLSIFGDDYPTEDGTGVRDYIHVMDLASGHVAALNRQHVLTGLRCYNLGTGKGYSVKEIVETFQKITGKKIPYIVSARRPGDIAECWSSPELAQKELHWEAKRSLEDMVIDAWNWQNKNPNGY----- 289900083 -DNKTVLVTGGAGYIGSHTVVELIDNGYECVIVDNLSNSCYDSVHHIPFYKIDLCDREGLEKVFKDHKIDSVIHFAGLKAVGESTQIPLRYYHNNILGSLVLLELMQQYKVSKFVFSSSATVYGDPNMIPIPEECPLGPTNPYGHTKYAIEKILNDLYESEKWKFAILRYFNPIGAHPSGLIGEDPLGPNNLLPYMAQVAVGRREKLYVFGDDYDSRDGTPIRDYIHVVDLAKGHIAALKYDDNEGLCREWNLGSGKGSTVFEVYHAFCKASGIDLPYEVTGRRAGDVLNLTAKPDRAKRELKWETELQVEDSCKDLWKWATENPFGY----- 307546183 -----ILVTGGAGYIGSHTVVELLAAGHDVVV-DNLCNGSREAISRVEFVECDIRDRDALDSVFGEHEIDAVIHFAGLKAVGESVEQPLAYYENNVNGTLVLCQAMAAAGVYRIVFSSSATVYGIEAPVPYLEHMPRGTTNPYGTSKGMVERVLEDADADPSWSVTLLRYFNPIGAHPSGLIGEDPRGPNNLMPFIAQVAVGRREELTIFGNDYPTADGTCERDYLHVVDLAVGHLKALQ--VSRSGVSIYNLGTGKGISVMEMVNSFTRVTGVDIAYRFGERRAGDLASFWADATKAKDELGWTAERTLDEMMADTWRWQSMNADGY----- 149189741 ----KVLVTGGMGYIGSHTCVQMLESGIEPIIIDNLCNANQAVLSRPTFYQGDIRDEALLDRIFEENEIQSVIHFAGLKAVGESVAKPIEYYDNNVNGTLVLARSMRKAGVKSIIFSSSATVYGDPEVVPITETSPVNTTNPYGTSKFMVERCLSDFTSDEEWSITLLRYFNPVGAHPSGTMGEDPKGPNNLMPFIAQVAVGRREKLAVFGNDYPTPDGTGVRDYIHVMDLADGHIAALKAVGLKSGLHIYNLGTGKGSSVLEMVDAFAKACGKPIPYDLQPRRPGDIAECWASTAKAEADLNWKATRTLQDMTADSWHWQSNNPNGYDSE-- 15887879 -----VLVTGGAGYIGSHMVWALLDAGEEVVVVDRLSTGSRWAVPAARFYLGDAADRALLDQVFEENHIETIFHFAGSVSVPESISQPLEYYENNTGTTRALVAAAVRSGIRNFIFSSTAAVYGNPFDGPVPETAILSPENPYGLSKLASEIMLRDVVQAHDFNYVALRYFNVAGADPQGRAGPSPTGVANLIKVACEAATGRRDRVEVYGTDYPTADGTGVRDYIHVSDLIDAHMLAMAHLRAGGGTRTLNCGYGVGYSVLDVLQAVQRESACEFAVIHCPRRPGDIAAMVADSSRIQSELGWRPRFDLATIVRTALQWEAK---------- 299137254 ----NLLVTGGAGYIGGTVSTILMQAGHRVTVLDNLCSKRAELPVGAEFVEADIADRPRVEALLRELKPDGVLHFAALIEAGESMQKPEIYFRNNTASTLALLEAMHATGTNRLVFSSTAAVYGEPKSTPIEETAALAPTNAYGESKLMVEQMLGWFHRVHGLRYASLRYFNVAGALPGR--GEAHEPETHIIPLILDVALGRRASINIYGDDYPTPDGTCIRDYIHVRDLADAHILAIKALGER-ERMILNLGNGSGFSVKEVIASARRVTGHAIPAEIKPRRDGDPARLVAGSEKAKTELGWQPEYPLDRILESAWEWHKQ---------- 222053394 -----ILVTGGCGYIGSHVVRQLSEAGLEVIVYDNLSTGSAEALVNGERIVGELSDAEMLEQVFRAHSPKTVLHFAAAIVAPESVSDPLKYYGNNTCNTMNLLRTCVKFGVERFIFSSTAAVYGLPEEGIASEESPTVPINPYGTSKLMSEWMLRDTSMAHGLKYVALRYFNVAGADPEARMGQRTPDATHLIKVCCQAALGMRQQVSIYGTDYPTPDGTGIRDYIHVEDLASAHLAALRYLENGGQSEIINVGYGQGSSVREVVEVVRRVSGVEFTAVESPRRPGDPASLIARADYARNLLKWTPRHNLTQIVTDAWRWEQKLFKG------ 307705583 --TKTILVTGGAGYIGSHTVKALLNAGYQVHVLDNLSTGNRSAVSRASFKQLDVYDASALKAYLEENKIDTVLHCAGEIVVSESIENPSKYFTANVAGMNQVLKVLSEVGIQKIMFSSTASLYGNNCDKPATEDTLLDPVNPYAETKLMGERMIYWMANRFDWKYVIFRYFNVAGAEMDASNGLRVKNPTHIIPNINKTALGQNDSLKIFGDDYDTRDGSCIRDYIHVLDLAQAHVKGMNYFQEDSSSQIFNLGTEKGYTVKEIFKTAEELLNQKIPHEIVARRAGDPASVLADASKAKQYLDWKASYSLEDIILSDYRWRVK---------- 119945698 ----KVLVTGGMGYIGSLTCIQMIEAGIEPIIVDNLSNSKLTVLIRPLFYPGDVRDQHLLDRIFSEHDVDSVIHFAGLKSVGESVQKPLEYYDNNVNGSLVLAGAMRKACVKSLVFSSSATVYGDPAVVPITESSPTGTTNPYGRSKYIVEQCLSDFAAEPDWSITLLRYFNPVGAHPSGTMGEDPEGPNNLMPFIAQVAVGRRKSLAVFGDDYPTADGTGVRDYIHVMDLADGHIAALKAVGKKAGLHIYNLGTGKGSSVLEMIDAFSKACGKPVAYNIFPRRAGDIAQCCADPQKAQKELGWKANRTIMEMTKDTWHWQSKNPQGYSK--- 33186647 ----NILVTGGAGFIGTHTVVQLLNEGFKVTIIDNFHNSVEEAVDRVRFHLGDIRNKDDLEKLFSKKEFAAVVHFAGLKAVGESVVQPFLYFENNLIGSITLYSVMAKYNCKKLVFSSSATVYGQPEKVPCVEDFELKAMNPYGRTKLFLEDIARDIQKADQWNIILLRYFNPVGAHESGKLGEDPKGPNNLMPYIQQVAVGRLPELNVYGNDYPTPDGTAIRDYIHVMDLADGHVVALQRLLRQNHLGCYNLGTGKGKSVLEMVAAFERASGKKIPLKMCPRRPGDATAVYASTEKAEKELGWKAKYGINEMCRDQWKWASQNPWGYQSK-- 119952660 ----KILITGGCGYIGSHTILHLLEAGHDITVIDNLSNSLSESLLRVEFVEGDIADASCLQRIFDQDDIEAVIHFAGYKAVGESVSQPLRYYGNNVSGTLNLLETMDAYGIRNLVFSSSATVYGANPDMPLKEDFPLRATSPYGRTKQHIEEILTDLESADGWRIALLRYFNPVGAHPSGLIEDPQGPPNNLFPFVAQVAVGRREKVSVFGNDYPTPDGTGVRDYIHVMDLAAGHAAALDYLTDHQGLHTWNLGTGRGYSVLEIIQAFEAASGTTVPYELVDRRPGDAPVSLADPSRALHDLGWTASETLESMCADAWRWQQNNPEGYRAK-- 224072208 ---KSILVTGGAGYIGSHTVLQLLLGGYSIVVVDNLDNSSDIALKRVKFHQVDLRDKPALEKIFARTKFDAVIHFAGLKAVGESVQKPLLYFNNNLIGTITLLEVMTSHGCKQLVFSSSATVYGCPKEVPCTEEFPLSAASPYGRTKLFIEGICCDIHRSDSWKIILLRYFNPVGAHPSGHIGEDPLGPNNLMPYVQQVAVGRRPHLTVYGTDYSTKDGTGVRDYIHVVDLADGHIAALRKLSDANGCEVYNLGTGKGTSVLEMVAAFEKASRKKIPLVMAARRPGDAEIVYAATEKAERELNWKAKYGIDEMCRDQWNWAGKNPYGYGS--- 91787771 ----TILLTGGAGYIGSHTFAALIDAGYQPVILDNFSNSHPAVLQRVVRERGDVLHAGFVAEVLRHYACEAVIHFAGVKAVGESVQQPLKYYSNNVGGLVSLLQAMETSACRSVVFSSSATVYGDPASVPIHEQFPCAPESPYAQTKLMCEDILASLGAADPWRVGVLRYFNPVGAHPSGLIGENPRGPNNLMPYVTQVAVGKRARLQVFGDDYLTPDGTGVRDYLHVMDLAEGHVAAVQALLTRHQSFTVNLGTGKGVSVLEVLSAFERASGRRVPFEFAPRRAGDVAQYYADVSLAEAVLGWRATRTLDDMCRDSWRWQQTNPEGYE---- 49087680 ----RVLVTGGAGFIGSHVLVELLGQSAKVVVLDNLVNGSSESLKRVEFVLGDVRDSLLVERLLIDEKVDAVIHLAGLKAVGESVDDPLEYYESNVQGTISLLRAMQRVGVFKIVFSSSATIYQMPGTLPISESSKVGGVSPYGRTKLTAEHMLDDLARSDRWSIAVLRYFNPIGARESGLIGEDPCGPNNLLPYIAQVAVGRLSRLTVHGGDYPTIDGTGVRDYIHVCDLAAGHTRALEYLGQGHGYHVWNLGTGTGYSVLQVIEAFERVSGRRIPFTVSGRRPGDVAECWADVSKAERELGWKAGLGLECMIADAWRWQVSNPSGYS---- 298345781 MTDKTILVAGGAGYIGTHTLICLYEAGYRAVCVDNLYNSSAEAMTRIPFYQQDVRDLDALQRVFEVHQIDAVINFAGLKAVGESVAKPVEYYDNNLNATLSLVRAMRDHDCHLMIFSSSATVYGADGVSPLKETMPTGTTNPYGWSKLMNEIILRDVCADPEFSAVLLRYFNPIGAHPSGRIGEDPKGPNNVMPYITQVAVGKLEKLHVFGDDYNTPDGTGVRDYIHVMDLGRGHVAAIDYGLKHRGAKAINLGTSQGYSVLELLHAMERACGKRIPYVIDGRRPGDIDTVYADASLARELLGWEARLSLDDMCRDSWNWQSQNPNGYA---- 90407114 -----ILVTGGAGYIGSHTVLELLDAGHEVIVIDNLCNSSKESLKRPVFYKGDILDSAFLAHIFKQHKIESVIHFAGLKAVGESVSKPVLYYKNNVQGTLTLIEAMADANVFNLVFSSSATVYGDPTLLPIKEDFPVGTTNPYGTSKRMVEMILEDVALSDPRWSFVIRYFNPVGAHVSGLIGEDPNGPNNLLPYIAQVAVGKLKKLNIFGDDYDTVDGTGVRDYIHVVDLALGHLQALNKVASTTGVNIYNLGTGNGTSVLQMLHAFEGACGHSLAYQLSARREGDIASCYADPEKARVELHWSATRDLTAMMEDTWRWQLNNPEGY----- 221194958 MSQKKVLVTGGAGFIGSHTVVELLNCGYEAIIVDDLSNASEKVIDRIKFYKADVNNRSELNRIFDSHHVDRVIHFAGFKAVGESVTKPIEYYSNNLGSTLTLLDVMRAHDCKSIIFSSSATVYGDPDTLPLTEESPKKPTNPYGWTKWMIEQILTDHTADPTWNVVLLRYFNPIGAHQSGLIGEDPTGPNNLVPYVAQTAIGKREAVHVFGNDYNTPDGTGVRDYIHVCDLGTGHVAALTWMNGREGVEIFNLGTGVGSSVLDIIKAFSRACGKDIPYVIDPRRAGDVDANYADCSKAAKELGWTAQYNLDDMCRDSWKWQSMNPNGY----- 260584915 -----ILVTGGAGYIGSHTVVELDKAGYEVVILDDFSNSSPEVLKRFPFYEGSILDHDFLTEVFSKEKIDCVIQFAGFKAVGESVVKPLEYYHNNITGTLVLLDVMRNFGVKNIVFSSSATVYGMNNTVPFKEEMPTSATNPYGYTKVMLEQILNDAFSDKEWSVTNLRYFNPIGAHESGLIGENPNGPNNLMPYITQVAVGKLPQLSVFGDDYDTHDGTGVRDYIHVVDLARGHVLAVKDNIGNPGAKVYNLGTGIGYSVLDLVHAFMKENNVEIPYKICPRRAGDIATCYADATRAKEVLGWVAEKDLNDMVRDSWNWQKNNPQGY----- 291520718 ----KILVSGGTGYIGSHTCVELLNKGYDVVAFDNLYNSKIDVVKKLIFYKADMLDKESMRPIFEEHKFDAVIHFAALKAVGESVQKPLAYYKNNISGSLNLCELMNEYGCKRIIFSSSATVYGAPKTVPITEDFPLSTTNPYGSTKLMLERIFSDFCVPDPWSVVLLRYFNPIGAHESGLLGESPNGPNNLMPYIAQVAAGKLECLGVFGNDYDTPDGTGVRDYIHVVDLAKGHVNAVEKVTSSTGVNIYNLGTGIGYSVLDIVKAFEAANGIKIPYVIKPRRAGDIAICYANPGKAKRELGWEAKYDLERMCKDSWNFTKK---------- 227501043 ---KNVLITGGAGYIGSHIAVELLDKGYGVVLYDNLSNSSRICIDRVEFYEADVLDYDRLKEVLAKEKIDVVIHCAALKAVGESVEKPLQYYHNNISGTLSLLKAMNDIGLRNIIFSSSATVYGDPENVPITEDFPKGCTNPYGWTKSMMEQIMTDYTSDPSWKVILLRYFNPIGAHKSGKIGEDPKGPNNLLPYISQVAIGKLACLSIYGDDYDTHDGTGVRDYIHVVDLAKGHVLAVDKLDELSGVEIINLATGRGYSVLDVVKAFEKASSRKIAYKIVGRRAGDIAECYADASKAYKLLGWKACNGIEEMCEDSWRWQSQNPNGYEEKEQ 307818727 ----NLLVTGGAGYIGGTVSRILLAAGHTVTIYDNLCHSKREAVAPGTFIEADIADRPRIEQTLREGKFDGVMHFAALIEAGESMQKPEIYFRNNTASTLTLLEAMLATGNNKLVFSSTAACYGEPEKTPILEDATLKPTNAYGESKLLVEHILGWFHRVHGFRYASLRYFNVAGAIEG--YGEAHEPESHLIPLILDVALGRRESIKIYGSDYPTPDGTCVRDYIHVSDLADAHLLALQSLEKQTRAI-YNIGSGGGFTVRQVIDSVSRVTGKQIPVIEEPRRDGDPAVLVASSEKIKSELGWAPRYELDDIIASAWKWHQQ---------- 227820771 -----ILVTGGAGYIGSHMVWSLLDAGETVVVLDSLTTGFRWAVPEARFYFGDVGDRAVLARIFAENEIDAVVHFAGSAVVPESVAKPLAYYENNTAKTRMLIAATIEAGVRRFVFSSTAAVYGTDTPEPVKETAWLRPESPYGRSKLMSEIMLQDAAAAHDFSYVALRYFNVAGADPLGRAGQSTFGATHLIKVACEAALGKRRKVDVFGTDYPTADGTGIRDYIHVSDLVAAHRNALDYLRRGGEPLVTNCGYGEGFSVLQVLDTVRQVSGCDFRVDYAPRRPGDAAQVVADPTVARLKLDWVPTHSLEHIVQSAFDWYLSRKNSFD---- 126736253 ----RVLVTGGAGYIGSHTLLELMAQGHEVCVLDNYSNATPEVLTRVHDYVGDVRDSDKLDEVMQDFQPEAVVHFAGLKAVGESTQKPLHYYDVNVAGTLTLLRAMGRAGCHRIVFSSSATVYGEPVYLPYDEAHPTNPMSVYGRSKLIAEQVLTDWTAAYDTTAVLLRYFNPVGAHPSADIGEDPKDPNNLMPFIAQVAVGKRDALQVFGDDYDTPDGTGLRDYIHVVDLARAHVAAINYAQDATGARPFNIGTGQSYSVRDMVAAFERASGQPIATKQAPRREGDIAAMQADASRANAELNWSATHDLDAMTASTWAWQSKNPNGYD---- 241772220 -----VLVAGGAGYIGSQTCKVLASNGFLPVTIDNLSTGHKESVKWGPLIEADIRDAVAVQKAISDYDIKAAIHFAAFSLVGESTKDPAKYYDNNVSAATAFASHLIEGGVKALVFSSTAAAYGVPQTRLIGEDHPKKPINPYGDSKLAFETALHWLSQAHDLSYVVLRYFNAAGADLDGEVGESHRCETHLIPLICQAALGTGKALTVFGDDYATKDGTPIRDYIHVVDLANAHVEAIRYLLNGGKSDAFNVGTGEGLTVLEVIKAAESIMGMPVPHSVGPRREGDPEILVADVSKIRSTFNWSPLYSADTIIRTAAQWQKTRP-------- 296115694 ----HYLVTGGAGFVGSHVVLALRDAGHSVVVFDNLSTGHRAAVPPDVTVVGNLSDQALLNTVMTHKRFDGVLHFAALSLVGDSMRVPFTYLRQNYLNSLQLIEMCIHHAIPRFVFSSTAALFGTPEQQPIMEHATVNPGSPYGESKFLIERVLHWAETIYGMHSACLRYFNAAGSDPMGRAGEDHRPETHLIPLAIDTALGRRPDLSIFGADYPTADGTCVRDYIHVTDLAHAHLMALEQIETR--SVTYNVGNTTGFSNLDVIRAVERVTGRTVNWSWSGRRQGDPATLIAGSERLRRETGWTPRIALDDIVETAFRWRLIHPDGYA---- 168031585 -KQRWILVTGGAGYIGTHTVLQLLMEGYCVVIIDNLDNSCEEALHRVRFVKGDLCKVEDVLKVFKLHRFDAVIHFAGLKAVGESVSKPLRYYSNNLISTINLMDVMSKNNCKNLVFSSSATVYGQPECVPCTEDYPLHVMNPYGRTKLFNEDIMRDHHADSEWKIVLLRYFNPVGSHPSGEIGEDPMYPNNLMPFVQQVAVGRREMLTVFGKDYKTRDGTGVRDYIHVMDLASGHTKALDKLFTTPDIGCYNLGTGKGTTVLEMVAAFEEASGKKIPLRFAGRRPGDSSEVFASTEKAEKELGWRAKFGIKEMCRDQWNWAKTNPYGYRGQQE 134992788 ----RVLVTGGAGFIGSHTCLVLLEQGHELVVLDNFDNSCPEALRRVQKVEGDVRDSNALDHSFQVAGPDGVIHFAGLKAVGESVANPLLYWDVNVNGSRVLAAAMQRHGCRTLVFSSTATAYGEPETFPLREDMPTAPVHPYAQTKVAVEQMLKALSWSAPWQVACLRYFNPVGAHPSGEIGEDPLGPNNLFPFITQVAAGRRERLRIFGEDYPTPDGTGIRDYLHVMDLAEAHCKALNHLFKRTDPLTLNIGTGRGLSVLDVVHGFEQTTGLTIPYEIVERRPGDVPRLEACPQTAQTVLGWSACRSLEEMCRDGWAWQQANPAGY----- 254513948 -DRKRVLLTGGAGYIGSHSCLAFSEAGYQVSLLDNFCNSSPVVLERLEHHEADVRDEQAVQRVLEATKPDAVVHFAGLKAVGESVEQPLHYYDNNVSGTLSLLRAMQSSGVKQLVFSSSATVYGDPASVPITEDFPRSATNPYGRSKLIVEDMLMDLVTSDPWKVALLRYFNPVGAHESGLIGENPSGPNNLMPFVAQVAVGRREKLSVFGGDYPTRDGTGVRDYIHVVDLAQGHVCALAAKSDSAGPLTVNLGTGTGYSVLELVKAFEAASGRAVPYEIVDRRPGDVAQCYADPGSARELIDWEARFGIQRMCEDAWRWQSQNPEGFD---- 254282060 ------LVTGGAGYVGSHIVRTLLRQGHTTVILDDFSTGHRWATQGQEVVEVDLKDLPALRAALAGREFHGIFHFAAKSLVGESDEEALLYYQNNVDGTSNLLRVALENGWHRCVFSSTAAVYGNPVTATIDEDHPKAPINVYGETKLAMENLLEGVCNSKSFGAVCLRYFNAAGAADDASIGEAHTPETHLIPRALKAAAGNGGDLTIFGDDYPTADGTCIRDYIHVEDLADAHSKAMDYLQQHSGFVALNLGTGSGFSVKSVVNACEKVVNRAIPHTVGPRRSGDPDSLIADASKAKKLLGWRPKTDLHTIVASAWAW------------- 7638445 ----TVLVTGGAGYIGSHMVHALVDAGESVVVIDNLSTGFSAFLPEGPLFIGDAGDENLVEGVIAQHGIDSIIHFAGSVVVPDSMRDPLGYYRNNTMTTGSLLNAAVKGGVSRFIFSSTAAVYGNPDRVPVPEIAPTRPLSPYGSSKLMTEIMLHDVASAHGMSYVVLRYFNVAGADPKGRVGLATTGATHLLKIAVEAATGQRAKIDVFGTDYPTQDGSCIRDFIHVSDLVEAHRAALSYLRAGGQSVTLNCGYGRGYSVLETIEAVRRVSMRNFAVAYAARRPGDIMTMVADTTRIRSLLDWTPRFDLETIASHALAWEEKLFR------- 261344377 MQKIEVLVTGGLGYIGSHTCVQMIAAGISPIILDNLCNSKMEVLFRPVFYQGDVRDEQCLKAIFSTHHIHSVIHFAGLKAVGESVEKPIEYYDVNVNGTLVLARCMQNAGVKSLIFSSSATVYGEPTSLPITEDFPTNTQSPYGTSKYMVERCLSDYQADKAWSISLLRYFNPVGAHSSGLMGEDPQGPNNLTPYIAQVAIGRRPKLTVYGNDYPTVDGTGVRDYIHVMDLADGHVAALNKLGEKAGLHIYNLGTGNGNSVLQVLHAFEKAAGKPIPYVFEARRAGDIAEYWSSPAKATAELGWHATRTIDDMAADTWRWQLHNPNGYESER- 251799714 -----ILVTGGAGYIGSHTCLELINAGYELIVLDNFSNSNIVPLKHIKFYHADLLNKNSIEKIFMENKIDAVIHLAGYKSVGQSVQSPLSYYHNNVTGTLFLCQTMQKFNVTKMVFSSSATVYSINE-GAISEDAQLGSSNPYGRTKLMIEEILKDYVSDNNWSISILRYFNPVGAHSSGRLGEESNGPNNLMPYITQVAIGKLEQLQIFGGDYPTPDGTGIRDYIHVMDLAAGHIRAIERVMTSTGVKAYNLGTGNGYSVMEMIIMFSQVSGRKIPYTIVDRRPGDVAVCYADPSMAKRELFWEAERGIEEMCEDAWRWQLINPSGYKE--- 94499404 ---KTILVTGGAGYIGSHTCVELLEAGYDVVVLDNLSNSNPKSLKTLQFIEGDVRDREVLQAIFNKHDVYGVIHFAGLKAVGESCEMPMHYYDNNVYGSIVLTQEMEKAGVKNLVFSSSATVYGDPERLPLTEDMPLSATNPYGRSKLMIEDMLRDLEQEIKWNIAILRYFNPVGAHKSGNIGEDPNGPNNLMPYITQVAIGKLKELQVFGGDYDTHDGTGVRDYIHVVDLAKGHVKAIEAIGHTTGAKAINLGTGNGLSVLDLVKSFEKQNSVSIPHKITDRRPGDVAACYADSSLAQQLLDWRTEYNEEQMTKDSWHWQQKNPTGYK---- 291235297 -DNKCILVTGGAGYIGSHTVVELVNAGYDPVVIDNCANAVRASENGRPYYDIDLLNKAALDDLFAKHSFIAVIHFAGLKAVGESIEIPIEYYKVNICGTLNLIECMKKYQVSNLVFSSSATVYGTPQYLPIDENHTVGSTNPYGKSKYFIEEILRDVGKEKDWNIILLRYFNPVGSHKSGLIGEDPQGPNNLMPYVAQVAIGRRSQLSIFGGDYDTPDGTGVRDYIHVVDLALGHIAALKKVSTKCGLKVYNLGTGKGYSVLDMVRGMEKASGKKIPYKIVDRRDGDVGSCYAEVTLAQTELGWKSDRNLEEMCEDLWRWQTKNPKGFQTDN- 304401322 -----ILVTGGAGFIGSHTVVELLNAGYDVVIMDNFSNSKPEALNRIRFYEADMLDLAAMDRIFEENKIDAVIHFAGLKAVGESVAKPVEYYHNNITGTLLLIQAMRKAGCKKIVFSSSATVYGPVNKAPYTEDMPTSATNPYGYTKVMIEQILRDVCADPEWSVSLLRYFNPIGAHESGLIGEDPNGPNNLLPYICQVAVGKLERLGIFGDDYDTPDGTGVRDYIHVVDLAKGHLCALKYVMENTGCDAVNLGTGKGSSVYDVLHSFEKACGKELPYKVMPRRAGDIATCYANPDKAKKVFGWEAKLTLDEMTASSWNFIKNNPEGL----- 136142392 ------LITGGAGYIGSVTARAIRASGRAVVVLDTLENGSRRAVGDAPFVQGSVADADLVRRTVEEHGVDEVVHFAAYKAVGESMTDPGKYFSNNVAGSQALLRVLHSCGVGRVVFSSTAAVYGTPDRVPVREDDPVRCESVYAETKSMVERTLGWYAATTSMRSVCLRYFNAAGASDDASLGENWDFSENLVPHVMKAVLGRSAGLKVFGDDWPTPDGTGVRDYIHVEDLASAHVAALDLLDGGGNSVTLNVGTGQGTSVLEIIAATERVTGKSVPHEVVGRRAGDVSEVWADASRIRELTGWEPTRTLDDIIATAYAWHSANPDG------ 156408682 --SKKVLVTGGAGYIGSHCVVEILNAGYEVVIIDNLSNSDAECVRRVEEISGDLLNKEALDDIFKKHKFNGVLHFAGLKAVGESVQIPLRYYHNNLTGTLHLLECMKKHGVHNLVFSSSATVYGDPQFLPITESHPAGGTNPYGKTKYFIEEMLKDLCYAEKFNIVSLRYFNPVGAHKSGKIGEDPKDPNNLLPYITQVAVGKRPHLNVFGKDYDTPDGTGVRDYIHVVDLAMGHVAALKKVEEKCGWKVYNLGTGRGYSVLEMVKAVEKASGKEIPYKFTDRRAGDIASCYADPSLAAKELNWKATRAQNEMCEDSWRWQANNPNGYRK--- 225706798 ----KVLVTGGGGYIGSHCVVELIEAGFCPVVIDNFSNARVEKVLNIEFHELDLLDRPGLEKIFQKHTFSAVMHFAGLKAVGESVEQPLKYYRVNLTGTINLLEVMQAHGVHNLVFSSSATVYGDPQRLPIDEQHPVGGTNAYGKTKYFIEEMIMDQCAEKDWNAVLLRYFNPIGAHSTGVIGEDPQGPNNLLPYVAQVAIGRRKHLNVFGNDYNTVDGTGVRDYIHVVDLAKGHIAALKKLKDNCGCKVYNLGTGTGYSVLQMVKAMEKASGRQIPYQIAPRRGGDIASCYADPGLAEKELGWKADFDLERMCEDLWRWQSKNPSGFLN--- 126732873 ----NVLVTGGAGYIGSHACKALKAAGYTPVTYDNLVTGWQDAVKFGPFEQGDLMDRARLDEVFRKYEPAAVMHFAALSQVGEAMAKPGMYWRNNVCGSLTLIEAAVEAGCLDFVFSSTCATYGEHDNVVLDENTPQEPLNAYGASKRAVEDILRDFGAAYGLRHVIFRYFNVAGGDPEGEIGEHHRPETHLIPVMLEAVDGKRPALTVNGTDYDTPDGTCIRDYVHVCDLVDAHVLGLKWLKDGKGSRVFNLGTGKGFSVREVITAAGTVTNAEVPCSEGPRRAGDATKLVSGSVRAHEELEWVAHRSMPQMIADAWRWHRN---------- 293606516 MPDMHVLVTGGAGYIGTHTLIAMLAAGQRPLVLDNFSNGSREAVRRVEQIEGDIRTPGLIERVLSDAAVQAVLHLAGCKAVGESVADPLKYYDNNVTGSMVLLRAMQEAGVARLVFSSSATVYGEPQCLPFTETHPLAPANPYGRTKLMVEEMLRDVCAQPGFSAVTLRYFNPIGAHPSGQIGESPRDPNNLFPYLTQVAVGRQPHLRVFGDDYDTVDGTGVRDYLHVMDLAQGHVQALAYGADHPGFVAVNLGTGRGTSVLELVRAFEQASGLRIPVQIVPRRPGDVARTWADPSLAESLLGWRSTYDVAAMCADGWRWQQGNPQGYE---- 225426811 --DRTILVTGGAGFIGTHTVVQLLSEGFTVWIIDNLDNSVLEAIERVHFNLGDLRNKADLEKLFSQTKFDAVIHFAGLKAVGESVVNPRRYFDNNLIGTINLYEIMAKYNCKKMVFSSSATVYGQPDKIPCVEDFNLMAMNPYGRTKLFLEEIARDIQKEPDWKIILLRYFNPVGAHESGKLGEDPKGPNNLMPYIQQVAVGRLPELNVYGHDYPTRDGSAIRDYIHVMDLADGHIAALRKLFTSEDIGCYNLGTGQGTSVLEMVAAFEKASGKKIPIKLCPRRAGDATAVYASTEKAAKELGWKAKYGIAEMCRDQWKWASNNPWGYHSK-- 281206541 -----VLVTGGAGYIGSHTVVELINANYVPIVIDNLNNGYVEALFRVEFHKIDLMNEVALSQLFDIRPITMVIHFAGYKSVTESVSKPLSYYDNNITGTLNLLKVMQAHNVKNIVFSSSANVYGNAETVPITEDLRHAATNPYGRTKMFVEAILKDQCISDEWNCILLRYFNPVGAHPSGLIEDPHDIPNNLVPYITQTAIGKREFLSVFGGDYETPDGTGIRDFIHVVDLARGHIAALDHISKNPGCVAYNLGTGRGYSVLEMVAAIGRAAGKDVPYKIVDRRPGDIGVSYADPSKAQRELGWKAVYNQNDMCEHAWKWQSMNPNGYRD--- 219120947 ---RTVLVTGGAGYIGSHTCLELLEKTYKVVVIDNLDNSSEESIKRVQFRNCDIRDRRRLKAVLDEFNISSCIHFAGLKAVGESVSKPLMYYDCNVGGTVQLLEQLNNKGIKNFVFSSSATVYGEPEMLPLVETARLQATNPYGRTKLFIEEILRDHASDDSWNTLILRYFNPIGAHPSGKIGEDPQGPNNLMPFVAQVCVGRREKLSVFGDDYDTPDGTGVRDYIHVVDLAKGHVAALEKYSDQVGCRAVNLGTGQGVSVLELVKGMGKATGKAVPYEISPRRPGDVATVYADASLAQELLGWKAELGVDAMCEDTWRWQSTNPNGYQQQ-- 204789671 -----VLVTGGAGFIGSHTCVELINAGYEVVIVDNLYNSCREAVKNVKFYNVDLLDKQALENVFKSESIDSVIHFAGYKAVGESVRKPLEYYHNNITGTLILCDVMRNHGCKSIVFSSSATVYGDPAFVPITEDCPTGGVNPYGRTKFMIEQILSDVVSDNEWNVILLRYFNPIGGHESGLLGENPKGPNNLLPYVTQVAVGKLEKVGVFGNDYDTKDGTGVRDYIHVVDLAKGHVCALKKIDEDNKVKIYNLGTGNGYSVLEVIKSVSKAVGRDIPYEIKDRRPGDIATCYADPAKAKAELHWEATKDIDEMCADAWRFQKQNPDGI----- 167997645 -----ILVTGGAGYIGTHTSLQLLLDGYKVVILDNLANSSEEGLRRVVFYKADLCDKDAIEAVFDKHRFDAVIHFAGLKAVGESCAKPLPYYINNILGTLNLLDVMNVYNCKKLVFSSSATVYGQPESVPVTEESRLFVLNPYGRTKLQVEEMMRDITAADDWRCIVLRYFNPVGAHPSGRLGEDPQGPNNLMPFVQQVAVGRRKELTVFGTDYNTKDGTGVRDYIHVQDLATGHSAALHKLFTTPDIGCYNLGTGKGTSVLEIVAAFEKAAGLKIPLRIAGRRPGDCSVVYTATEKARKELGWKAQNGIDEMCRDQWKWASNNPDGYRSSQE 283457671 ----KVLVTGGAGYIGSHTVLELLKAGHDVVVMDNLANSSEESLKRPEFHKVDLLDLEGMKALFKQVRPDAVIHFAGLKAVGESAEKPLWYYQTNVAGTLNLLYAMDEADCHSIVFSSSATVYGEPESMPLIEKMNMDAQSCYGRTKEHIEDMLVDLAASDKWNIALLRYFNPVGAHESGRIGEDPAGPNNLVPFIAQVAVGRREHLNVFGNDYPTVDGTGVRDYIHVVDLADGHLKALNYITEHGGLHTWNLGTGNGYSVLQVLHAFEEACGKELPYKIVDRRPGDVAVSYADPSSALADLGWSASRDIKTMIRDHWNWQKNNPNGYE---- 300727606 --KQTILVTGGTGFIGSHTTVELIEAGYDVVIVDNLSNSKIEVLVRPAFEQVDLRDKDATEEVFKKYKIEGIIHFAASKAVGESVQKPLLYYRNNIVSLVNLLELMPQYDVKGIIFSSSCTVYGKPENLPVTENAHQKATSPYGNTKEINEQIIFDYIHSAAIKSIVLRYFNPIGAHPTALIGELPNGPANLIPYVTQTAMGIRKELTIFGKDYDTPDGTCIRDYIYVVDLAKAHVAAMARVLDQDTDEYFNIGTGRGNSTLEIVETFEKATGVKLNWKYGPRREGDIEKIWGDCTKANKVLGWEAKTPLEDVLASAWKWQQK---------- 254374614 --NKKILVTGGVGYIGSHTVVELLDRDYQVVVVDNLSNSKVSVIKDFDFYQLDLLDKAKLTKVFQEHDIYAVIHFAGFKAVGESVEKPLEYYHNNIQGTLNLLELMQEYKVYKFVFSSSATVYGMNNKPPFTEDMPLSTTNPYGATKLMLEDILRDLQANNNFNITCLRYFNPVGAHSSGMIGEDPQGPNNLMPYVAQVGAGKLAKLSIFGGDYETIDGTGVRDYIHVVDLAIGHILALEKSQDKPSWRAYNLGSGNGYSVLEIVKAYQKALGKEIPYQIVARRAGDIAASFADVAKAKRELGFETQKTIDDICDDMLKWQK----------- 300722033 ME---ILVTGGMGYIGSHTCIQMIDAGMTPIIIDNLCNANREVLARIEFYEGDIRDESFFDTIFSRHQIQSVIHFAGLKAVGESVAKPIEYYDNNVNGTLVLVRSMHKAGVKSIIFSSSATVYGDPDVVPITESTVGNTTNPYGTSKYMVERCLSDHHAENDWSVVLLRYFNPVGAHPSGTLGEDPQGPNNLMPYIAQVAVGRREKLSVYGNDYPTPDGTGVRDYIHVMDLADGHIAALSAVGKKAGLHIYNLGTGKGTSVLEMVAAFSHACGKPVPYEICPRRPGDIAECWSSPEKAERELGWKANRTVAEMTADAWRWQSQNPNGY----- 119505441 ----HYLVTGGAGYIGSHLVLALVEAEHRVTVLDDFSTGHRWATEGHEVIEVDIRDLAALRSALLHRHFDGVFHFAAKSLVGESGQKPLLYYQNNVSGTANLLEVALESGWGHCVFSSTAAVYGSPQARVIAEEHPLNPVNVYGETKLAMEQMLSAVHKQGAMQAVCLRYFNAAGAAPDAHRGEWHEPETHLIPNILRKAAGEDRALTIFGDDYDTPDGTCIRDYIHVLDLAQAHLKAMTMLHREGGFHTLNLGSEAGYSVREILEACETTVGRPITHEIGPRRRGDPARLVADASRAGQILDWRATRSLGEIVESAWLWEQE---------- 241761233 ----RYLVTGGAGFVGSHTVLALLDAGHEVVVLDNLSTGHIQAVPKSEFHHVDLLDKTAIANVIANRAWDGVFHFAALSQVAESMRKPLKYFYHNYLTAFNLIQTCIENNINKLVFSSTAAFGGENRVDPISETDLIQPGSPYGESKYMIERILYWADRIYHLHSACLRYFNAAGADHLGRAGEDHRPETHLIPLTIDSALGRRPTLKLFGTDYPTRDGSCIRDYVHVSDLANAHLRAIDQINDR--SVVYNVGNGQGYSNLEVIESVERVSGQKVSWEPAPRRQGDPAVLVADSTALQKDTGWMTNFSIDKIVETALLWREKHPQGY----- 302326798 ----KIAVMGGAGYIGSHTIIELYKAGHSVVVVDNLVNSSDESLRRIPFVKADVRDAAAMDKIFSENKFDACIHFAGLKAVGESVAKPLEYYENNMNATFVLLHAMRNHGCKNLIFSSSATVYGNPAQIPITEACPKGCTNPYGQTKSMLEEVLRDVQKADPWNIVLLRYFNPIGAHPSGRIGEDPNGPNNLMSYITQTAVGLRKELGVFGNDYDTPDGTGVRDYIHVCDLASGHVSALKAIERKCGLAIYNLGTGHGYSVLDVVKAFEKVNNVKIPYSIKPRRAGDIATCYCNPQKAFDELGWKAQYGIEEMCRDAWNWQKNNPKGYK---- 294673584 --KQTILVTGGTGFIGSHTTVELQEAGYEVVIIDNLSNSNANVVIRPAFEKVDCCDMEALEGVFKKYKIEGIIHFAASKAVGESVEKPLMYYRNNLTSLINLLELMPKYDVKGIIFSSSCTVYGSPENLPVTENAPQKAMSPYGNTKQVNEEIIQDYIHSAPIKSIILRYFNPIGAHPSALIGELPNGPMNLIPFVTQTAMGIREQLKIFGNDYNTPDKTCIRDYIYVVDLAKAHVKAMERVLDKPECEVFNIGTGKGLSTLEVVEGFEKATGVKVNWTYAPRREGDIEQVWGNVDKANKVLGWKAETPTEEILRTAWRWQQQ---------- 297564079 ----RILLTGGAGYIGSHTAVELVARGHQVVVLDNLVNSSEEAVRRIPFVRGDCTEQGVVERVLVEHGIEAVVHFAGLKAVGESVSQPLRYYRNNLDALLTLAETMDRVGVRDLVFSSSATVYGDPDTVPIAEGSALRVTNPYGATKLFGERILEDAVSAPDWRITVLRYFNPVGAHSSGLIGEDPNDPNNLFPYISKVAAGRLPELTVFGDDYDTADGTGVRDYLHVVDLARGHVAALDHLASQKGLRAFNLGTGRGTSVLEAIRAFEAACGHTIPFRVGPRRDGDIATCFADPGLANRELGWRAEHTVAEACADAWRWQSANPDGY----- 291326791 -----ILVTGGLGYIGSHTCVQLIKAGLSPVIIDNLCNAKLEVLVRPVFYQCDVRNEQQLAHIFSSHQFHSVIHFAGLKAVGESVENPLRYYDVNFNGTLVLMRCMQEANVKSLIFSSSATVYGEPSRLPITEEFPTNTQSPYGTSKYMVERCLSDLFHSDPLWSLTLRYFNPVGAHPSGLMGEDPQGPNNLTPYITQVAVGKREKLVIYGNDYPTVDGTGVRDYIHVMDLADGHVAALQKVGNQAGVHCYNLGTGNGTSVLQMLHAFEKAVGKAIPYVIEPRRAGDIAEYWSTPEKAHHELGWQAERTLEDMATDSWRWQSNNPNGYE---- 307691677 -----ILVSGGAGYIGSHTCVELIQAGYEIVVADNLVNSSEESLKKVPFVKTELCDEAQVEALFAQYDIDAVIHFAGLKAVGESVAKPLEYYTNNLVNSLVLLNAMRRHGVKNFVFSSSATVYGDPASVPIREDFPTGTTNPYGTTKLFLERILTDICAADPMNVALLRYFNPIGAHESGLIGEDPNGPNNLVPYIAQVAVGKLEKLHVYGNDYPTPDGTGVRDYIHVVDLAKGHVAALKKLDTKCGLFVCNLGTGKGYSVLDILHAYEKACGKTLPYVIDPRRPGDIAECYADPAKALNEMGWQAQYGIEEMCASSWKWQSMNPNGYK---- 126696753 MHCKRIVVTGGAGYIGSHFCKTAFLKGHKTFVIDNLITGNYDFIKWGEFYKLDIREESSFKELLLKIKPDYLVHFAASAYVSESIFKPLDYISNNIDGMRSVCKICSEIKIP-IVFSSSCSVYGEAKNVPINESEPLNPLSPYGETKLFCEKILKWCSNAYGLRWVSLRYFNAAGADEDLEIGEKHDPETHIIPLAIRALGDSGETLKIFGRDYDTFDGTAVRDFIHVMDLASAHLKAIEYLAEGGMSNIFNLGSGNGTSIKSIINGLENISSKQVKLKYCERREEDPSCLFADISKAKSILNWQPEFSLDNILRSAWKWHKK---------- 284046863 ----KLLVTGGAGYIGSIVAQQLLAGGHEVVVLDSLERGHRAAVPDGARLEIDLRDAEAVVDAVA-EGFDGALHFAAYALVAESVARPEIYYRNNVLGSLNLLDGLRAAGVQRLVFSSTCAVYGEPEVVPMDETTPTRPVNSYGASKLAVDGMIADECRAHGLGAVSLRYFNVAGA--SGCLGEDHYPETHLIPNVLRAAQGLQDAVKIFGTDYPTPDGTAVRDYIHIEDLARAHVLALE-GARPSEHRIFNLGSGDGYSVREVIEAVRTVTGLDVPVQEAGRRPGDPPRLVAANGRIRAELGWAPTKSLTEMVADAWAFAQERPHGYA---- 167753850 --KETVLVTGGAGYIGSHTAVELIQAGFDVVIADNLSNSDLQAVEEVPFEQIDCCDLQAMRRLFERHEFRSVIHFAASKAVGESVAEPLKYYRNNLLSFLNVVELMCDFGRPNILFSSSCTVYGEPDAQPVTEQTPRKPTSPYGNTKQISEDILRDAVAAHGLRGIALRYFNPIGSHPSALIGELPRGPQNLVPYVTQTAAGIRECLSIFGNDYPTPDGTCIRDYIDIVDLARAHVAALHRLIEERGYEVFNVGTGRGVSVLELVRGFERANGLKLNYRFAPRRAGDITAIWADPTLANTLLGWRAERPLEETLRTAWQWQL----------- 310780550 ----RVLVTGGAGYIGSHAVVELLDGGYEVIILDNLETGHIELVSRAKFYKADLREKESLRNVFKKEKIDVVMNFAAYIKVGESVTEPNKYYENNTGGVLNLLEIMKEFNVKNIVFSSTAAVYGVSGDDLVSESFDSQPINPYGMSKFMAEEIIKDSASAYNMNYVIFRYFNVAGAHEKYHIGQIGEGMTSLIPVVLEAAKGERDKVEVFGDTYSTKDGTGVRDFIHVTDLARAHVMAINK-LKKEESGLFNLGNGNGFSVFEILDAARRVTGKEIPAVISEKRPGDPACVVACSEKANEDLGWEPEYTIDDIIKTAWNWYKN---------- 240140022 -----VLVTGGAGYIGSHMVLALVDAGHEVVVLDDLSTGYDWVLPEVRLVVGDVADQALVTETILRHQIDTVAHFAAKIVVPESVADPLGYYLANTVKTRALMETAVRTNVRHFIFSSTAAVYGEPEIVPVPETLTPNPINPYGRSKLMSEWMLADAAAAHGFTYGVLRYFNVAGADPRGRSGQSMPAATHLIKVATQAALGQRTHLEVFGTDYPTRDGSCLRDYIQVSDLAAAHLTVLDYLRGGGDSLTVNCGYGRGYSVLEVVEVVKRISGRDFEVRLSPRRPGDPAQIIAGADRIRNELGWTPKYDLDVIVAQALAW------------- 297849662 ---QNILVTGGAGFIGTHTVVQLLKDGFKVSIIDNLDNSVIEAVKKLDFNLGDLRNKGDIEKLFSKQRFDAVIHFAGLKAVGESVGNPRRYFDNNLVGTINLYETMAKYNCKTMVFSSSATVYGQPETIPCMEDFELKAMNPYGRTKLFLEEIARDIQREPEWRIVLLRYFNPVGAHESGSIGEDPKGPNNLMPYIQQVAVGRLPELNVYGHDYPTKDGSAVRDYIHVMDLADGHIAALRKLFADPKIGCYNLGTGQGTSVLEMVAAFEKASGKKIPIKLCPRRSGDATAVYASTEKAEKELGWKAKYGVDEMCRDQWNWANNNPWGYQKK-- 160872585 -----ILVTGGTGFIGSHVCVAFANAGYNIVILDNLRNSYFEVVDRLECIEGDILDSNLLDHIFFENNISAVIHLAGLKAVSESIKNPLKCYNNNVEGTLTLINAMRKSNVKKLIFSSSAAVYGEPKCVPIRENFPLSPINPYARSKLMVENILTDHHAEPDWHIVCLRYFNPVGAHESGLIGEDPKKFTHNMPYLTQVAIGRSKQFNIFGGNYPTVDGTAIRDYIHVMDLAEGHVAALSNYTNWKRGVTVNLSTGKGLSVLEVLRAFTEFNQCKIAYRILDRRPGDVAECWADPTNAQRILNWKARRSLAQICKDSWRWQKANPNGY----- 218554604 -----ILVTGGCGYIGIHTVYTLISQGYSVVVLDNLTNSTMEPLRRIPFYEGDVGSERLLEEIFIEHHITSIIHFAGLKSVSESILNPVEYYLNNVAQTLSLLNAMIKNNIKDLIFSSSATVYGKPENCPITESENTGTTNPYGTSKYIMELILSDVCKYHDLNITVLRYFNPIGAHESGNIGEHPNGPNNLFPYLTQVAIGAHPYLNVLGSDYPTPDGTGVRDYIHVMDLAEAHVKALLRNTSCPGLKTYNLGTGKGYSVFDIIREFEVVTGVKIPYKVMPRRNGDVAECWSDSSKANKELQWTAKRDLKDMIRDAWHWQSKNPHGYDS--- 225856707 ---KSLLITGGAGYIGSHTVLDLLDNNYEVTIIDDLSNSKKKVIRNLHFYKIDLKNEEKLENVFKRHNFDGVIHFAGYKAVGESVVEPLKYYENNLLSTINILKLMKKYKVFNFVFSSSATVYESTPIMPFYETNPLKASNPYGRTKQYIEVLLNDFISNSNWKIVCLRYFNPLGAHESGDLGEDPNGPNNLVPYITQVAIGKLPYLNIFGVDYSTPDGTCIRDYVHVNDLADGHRKALEYIFNTDEGLYINLGSGVGFSVFEILHSLESVIGSYIPYKITSRRAGDMDVSIADISKAEELLGWKPRYDIMKMCQDTWKWQQKHPNGYDD--- 238751059 --------TGGAGYIGSHTVLALLEQGENVVVVDNLSNSSAESLLRAAFYQGDILDRNCLKKVFSEHKIDAVIHFAGLKAVGESVSKPIEYYQNNVVGSIVLLEEMVASGVKKLIFSSSATVYGDPEFVPLTEDARIGTTNPYGSSKVMVEQILKDFFAHPDFSIRALRYFNPVGAHPSGLIGEDPNGPNNLLPFITQVAIGKLPKLAVYGNDYPTLDGSGVRDYIHVMDLAEGHLCALNKLT--PGFKVYNLGSGVGYSVLQMIAEFERISGKKIPYEIVARRSGDIAECWASAELALKELDWKTKRNLTDMLTDAWKWQQSNPNGYAR--- 112434154 -----ILSTGGAGYIGSHTVVELVNAGFNVVVLDNLSNSSPKSLQRVKFYQGDVLDRAMLQQIFAENAINSVIHFAGLKAVGESVQKPMAYYQNNVVGSLVLVEEMKKAGVWNLVFSSSATVYGDPEIVPITEQCKVGTTNPYGTSKLMVEQILTDAKAEPQFSSTILRYFNPVGAHHSGLIGEDPTGPNNLLPYISQVAIGKLPQLFVFGSDYDTHDGTGVRDYIHVVDLAIGHLKALERHQNDVGLHIYNLGTGVGYSVLDMVSAFEKTNGIQIPYKLGDRRPGDIATCYSDPSLAAEGLGWKAERGLEQMMQDTWNWQKNNPKGYRD--- 307130127 ----KVLVTGGIGYIGSHTCVQLIAAGHQPVILDNLCNSKSSVTKAIAHYQGDIRDSGLLQTIFAEHDIGAVIHFAGLKAVGESVREPISYYDNNVYGTLTLVEAMKHAGVKTLIFSSSATVYGDQPQIPYQESFPTGPASPYGRSKLMVEQILQDLQREPDWSVVLLRYFNPVGAHPSGEMGEDPQGPNNLMPYIAQVAVGRRDSLAIFGNDYPTVDGTGVRDYIHVVDLADGHIAAMNTLHGKPGVHIYNLGAGVGYSVLQVVEAFSRACGKPLPYHFAPRRDGDLPAYWADAEKAARDFNWRVSRTLDEMAADTWRWQSRHPNGFSD--- 148549113 ---KKILVTGGAGYIGSHTCVELMSLGHEVVIFDNFSNSSPVALERIKHVFGNILDQDAIEKALIENKCDMVIHFAGLKSVGESTREPLSYYENNVAGTLKLLQAMKNCNVKNLVFSSSATVYGQPQYLPLTENHPLSTTNPYGSSKLIIEEMLRDYTSDKTWSITILRYFNPVGAHSSGRIEDPHGIPNNLMPYVAQVAIGKLEKLTVFGDDYDTHDGTGVRDYIHVVDLALGHVKAIE-QLGESQCLAINLGTGIGYSVLEVVNAFQASSNREVPYQLAPRRQGDVASCFANAELAKNVLHWEAKLGLEQMCQDHWNWQYRNPKGYN---- 91975595 -----ILVTGGAGYIGSHMTLALQAAGERPLVIDDLSAGLRSAVPDGPLFAGSVGDAALVGDIMDRYPIAAIIHFAASVVVPESVARPLDYYRNNTANARTLIDCAVQRKVPHIVFSSTAAVYGEPDRTPISEGQSTQPINPYGRSKLMVEWMLDDVARAHPLSYAALRYFNVAGADPDGRAGQSSPNATHLIKIAVQAALGKRDGLDVYGTDYPTADGSCVRDYVHVSDLVAAHIDALRYLRAGNPSVICNIGYANGYSVLDVIEVVKRVSGVDFDVRIKGRRPGDPAALVASNELAKSLLGWRPRHDLETIVRHALAWERRLP-------- 268608375 ----TILLAGGAGYIGSHTAVELLESGYDVIIADNYSNSCPEVINRVEEYEVDIKDKEKVDALFSENKIDAVIHFAGLKAVGESVAMPVAYYRNNIDTTLTLLECMEKHGVKNIIFSSSATVYGEENPVPYTEEMKRGTTNPYGWTKVMMEQILEDAAKADDLSVVLLRYFNPIGAHVSGKIGEDPQGPNNLMPYVAQVAVGRREKLTIFGRDYDTPDGTCRRDYIHVTDLAKGHVKAIDYVFGAKGVEIFNLGTGTPYSVTEIVETFENVNDIKINHVYGDRRPGDLAESYANADKALKVLGWKTEKTLADMCRDTWNWQKNNPNGYRK--- 171060678 ----TVLLTGATGYIGSHTWLALHKAGYRVLGVDNFANSSPQVLDRPAFEEADVNDTAAMERIIAAHGVQAVVHFAAHKAVGESAEQPLEYFRNNLGGLVSVGQAMAAQDVFSLVFSSSATVYGQPETLPIREDSALSATNPYGLTKLMGEQLLRELERCDSWKIAYLRYFNPVGAHSSGLIGEDPRGPNNLMPYVAQVAVGKRPFLQVYGNDYDTPDGTGVRDYIHVVDLAAGHVAAVRHLLERKQSLTVNLGTGQGYSVLDVIRAFERASGRAVPFKTVARRPGDVASCYADPALARELLGWQAELGLDAMCADSWRWQSRNPQGYA---- 296268654 ----RLLVTGGAGYIGSVVAAQLVEEGHDVTVLDDLSTGHADAVPEGRFVRGSITDAGGLLA----EGFDAVLHFAAKSLVGESVERPGEYWACNLGGTLALLEAMRAAGVPRIVFSSTAAVYGEPERVPIEETDPTRPASPYGASKLAVDTALTAFAGLYGLAAVSLRYFNVAGA--YGRFCERHAVETHLIPNVLKVALGERESVSLFGTDYPTEDGTCVRDYLHVADLARAHLLALRAC-TPGTHRIYNLGNGTGFSVKEVIDVCREVTGRPIPVVVGPRRPGDPAVLVASAEKIKRELGWKPERPLHEIVADAWE-------------- 87301731 ------LITGGAGFIGSHTCLVLLEAGHHLVVLDDFSNSSPEALRRVQEIEGDLRSSGDLERAFAVAPIEAVVHFAGLKAVAESVADPLRYWDVNLSGSRALLAAMVQAGCRTIVFSSSATLYGSPESVPIAESARVAPVNPYGYSKAAVEQMLADYASEPGWRVARLRYFNPVGAHPSGRIGEDPGGPNNLFPFLSQVAVGRRPRLQVFGSDWPTADGTGVRDYIHVMDLADGHRAALELMAEPPQLLTLNLGSGRGHSVLELLAAFERACGSPVPYDLVPRRPGDVAATVADPSLAQRRLAWRTQRDLDAICRDGWAWQSANPKGYGPRGD 144033872 ----KLLVTGGAGFVGSHSVNALLQAGHDVTILDNLSTGHRWALQGCELIPIDLRDTYNLNRKLKGRGFEGVLHFAAKSLVGESKTQPAMYYQNNVGGTTNLIRAMQAADIQKLVFSSTAAIFGNPVSDLIAEDHPKAPINVYGQTKLVVEQMLQAVTQSADFSATCLRYFNAAGANNASELGEWHEPETHLIPNALRAAAGTGNPLTLFGDDYPTVDGTCVRDYIHVDDLASAHVAAIEKMSKSGVFSAYNLGNGNGYSVKEVIAACEKAVGAEIPYTVGPRREGDPATLVASAQKVRNELDWSPKEGIDEIAQSAWNWYRR---------- 268680412 -----ILITGGAGYIGSHTLIELANANYDFVVYDNLSNSSKESLKRVTFIEGDVRNTQKLKEVFLTYSIDSVIHFAGLKAVGESVAKPLQYYDNNVVGTLNLLEMMKKFDCKKIVFSSSATVYGDPTTTPIVEHFPVGTTNPYGTSKYIIERILEDYISDNSFQIVILRYFNPVGAHESGTIGEDPNMPNNLMPFISQVAVGKREYLSVFGSDYDTHDGTGVRDYIHVVDLANAHVKAIDYLNKQLDNIKLNIGTGKGYSVLDVVKAFEKASGKEVPYRLCGRRIGDIAKCYANPAYAKEILGWEAKRTLEQMCEDSWRWQSNNPNGYG---- 300021629 -----VLVTGGAGYIGSHMVLELLDAGEKVVVIDNLSTGYHWAVAPGDLVVADIADTDVVRQTIRDHDVNAIIHFAGSIVVPESVADPLGYYLNNTVKSRGLIAAAVECGVKNFIFSSTAAVYGNPKENPVTETAELAPMSPYGSSKLMTEIMLTDTSRAHDFRFVALRYFNVAGADYKGRSGQSTPKATHLIKVACETAVGKRGQMEVFGTDYPTSDGTCIRDYIQVNDLAAAHRAALKYLRSGGASEIFNCGYSKGYSVHEVIAAVKRASGNDFKVVLSPRRAGDPAAIVAASSKVRDALGWTPQHDLDEIVLQALNWER----------- 187880653 -----ILVTGGTGYIGSHTVTELLKEGYEVIVIDNLINSAYDVVDRIKFYKGDITDESLLFKIFTENNITDVIHFAGLKSVGESFSKPVEYYNINVTGTLKLVNAMLLAGVQHIIFSSSATVYGIPEKIPLTEQCSVGTTNPHGTSKFMAERILQDANANKKFHVTLLRYFNPVGAHPSGLIGEQPQGPNNLVPFLTMVASGKLDMLSVFGNDYPTKDGSGVRDYIHVMDLAEGHIAALKHNPTHSNFHVYNLGTGQGYSVLELIKIFEEVTGIAVKYNIADRRPGDIAECWADPSLAEEELRWRAHRTIEQMMVDAWNWQLKN--------- 150395395 -----VLVTGGAGYIGSHMVWSLLDAGEAVVVLDCLSTGFRWAVAPEPFYLGDAGDRALLQRVFAENDIDSVVHFAGSAVVPESVANPLAYYENNTANTRTLVAATIEAGIRHFVFSSTAAVYGTQDTPPVSETAAPRPQSPYGRSKWMSELMLADAAAAHDFRFVALRYFNVAGADPLGRAGQSTFGATHLIKVACEAALGKRHKVDVFGIDYPTADGTGVRDYIHVSDLVAAHRSALAYLRMGGEPLVTNCGYGHGFSVLQVLDTVRQVSGRDFTVDYAPRRPGDPAQVVADPSVARLKLDWVPTHSLEHIVQSAYDWYLSRKNSFDREGD 156539983 ---KTIFVTGGAGYIGSHCIVELLESGYDVVAIDNFANGDGESAALRRVEKIDLLDKDKLEQVFNKHKIDCVVHFAAIKAVGESMQIPLHYYRNNIIGAINLLEVMKAAGCFQLVFSSSCTVYGEPEKLPITEGHPTGNINVYGRTKYFIEEMLKDISRERKWNIISLRYFNPVGAHPSGLIGEDPTKQFTNMPFIAQVALGQKSELTIFGGDYPTKDGTGIRDYIHIMDLASGHVAALHAHKQHNRLKIYNLGTGSGVSVLELVKTFEKVTGTCVPYVIKDRRDGDIVSMFANTSLAENELGWKAKYSVEQMCQDFWRWQKMNP-------- 225418677 -----ILVTGGAGYIGSHTCVELLNAGYEVVVLDNLYNSCEEALKKVKFYEADLLDQVAVQEVFDNEKIESVIHFAGLKAVGESVHKPLEYYHNNITGTLILCDEMRKHNVKNIVFSSSATVYGDPAFIPITEECPKGDINPYGRTKGMLEQILTDHTADPEWNVMLLRYFNPIGAHESGMIGEDPKGPNNLVPYIAQVAVGKLECLGVFGDDYDTPDGTGVRDYIHVVDLAKGHVKAVEKMMRDKEGVSIYNGTGCGYSVLDVLHAYEKACGKTLKYEVRPRRDGDIATCYADCTKAKNELGWVAEKGIEEMCADSWRWQSMNPDGY----- 307320125 -----VLVTGGAGYIGSHMVWSLLDGGESVVVLDCLSTGFRWAVPEARFYFGDVGDRAMLQRVFAENEIDSVVHFAGSAVVPESVANPLAYYENNTANTRTLIAATVEAGIRHFVFSSTAAVYGTQDTPPVSETAALRPQSPYGRSKLMSEMMLQDAAAAHDFRFVALRYFNVAGADPLGRAGQSTLGATHLIKVACEAALGRRRKIDVLGTDYPTADGTGVRDYIHVSDLVAAHRSALAYLRAGGEPLVANCGYGHGFSVLQVLDTVRQVSGRDFMVDYAPRRPGDPAQIVADPSVARLKLDWVPTHSLEHIVRSAFDWHLSRKNSFDEEQD 84515101 ----RVLVTGGAGYIGSHTLIELMAQGHEVCVLDNYTNATPEVLTRMMDVRGDVRDAATLDRVMQDFVPQAVVHFAGLKAVGESQQKPLAYYDVNVGGTLALLHAMDRAGCRRIIFSSSATVYGEPDYLPYDEAHPTRPTSVYGRTKLMAEQVLRDWAAADPARSAVLRYFNPVGAHGSARIGEDPKDPNNLMPYIAQVAVGLRPALTVFGDDYDTPDGTGLRDYIHVVDLARAHVAAIDYAARTPGARPFNIGTGQSYSVIDMVRAFERACGQPIPTVQAPRRAGDIAAMQANPARAQAELGWRATHNLDAMTASTWAWQSGNPKGYD---- 281351558 ----KVLVTGGAGYIGSHTVLELLEAGYLPVVIDNFHNAMPESLQRVQFEEMDILDQAALQRLFKKHSFTAVIHFAGLKAVGESVQKPLDYYRVNLTGSIQLLEIMRAHGVKNLVFSSSATVYGNPQYLPLDEAHPTGGTNPYGKSKFFIEEMIRDLCQADKWNAVLLRYFNPTGAHASGCIGEDPQGPNNLMPYVSQVAIGRREVLNVFGDDYDTEDGTGVRDYIHVVDLAKGHIAALRKLKEQCGCRIYNLGTGTGYSVLQMVQAMEKASGKKIPYKVVARREGDVASCYANPSLALKELGWTAVLGLDRMCEDLWRWQKQNPSGFGAQ-- 289450266 ----KILLAGGAGYIGSHTALNLMEQGHKVTIVDNFSNSSPQVIERIEAVRGDVTDESFLRTVMREDKPDCVINFAGYKSVNESVKKPLLYYKNNILAVLSLLNVMAEYHVEQFIFSSSATVYGQDYKMPLTETMQRNCTNPYGWTKSMLEQILQAAIADPNLRIIALRYFNPVGAHPSGKLGEDPFGPNNLMPYITQVAIGKLPELVIYGDDYPTKDGTCMRDFIHIMDLAEAHALAVRYVGQTKGIDYVNIGTGVPYSVKEIVDTFCKVNKLEFPVKIGPRRAGDVAACWADVSKAKSLWGWQAKYDLAAMCRDAWRWQKRNPQGYVD--- 297603361 ----HVLVTGGAGYIGSHATLRLLRDNYRVTIVDNLSRGNMGAVRRLQFIYADLGDAKAVNKIFSENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLTVLEAMAAYNVKTLIYSSTCATYGEPDTMPITEATPQNPINPYGKAKKMAEDIILDFSKRSEMAVMILRYFNVIGSDPGGRLGEAPRPELREHGRCFDAALGIIPGLKVRGTDYPTADGTCIRDYIDVTDLVDAHVKALDK-AQPGKVGIYNVGTGHGRSVKEFVEACKSATGASIKVSFLTRRPGDYAEVYSDPSKIHDELNWTARYDLRESLSTAWKWQKAHPNGYGS--- 254295535 ---QSILVTGGAGYIGSHTCLELIKSGRKPIVFDDFSNASPHVIERIDVVEGDVRNFDQINKAIKDYKCDAVIHFAGLKAVGESEVRAIDYHDVNVIGTHVLLRAMKANKIDKIVFSSSATVYGVPKFLPYTEDHPRNATSTYGQTKLSVEYMLEDVRRSGEIKVAILRYFNPIGAHPSGRIGEAPNGPNNLAPYVVQVACGKREAINIWGDDYDTPDGTGVRDYIHVVDLAQAHLAALDYLDAGKGAFTVNLGTGNGSSVKEVIAGFEKACGKKIPMVIGPRRSGDIACFYADPSSAKKLLGWSAKLNMEDMCRDQWKWQENNPNGYDE--- 149907913 ----KVLVTGGMGYIGSHTCVQMIEAGIEPIIIDNLDNSKLAVLVRPVFYQGDVRDEECLGRIFSEHAISSVIHFAGLKAVGESVKKPLEYYDNNVNGSLVLARAMRKANVKSMVFSSSATVYGDPDVVPITEDSPTGTTNPYGRSKYMVEECFSDFAAENDWSITLLRYFNPVGAHPSGTMGEDPQGPNNLMPFIAQVAVGRRDSLSVFGNDYPTPDGTGVRDYIHVMDLADGHIAALTAVGQKAGLHIYNLGTGKGSSVLEMVEAFAQASGQPIPYQLCPRRAGDIAECWANTEKAERELGWKASRNVMEMSADTWRWQSTNPQGY----- 258405693 ----TILVTGGAGYIGSHTTLALLEKGYRVVVYDNLSTGQEDAVLPARLVVGDLEDTERLTRLMQEEQFSAVIHFAAHIVVPESVQQPLKYYSNNTSNTTNLIRLAAAHSIPHFVFSSTAAVYGLPESSPVSEQTQLDPINPYGRSKLMSEWVLEDAAAQDSLRHCTLRYFNVAGADPQGRLGQSTPNATHLIKVACQAALGKREALAIYGTDYPTFDGTGVRDYIHVSDLADVHVRALEYLEQGGASATLNCGYGHGYSVRQIADTVREVSGVDFPVHETQRREGDPAELIADPGRLQTTFEWQPRYDVATIVRTALDWERK---------- 282601157 -----ILVSGGAGYIGSHTCVELLAAGYDIVVADNFYNSCPEALKDFRFVEADMTDKETVEKIFADNDIDCVIQFAAYKAVGESVSKPIEYYSNNLACTLNILDVMRRHNCHNIIFSSSATVYGDPASVPIREDFPVGTTNPYGTTKVFTERILTDCCHADPLNVALLRYFNPIGAHPSGLIGEDPNGPNNLVPYIAKVAVGKLEKVHVFGNDYPTPDGTGVRDYIHVVDLARGHVAAIKKLEEKPGLFICNLGTGHGYSVLDVIHAFSKACGKEIPYVIDPRRPGDIAECWCDPSKAKKELGWEAQYGIEEMCAHSWNWQSHNPDGYKTQQ- 188588217 -----ILVLGGAGYIGSHAVSQLIDNDYDVVVVDNLLTGHKEAIKKAKFYKGDIRDKEFLKDVFEKESFEAVIHFAANSLVGESMVDPLKYFNNNVQGTQTLLEVMNEFNVKNIVFSSTAATYGEPKQIPITEDMETCPTNPYGETKLTMEKIMKWCDKAYGIKYVSLRYFNVAGARKGGAIGEDHNPETHLIPIVLQVALGKRDFITIYGEDYDTEDGTCVRDYIHVEDLIEAHILAMKHLLNGGDSDIFNLGSSQGFSVKEIIESARKVTKHPIPAQIGERRAGDPSKLVASSDKARKILGWNPRTNITKIIEDAWVWHTNNKSGYNK--- 114769690 ----RVLVTGGAGYIGSHTCVELLKAGHEVFVIDNLSNGHETSLERVRFTNADIRDANALDKIFNTFKPDSVIHFAGLKAVGESVANPLMYYDVNVGGSVSLLTAMSKAGCNKIVFSSSATVYGKPQYLPYDEEHPTNPVNPYGRTKLIIENIINDWTEVDNRKGVILRYFNPVGAHESGQIGEEPIGPNNLMPYIAQVAGGRREHLNIFGNEYDTSDGTGARDYIHVVDLALAHIGAL-NQNKLDMFDVLNIGAGKSTTVLELVSNFEEISGVPIKFKYLPRREGDLAAFWADSSKAFEKTSWKPERNINNICEDTWRWQKLNPNGYG---- 257784151 -----VLVTGGAGFIGSHTVVELLNSGYEAVIVDDLSNASAENAKRLSFYKADVNNADALNRIFDEHPINFVIHFAGFKAVGESVTKPIEYYTNNLGNTLTLLDVMRNHGCKSIIFSSSATVYGDPDSLPLTERSPKNATNPYGWTKWMIEQILTDHTADPEWNVVLLRYFNPIGAHQSGLIGEDPAGPNNLVPYVAQVAVGKREAVHVFGDDYNTPDGTGVRDYIHVCDLGSGHVAALKWMAGRTGVEVFNLGTGTGSSVLDVIKAFSKACGKEIPYVIEPRRAGDVATNYADCQKAAKVLGWKAQYNLADMCRDSWKWQSMNPDGY----- 123443279 -----ILITGGAGYIGSHTVLTLLEQGRNVVVLDNLINSSAESLARPNFYHGDILDRSCLKLIFSSHKIDSVIHFAGLKSVGESVEKPIEYYQNNVVGSITLLEEMCLANVKKLIFSSSATVYGEPEFVPLTENARIGTTNPYGTSKVMVEQILKDFSAHPDYSITALRYFNPVGAHPSGLIGEDPNGPNNLLPFITQVAIGKLSKLLVYGNDYDTPDGSGIRDYIHVMDLAEGHLSTLINLTS--GFRIYNLGTGVGYSVLHMIKEFERITGKNIPFDIVSRRPGDIAECWASPELAHLELGWYAKRTLVDMLQDAWKWQKMNPNGYN---- 87123144 ----RLLITGGAGFIGSHTCLVLLEAGHDLVVLDDFSNSSPIALERVQEVRGDLRDAALLERMFSGAAIEAVIHFAGLKAVGESVAQPLRYWDVNVGGSRALLSAMDAHGCRVLVFSSTSTVYGEPEVFPLTETTPTNPIHPYAQTKLAVEQMLHALSVSGPWRVAALRYFNPVGAHPSGRIGEDPLGPNNLFPFITQIAAGRLKQLKVFGNDYPTPDGTGIRDYLHVMDLAEAHSAAVEHQANAPTSLTLNLGTGQGLSVLDVVHGFETATGITIPYEVVGRRPGDVPKLEACPKQAEAVLGWKAQRSLADMCRDGWAWQSANPQGY----- 310287516 ----TILVTGGAGYIGTHTDVELLNKGYDVVCVDNYSNSSPKALKTVKRYEGDVRDEALMDRIFTENDIDWVIHFASLKSVGESVAKPIEYYDNNLNSTIVLLKAMRAHDVKKVIFSSSATVYGTPKELPLTEESQIGTTNPYGTTKFFEEQILRDHVADDSWTVVILRYFNPVGAHESGLIGEDPKGPANLTPYIAKVALGELKEVQVFGDDYDTPDGTGVRDYIHVVDLAKGHVAVIDKVEGPG-VFTYNLGTGHGYSVLEVIKAYEKAAGHAIPFAIKPRRPGDIAACYADSSKAERELGWKAELGIDDMAASSMNWQTKNPSGYRD--- 217073512 -SSQKILVTGGSGFIGTHTVLQLLQGGFAVSIIDNFDNSRVRELVGPQLSQGDLRIKDDLEKLFSKTKFDAVIHFAGLKAVGESVANPRRYFDNNLVGTINLYEVMAKYNCKKMVFSSSATVYGQPDTIPCVEDFKLQAMNPYGRTKLFLEEIARDIQAEPEWKIILLRYFNPVGAHESGKLGEDPRGPNNLMPYIHQVAVGRLPALNVYGHDYPTRDGSAVRDYIHVMDLADGHIAAVRKLFATENIGCYNLGTGRGTSVLEMVSAFEKASGKKIPLKLCPRRPGDATEVYASTDKAQKELGWKAKYGVEEMCRDQWNWAKNNPWGYSGK-- 90407822 ----KILVTGGLGYIGSLTCIALIEAGFEPIIVDNLCNSKVQVLTRPIFYQGDIRDAKFIKSVFNAQKIVSVIHFAGLKSVGESVSLPLKYYDNNVNGSLVLFNAMHEAGVKSVVFSSSATVYGEPEVMPITEDTPTGTTNPYGRSKYIIEGMLQDFKAQPDWCITILRYFNPVGAHPSGTMGEDPQGPNNLMPFIAQVAVGRREVLSVFGDDYATEDGTGVRDYIHVMDLAQGHLAALKAVSTKPGVHIFNLGTGKGCSVLQMIKAFSDACKKDIAYKICPRRAGDIAQCWASTDKAERELNWKATRTLLDMTTDTWHWQEKNPQGY----- 203285018 -----VLVTGGAGYIGSHTVLTLLQKGIDVIVIDDFSNSSLESLERVKKYKGDVADLRLLNLIFSNHDIHTVIHFAGSKSVGESISKPIHYYNNNVVASLVLINEMMKRGIYNLIFSSSATVYGNSNTMPVTENAPIGTTNPYGTSKLMIEKILDDVTRANSFRVTVLRYFNPVGAHFSGEIGEDPNGPNNLMPYVCQVAIGKYKQVYYSISILYMYICTGVRDFIHVMDLAEGHVAALEHRNEGPNHKVYNLGTGKGYSVLDLLTTFERVTFRSVPYVLSERRPGDIAECWSDPSKAYRELGWKAKRGLEEMVRDAWNWQQKNPNGY----- 297571725 ----TVLVAGGAGYIGSHTTVELLNKGYDVVCVDNFSNSSPEAIKRVRFYDADIRDRATLTEVFTENSIDWVIHFAGFKAVGESVAKPLEYYDNNIGGALTLLEVMREHDVKKFVFSSSATVYGEAVDLPLTENSPSGATNPYGRTKVFEEQILQDFAADPSWTIVILRYFNPVGAHESGLIGEDPKGPANLTPYVAKVAVGELDKVQVFGGDYDTPDGTGVRDYIHVVDLARGHVAATDTLTEPG-VFVYNLGTGHGYSVLEVIRAYEKAAGKEIPYQIVDRRPGDVASSYADASKAQRELHWNATHTMEDMAESSMRWQTQNPNGFRS--- 193683439 ---KTVFVTGGAGYIGSHCVLSLLEAGYDVVAIDNFANSRRITGKEITFYKCDLLDIQQLDGVFDKHTFDCVIHFAAVKSVGESMKQPLMYYKNNIIGAMNLLEVMASHGCYQLVFSSSCTVYGNPSSLPITESHPIGNVNVYGRTKYFIEEILRDVNSEQRWNIVSLRYFNPVGAHSSGLIGEDPTTNFSNMPYIAQVALGKKPKLSIYGGDYATPDGTGIRDYVHVMDLAEGHVAALKKQSKHAHLQIYNLGSGQGTSVLDFVKTFEKVTGVKIPYTIEARRYGDIESMYANCDFAKVDLGWTAKYTLEDMCKDFWKWQTMNPNGYKS--- 225028719 ----NILLAGGAGYIGSHTAVELLTAGHDVVIVDNYCNSCAEAVKKVVSYEADVKDKVAMAKIFAENKIDCVIHFAGLKAVGESVQKPIEYYRNNIDTTLTLLECMKEAGVKKFVFSSSATVYGEENDIPYIETMKRGSCNPYGWTKVMMEEILEDAAKADELTVVLLRYFNPIGAHESGRMGEDPQGPNNLMPYIAQVAVGRRDHLTVYGGDYPTKDGTCRRDYIHVMDLANGHLKAVEYAAQHKGVEVFNLGTGTPYSVLEIIHAFESANDIKIKYEIGDRRAGDLPEFWANAEKAEKILGWKTQRTLEDMCRDTWNWQKNNPQGYNK--- 142995163 ----KILVVGGAGYIGSHMIKRFQDTDHQIEILDNLSTGFEVNTQNYKLHLCDLSNKDQVHQILKENSYESIMHFASSINVGESYINPKKYYDNNVTNTLNLLDCMVDLKISNFIFSSTAAVYGEPSSTPIKENQKIAPVNPYGNTKAIVEKILKDYEEAYGLKYISLRYYNACGAHIDGTIGERHDPETHLIPLILQSASGRRKDFKIYGDDYDTKDGTCVRDYIHVMDLVEAHLLSLQELIKNQESDIYNIGNNQGFSVKEIISMAEKITKSKITYEITSRRKGDPSELIADNEKISENLNWSANYSLKTILETAWEWEKR---------- 182678833 -----VLVTGGAGYIGGHMVLGLLDAGQKVVVLDNLSTGFSWAVPQGDLIIGDFGDQALVDRVLADHHIDAIAHFGAKIVVPESVTDPLGYYLNNTAKARNLIECAAKSGVKHFIFSSTAAVYGEPKANPVFEDAPLTPINPYGRSKLMVEWMLEDVAKAHALTYAILRYFNVAGADPQGRLGQASPVATHLIKIAVQAALGFRKGMDVYGTDYPTPDGSCVRDYIQVTDLVDAHLLALDYLRQGGESLTCNCGYGRGLSVLDVIRTVKAVSGVDFEVRIAGRRAGDPASLIAGATHVRDLLGWQPKHDVEEMVKQALDWERR---------- 298486947 -----ILVTGGAGYIGAHVALELLEEGHDVVVLDNLCNSSLETLRQVEFIHGDVRSKATLNRLFARHPVKAVVHCAGLKAVGESVREPLRYFETNVSGSVNLCQAMEQAGVLNLLFSSSATVYGDREQMPLDENCPPGPTNPYGHSKLMAEHVMKSVARSDPWSIGLLRYFNPIGAHPSGLLGESPCNPNNLLPFLLQVANRRRPALHIFGTDYPTPDGTGVRDYIHVMDLAEGHLKALDRIRGQKGVSVWNLGTGQGYSVLEVVHAFERISGKTVPLIFEPRRTGDIAVCWSDPGKALRELDWRARFNLDSMLTDAWRWQCMNPQGY----- 135077781 ----TLLITGGAGYIGSHAVYAALDAGEKVAVVDDLSTGVRENLPPSPFYQGDVGDAAFITDVLRRLRPQSVMHFAGSIVVPESVENPLKYYQNNTVASLTLLRSCVEAGVENFVFSSTAAVYGLPPDGVADEDAPIRPINPYGASKAMIEQMIRDVAQAHGLRYGILRYFNVAGADALGRTGQGSKNVSHLIKVACQVATGRRARLEIFGTDYPTPDGTCIRDYIHVSDLADAHMGVLGALVSKGESMTLNCGVGHGYSVREVVRAVEEEMGGALPVVDAPPRAGDPPMLISRSHRLRKNLGWNPRHPIEDMVRSALRW------------- 16329511 ----KILVTGGAGYIGSSVVRQLGEAGYSIVVYDNCSTGFPSSILYGQLVIGDLADTERLHQVFHEHEILAVMHFAGSLIVPESLIHPLNYYANNTSNTLSLIRCCQIFGVNRLIFSSTAAVYGNSSSNPISEAEIPCPINPYGRSKLASEWIIQDYAKSSALQYVILRYFNVAGADPEGRLGQMSKTTTHLVRSVCDAILNLKPSLDIFGTDFPTRDGTAVRDYIHVEDLAKAHLDALRYLENGGESQILNCGYGQGYSVREVVDRAKAISGVDFLVRETERRLGDPASVIACADSIRQVLNWTPKYNLDIILRTALAWEIK-RNNLNNRR- 196008835 -----VLVLGGAGYIGSHTCVELIGAEYKPVIVDNTINSSPVCVSRIAFYQFDVRDQVKLREVFSQHDIKAVIHFAGLKSVPESVQFPLKYYSVNIGATITLLEVMKEFKVYNLVFSSSSTVYGKPQFLPMTEDHPTGCTSPYGRTKYFIEEILKDLCVSDPWNIISLRYFNPVGAHQSGIIGEVPLGPNNLLPYIAQVCNGKREYLTIYGDDYKTPDGTGIRDYLHVVDLAVGHVLALKKMQGEHGCRAYNLGSGTGFSVKEIIQAFEKASGKKLPCKIAGRRAGDVAASYADSTIAQNELGWKATKNLKEICEDYWNFQSKNPQGYS---- 110633134 ----TVLVTGGAGYIGSHMVWELLDHGEEVVVLDRLSTGFDWAVPEAAEVVGDIGDQALLEETIKRRNVDAVIHFAGSIIVPESVADPLGYYLNNTVKSRALIESAVRCGVKHFIFSSTAAVYGTPRKNPVTEDEPLLPESPYGTSKLMTEIMLRDTAAAHDFAYTALRYFNVSGADPKRRTGQSTKGATHLIKVACETATGKRASMEVFGTDYPTPDGTCVRDYIHVSDLVNAHYLALQRLRSGGGSLVANCGYGRGYSVLEVIDAVKQVSGKDFKVVESGRRPGDAVAIVASAERCFAELGWRPEHDLREIVSDALRW------------- 224117864 ----HVLVTGGAGYIGSHAALRLLKDGYRVTIVDNLSRGNIGAVKRLQFIYADLGDPKTVNIIFSQNAFDAVMHFAAVAYVGESTMEPLKYYHNITSNTLVVLEAMAANDVKTLIYSSTCATYGEPEKMPITEVTPQVPINPYGKAKKMAEDIILDFSKNSDMAIMILRYFNVIGSDPDGRLGEAPRPELREHGRISGACFDAARGIKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALEK-AMPGKVGIYNVGTGKGRSVKEFVKACKKATGVDIKVDYLPRRPGDYAEVFSDPSKIYRELNWTAQYDLQKSLQTAWRWQKSHQNGYGS--- 261379524 ----TILITGGTGFIGSHTVVSLLKSGFNAVILDNLCNSSANILPRVPFYQGDIRNREVLRQIFAEHDIDAVIHFAGLKAVGESVAKPMKYYDNNVSGSLVLAEEMARAGVFKIAFSSSATVYGDPGKVPYTEDMQPDTTSPYGTSKSMVERILTDIQKADPWSVILLRYFNPIGAHESGLIGEQPNGPNNLLPYICQVASGKLPQLSVFGDDYPTPDGTGMRDYIHVMDLAEGHVAAMQAKSDVSGVHLFNLGSGRAYSVLEIIRAFEAASGLTIPFQIKPRRDGDLACFYADPAYTKAQTGWETKRDLAQMMEDSWRWVSNNPNGYDD--- 188993277 ----RILVTGGTGYIGSHTCVELARRGHEICVVDNLSNSSERVLDHLAHHCMDVR-APELAELMQRERIEAVIHFAALKAVGESVREPLRYFNNNVTGTLALLRAMRTAGVANLVFSSSATVYGDENTSPIEERAPLKAINPYGRTKLMMEEMIGDLSAWPQFNAALLRYFNPVGAHPSGYLGEDPRGPNNLMPYIAQVAVGRRDALQVFGDDYPTPDGTGVRDYLHVMDLARAHVDAIEYLHHERQGLVVNLGTGRGHSVLEVAAAFERASGRRIPLQFAPRRDGDVAVYYASTALANRLLGWKAQYDLERMCADTWRWQSLHPEGYAQ--- 110430671 -----VLVTGGAGYIGTHTVLRLLEKGYAVAVVDNFHNSVPEALDRVRFIPGDLKSKDDMEKVFAAKRYDAVIHFAGLKAVGESVAHPQMYYENNVAGTMNLYAAMTKYGCNKIVFSSSATVYGQPEKTPCVEDSKLSALNPYGTTKLCHQLVLENYFRQPELRVILLRYFNPIGAHRSGDIGEDPRGPNNLLPYIQQVAVGRRPELNVYGDDYPTRDGTAIRDYIHVVDLADGHIAALEKLFATPDIGCYNLGTGCGTTVLEVVKAFEEASGKKIPIKICPRRPGDCTEVYASTEKAKKELGWSARFGIEDMCRDQWNWARKNPYGYSGDAD 121998319 ----RVLVTGGTGYIGSHAAVALIEAGHEAVLLDNQHNSTGAVASRIGRIRGDVRDASMLAELFSARRIDAVMHFAGLKAVGESVQRPLDYYETNVGGTIRLCQAMEAAGVRKLIFSSSATVYGDPDRVPIREDAPTGTTNPYGTSKHMVERMLTDLTANPGWCIGILRYFNPVGAHKSALIGESPSGPNNLVPYIAQVAAGEREHLQVFGDDYPTRDGTGVRDYIHVVDLAAGHVRALEYLDAQPGQHAWNLGRGEGHSVLEAVRAFEQASGCSIPYRVTERRPGDVAECWADPSKAERELGWRAERGLATMMEDAWRWQRQ---------- 288818873 ----KVLITGGAGYIGSHVVKLLGEAGHHLLIYDNLSTGSQEAVLYGKLVVGDLLDERKIKEVILDFKPDVVMHFAAKVSVPESVKEPLSYYSNNFCGTMNLLSAMMEAKVRYLIFSSTAAVYGVPPSIPVKEEDPAFPINPYGWSKLMAERCIMDASSGYSLGFIILRYFNVAGADPEGKLGQRGKKATHLIHRALKVAKGELPYLEVYGTDYPTYDGTCIRDYIHVTDLARAHLDAMYYLLDGGKSDVFNVGYGRGYSVLEVINKVKEVTHVDFAVKYGQRRAGDPPQLVAESTKIMKKINWQPLYDLSFIISTAWKWEMSY--------- 91776359 ------LVTGGTGYIGSHACVELINAGYEVVVLDNFSNSQAHIVDRIKQVEGDVRDEALLSDLFSRYPFQAVMHFAGLKAVGESVAQPLRYYDNNVAGSVTLLRVMQAHDVKRLVFSSSATVYGDPASVPIREDFPLSATNPYGASKLMVEDILRDAVSDPEWRIAILRYFNPVGAHESGLIGENPNMPNNLMPYITQVAQGQRESLSVFGSDYPTVDGTGVRDYIHVVDLVVGHLRALERLDKEAGVFTCNLGTGQGYSVLEMVKAFEDASGQAVPYQLVARRPGDVAASYTDPAYAEQALGWKATRGIEQMCKDSWHWQQN---------- 255064055 -----ILVTGGAGYIGSHTCVELLNAGFDVTVFDNFCNSKPEALARVAQISGDCRDRAALVAAIKQSKASAVIHFAGLKAVGESVAHPLSYYDNNVVGTLRLLEAMQESNVKTLVFSSSATVYGDPVKLPLTEDHPLAPTNPYGRSKLMIEEILRDFQHDHSFRIGILRYFNPVGAHPSGLIGEDPQGPNNLMPFVAQVAVGRRDILSIWGNDYPTVDGTGVRDYIHVVDLAHGHLKALEALGASPQSEQVNLGTGRGYSVLEVVRAYEQASGRSIPYRIAPRRSGDIASCYANPDRAYALLGWQAKLGLDEMCTNSWHWQNTNPHGY----- 12323247 ---QNILVTGGAGFIGTHTVVQLLNQGFKVTIIDNLDNSVVEAVHRVRFNLGDLRNKGDIEKLFQRNRFDAVIHFAGLKAVGESVGNPRRYFDNNLVGTINLYETMAKYNCKMMVFSSSATVYGQPEIVPCVEDFELQAMNPYGRTKLFLEEIARDHAAEPEWKIILLRYFNPVGAHESGRIGEDPKGPNNLMPYIQQVAVGRLPELNVFGHDYPTMDGSAVRDYIHVMDLADGHVAALNKLFSDSKIGCYNLGTGQGTSVLEMVSSFEKASGKKIPIKLCPRRAGDATAVYASTQKAEKELGWKAKYGVDEMCRDQWNWANKNPWGFQKK-- 154504486 -----ILVAGGAGYIGSHTCVELLERGYEVVVVDNLYNSSEVALERVKFYKGDILNREDLEPIFENEEIEAVINFAGLKAVGESVEKPWEYYHNNITGTLILCDVMRKHGCKNMIFSSSATVYGDPAEIPITEKCPKGEINPYGRTKGMLEQILTDHTGDPEWNIMLLRYFNPIGAHKSGKIGEDPKGPNNLVPYIAQVAVGKLDRLGVFGDDYNTPDGTGVRDYIHVVDLAIGHVKAIERMKKAKGVHIYNLGTGVGYSVLDVVKAYEKACGKEIPYEIKPRRAGDIATCYCDAAKAKEELGWVAERGIEEMCEDSWRWQSANPDGYRS--- 193062778 -----ILVTGGAGYIGSHTVLMLLKEQYEVIVLDNFHNSSVESLHRICIINGDIRDRVILKSIFTENSITDVIHFAGLKSVSDSIQFPTEYYDNNVYGTLVLIEEMISNNIKNLIFSSSATVYGTPEKIPVKETFPVGTTNPYGTSKLMVENILHDVSLAYPFRTTILRYFNPVGAHPSGKIGEDPNGPNNLMPYICSVASGKYKQLSIYGNDYETKDGTGVRDFIHVMDLANGHIAALKHRDEGSNYKVYNLGTGHGYSVLELLAAFQRITSVNIPYVLVERRAGDIAECWSDPSKAYLELGWKACLGLDEMVRDAWNWQQSNPDGYK---- 242017939 ----TVLVTGGAGFVGAHTVIPMLNHGYNVIVLDNLPSAKKPEVLKRIEHNVDLGDRDALFSIFNKHSIDCIIHFAALKAVGESCEKPLEYYKNNVIGSINLLEAMKAHNVKKIVYSSSATIYGTPKSLPIKEDHPQNLTNPYGKTKFFVEEMMKDLCGSDGWSVISLRYFNPVGAHSSGRLGEDPMGPNNLMPFISKVAVGKLEKLKVFGNDYNTHDGTGERDYIHIEDLAEGHLKALNKLEESSGLGFYNLGTGNGYTVLEVIETFKNVSGRDIKYEIVGRRAGDIDSSYADPTLARKELNWSAKRTLVDMCYDTWRWQSQNPDGFRS--- 124024233 ------LITGGAGFIGSHTCLVLLEAGHRLVILDNFSNSSAIASKRVAELEGDIRSSNDLDRAFNSMEIAAVVHFAGLKAVHESVQLPLKYWDVNVAGSRCLLEAMQRHNCRTIVFSSSATLYGYPEQIPIPETTRVQPINPYGQSKAAVEQLLDDACSEPGWRIARLRYFNPVGAHPSGCIGEDPKGPNNLFPFVSQVAVGRRAELQVFGADWPTPDGSAVRDYIHVMDLAEGHRAALELQREEPQLLTLNLGSGKGHSVLEVVQAFEKASGQPVPYSINQRRAGDAACSVADPSLAAERLGWSTQRSLSDMCRDSWNWQKANPQGYSQKQQ 281423281 --KQTILVTGGTGFIGSHTTVELIEAGYEVVVVDDLSNSKMEVLVRPAFEKVDLRDKTATENVFKKYKIEGIIHFAASKAVGESVEKPLLYYRNNIVSLINLLELMPQYNVKGIIFSSSCTVYGKPENLPVTEDAHQKATSPYGNTKEINEQIIYDYIHSADIKSIVLRYFNPIGAHPSALIGELPNGPANLIPFVTQTAIGIRKQLTIFGNDYDTPDGTCIRDFIYVVDLAKAHVAAMRRVLDEDKIEYFNIGTGHGNTTKEIVDTFEEATGVKLNWKFGPRREGDIEKIWGDCTKANKVLGWKADTPLKDVLASAWKWQVK---------- 167627695 --NKKILVTGGTGYIGSHTVVELLDRGYQVVVVDNLSNSKLSVVKDFDFYEIDLLDKDKLEKIFQEHNIDAVIHFAGLKAVGESVQKPLAYYHNNLLSTLNLLELMQEYQVYDFVFSSSATVYGMSNIPPFAEDLPLSTTNPYGATKLIIEGILVDLQKDNNFNITCLRYFNPVGAHSSGMIGEDPQGPNNLMPFVGQVGSGKLSKLSIFGGDYDTQDGTCIRDYIHVVDLAIGHILALEHISKEPAWRAYNLGSGNGCSVLEIVTAYEKALGKKIPYQIVDRRAGDIAEMYANATKAKQELGFETKKTIDDICVDIVRW-----QNYAKEND 227485698 ---KNIMITGGAGYIGTHTAVELLNKNYKVVIYDNLSNSSKIAVKKVSFYEADILDKDKLKEVLTKEKIDVLIHCAALKSVGESVSKPLEYYHNNLTGTLTTLEAMKEVGCKNLIFSSSATVYGNPASVPITEDFPKECTNPYGWSKSMMEQIMIDLQKSDPWKIVLLRYFNPIGAHKSGRIGEDPRGPNNLLPYIAQVAVGKLDYLRVFGDDYDTVDGTGVRDYIHVVDLAKGHVCAIDKLDELDGVSIINLATGNGYSVLEVVKAFEEASGSKVPYKIVGRREGDIAKCFANAKKAYELLGWKAENGIKEMCEDSWRWQSQNPNGYEERN- 145105306 -----ILVTGGAGYIGSHTCLALIAKGHDIIVIDNLSNSSYESIKKIKFIKSDIKERHCLDEVFNNYSIEAVIHFAALKSVSESVEKPLVYYDNNITGTLTLLAAMQSANVNNLIFSSSATVYGCSSIVPNKENDTIGTTNPYGTSKYFSEIILQDFCKSNELSAVSLRYFNPTGAHKSGMIGEDPNGPNNLIPYISQVATGKLKYLNVYGGDYDTIDGTGMRDYIHVDDLAEGHVKTLEYMLSTKVGYDVFNGSGRAYSVLQIIKAFERVTKKEIPYNIVARRNGDLDKSWADTEKARNLLGWEAKYGIEDMLQDVWNWQSHNPNGY----- 148241258 ----RLLLTGGAGFIGSHTAVMLQQAGHQLVVLDSFSNSSPEALRRIDVVTGDLRDPKAVERALA-HDVDAVVHFAGLKAVGESVEQPLLYWDVNLTGSRVLLEAMTAAGCRTLVFSSSATVYGIPDHVPIPETAPVQPNNPYGRTKAAVEQMLADAASEPGWRVASLRYFNPVGAHPSGQIGEDPLGPNNLFPFVSQVAVGQRPQLKVFGSDWPTPDGTGVRDYIHVMDLAEGHRAALEVLLDSADQQTLNLGSGQGHSVLEVVQAFEQASGRPVPYELVARRPGDAASTVADPGLAKELLGWSTKRGLEECCRDGWAWQQANPTGY----- 280960503 ------LVTGAAGFIGSHTCVDLLTAGYRVVGVDNFANSSPRAVERIRFVELDVLDAVALGKLLAATPFEAVIHFAARKAVGESVAMPVEYYDTNLNATLRLVEALREHGPRRLIFSSSCSIHGDVDVLPIREDAPARPTNPYSRTKWMCEQILADVCAQPDWHVTALRYFNPVGAHPSGLLGEDPRGPNNLMPYLQQVAVGRREYLSVFGDDYPTADGTGVRDYIHVVDLAEGHRAALEHLDDEAGYRVVNLGTGVGTSVQQLLTAFGAACGRELPHRVVARRDGDVAALYADPSYAAETLGWRASRGLDEMCRDAWEFQRRNPGGYD---- 261340478 ----TILVTGGAGYIGSHTLLDLLLNQYEAIVLDNLSNSSLESLKRIDFHNIDLLDKESLNTLFKKYDFQCVIHFAGLKSVGESVLEPLKYYDNNLTGSLNLLAVMQANNVKNLIFSSSATVYGIPEKIPLSEDCFTGTTNPYGSSKFFLEQILKDAIADDAWSIISLRYFNPVGAHPSGMIGEDPAGPNNLVPYITRVALGKLEKLSVYGNDYPTKDGTGVRDYIHVQDLASGHTAALNKIKSLSGYHVFNLGTGKPSSVLELISCFEKVTNSTIPFEFVARRPGDVAECWSSPNLANEILHWTARRSLEEMLRDAWNWQKKNPDGY----- 136567751 -TNKKILIPGGAGYIGSHMVRYAQEQGHDIVVLDDFSTGHEWAVKDCEVLSVNLLDHEKLSRLMKGRFFDGVIHFAAKSLVGESVKKPEQYYRNNIVGTLNLIDQMLKNDMSSLVFSSTAAIFGNPIVDRIAEDHPKNPINPYGESKLMVENILRDICLPHDFNVTCLRYFNAAGAHVSGQIGESHDPETHLIPNVIKAALSNSSNLKVFGDDYSTPDGTCVRDYVHVSDLAQAHLLGLKYMQKNKGFSEFNLGNGDGFSVFEVIRSCESILNSNIHFQICGRRDGDPPVLVSDSQLARDELGWNPKYDLSDIIKSAISWH------------ 209884469 -----VLVTGGAGYIGSHTVLALVEAGIRTVVIDNLSTGFSTALPEGPLFIGDAADENLVEGVIAAHDVSAIIHFAGSVVVPESMRDPLAYYRNNTMTTRNLLNAAVKCNVKNFIFSSTAAVYGNPDQIPVPEIAPTRPLSPYGSSKLMTEIMLHDVATAYGLNYVVLRYFNVAGADPQARIGLQTVGATHLLKIAVEAATGQRAKMEVFGTDYPTPDGSCIRDFIHVTDLAQAHLAALAYLDQGGDSVTLNCGYGRGYSVLETIEAVRRVCGRQFAVQMSPRRNGDIMTMIADTARIGRTLDWTPRYELETIAAHALAWEEK---------- 149244554 MADKYILVTGGAGYIGSHTVIELINNGYKVVIVDNLSNSSYDAVQHIPFHNVDIRNHEQLDKIFKIYDIVGVIHFAALKAVGESTKIPLKYYENNVTGTINLIDVCQENNVKTIVFSSSATVYGDTEMIPIPEECPMDPTNPYGKTKYIIEQILKDHSSDPEWKVAILRYFNPMGAHPSGLLGEDPLGPNNLLPYLAQVAIGRREKLSVFGNDYNSHDGTPIRDYIHVVDLAKGHIAALDYKKQKGLYREWNLGTGKGSTVFDVYNAFCKAVGRELPYEVAPRRQGDVLDLTAKPNRANTELKWKAELTVDDACKDLWRWTTENPYGFEVQN- 62738905 -TSKIVLVTGGAGYIGSHTVVELIENGYDCVVADNLSNSTYDSVHHIPFYEVDLCDRKGLEKVFKEYKIDSVIHFAGLKAVGESTQIPLRYYHNNILGTVVLLELMQQYNVSKFVFSSSATVYGDPNMIPIPEECPLGPTNPYGHTKYAIENILNDLYNSDKWKFAILRYFNPIGAHPSGLIGEDPLGPNNLLPYMAQVAVGRREKLYIFGDDYDSRDGTPIRDYIHVVDLAKGHIAALQYNENEGLCREWNLGSGKGSTVFEVYHAFCKASGIDLPYKVTGRRAGDVLNLTAKPDRAKRELKWQTELQVEDSCKDLWKWTTENPFGY----- 46202280 ------LVTGGAGYVGSHTLHHLIRNGYSPIVVDNLCRGSRDAVPHGVHFEVDLLDTEVLVELFRRHDVSAVIHFAGFAYVDESMADPTAYYRTNVVAGLSLLEAMVRVGCRAIVFSSTCATYGTPSSVPIAESEPQIPINPYGETKLVFERALEWYERCHGIRHVILRYFNAAGAAYG--VGSYGNHDVRMIPAAVLAAMGRRPPVKIFGTDYETSDGTCVRDYVHVADLAEGHCLALEHLREDGASTALNLGSGRGSSVLNILEAVHRIGGRPVPNEKSPRRLCDPPTLIADTRLAQRILGWHPAYTLDDIISSVWHWHQ----------- 142999239 ----KILVTGGAGYIGSHTCLELIAQGHQVIIADNLSNSHRLSVKEIVFYEVNLCYEKAIEDIFQAHTIDAVIHFAGFKAVGESVEKPLVYYQNNLESTLVLCKTMERHKVHHLVFSSSATVYGMNSKSPIAEHASLSATNPYGRTKLFIEKILRDWSKIPESSVALLRYFNPVGAHSSGLIGEDPQGPNNLMPYITQVAAGRREILSIFGNDYDTIDGTGVRDYIHVMDLALGHVKALEYTLNSRVCDAFNLGTGKGTSVLELVDAFELATGQKVPYQISNRRSGDIATCFANPTKAKNKLSWEATRDVVQMCKDSWNWQKKHPMGY----- 254463608 ----RIAVTGGAGYIGSHTCTLLLAAGHDVLIIDNFNNSKSDVLDRIEKVQTDITQADALSAVFSDYRPDAVLHFAGLKAVGEAVENPLEYYRVNVGGSVSLFQAMENVGCNKIVFSSSATVYGDPDQVPIVENHPLRPTNPYGQSKRYVEKILEDWGQANNLAAVNLRYFNPFGAHPTALLGENPQGPNNLMPYVAQVATGQAAELLVFGDDYDTPDGTGVRDYIHVMDLAAAHLKALDLTVRKAGVKAINVGTGNGYSVMQMINAFKRASNREIPYRVVDRRPGDIAECVADPSFAFELLGWKSELGLERMCADAWSYQ------------ 154253505 -----VLVTGGAGYIGSHTALDLASSGEKVVVLDNLSTGFDWAVQEPEAYIGDIADEALVDRIIATHEIEAVIHFAGSIIVPESVADPLKYYLNNTAKSRTLIERCVAGGVKHFIFSSTAAVYGMPEQSPVDESAVLRPMSPYGRSKLMTEWMLSDVAAVHDMSYAALRYFNVAGADPKGRVGQSTANATHLIKVACQTALGQRPHIEIFGDDYPTTDGTCIRDYIHVSDLAAAHTAALGYLRKGGSSLVANCGYGHGFSVLEVLDAVERAAGHGYEIRRAPRRLGDPASVVSNPALIKSTLDWKPAFDLDVIVAHALAWEKR---------- 307261778 -----ILVTGGAGYIGTHTIVELLNINREVVVLDNLHNSSEVSLERVKFYKGDVLDREILRKIFAEHKIESVIHFAGLKAVGESVQKPLFYYENNVGGSIVLVEEMLKAGVNTIVFSSTATVYGVPEVVPVTEACRVDTTSPYATSKYMVERVLADTVKANPFSAVILRYFNPVGAHESGLIGEDPNGPNNLMPFISQVAVGKLPQLSVFGGDYETHDGTGVRDYIHVVDLAIGHLKALDKHQDDAGLHIYNLGTGIGYSVLDMVTAFEKANDIKVPYQIVARRAGDIDSYYSNPQKALDELGWKTERGLEQMMKDTWNWQKNNPNGYK---- 261379146 ----TVLITGGTGFIGSHTAVSLVQSGYDAVILDNLCNSSAAVLPRIPFYQGDIRDRQILRQIFSEHEIESVIHFAGLKAVGESVAEPMKYYGNNVYGSLVLVEEMARAGVLKIVFSSSATVYGNPDKTPYTEDLRPDTTNPYGASKSMAERILTDIQKADPWSVILLRYFNPIGAHESGLIGEQPNGPNNLLPYICQVAGGKLEQLSVFGGDYPTPDGTGMRDYIHVMDLAEGHIAAMKAKGGLSGVHLFNLGSGRAYSVLEIIRAFEAASGLSIPYQIKPRRAGDLACFFADPSYTKQETGWETKRSLAQMMEDSWRWVSRNPNGYGD--- 255636519 MPSQSVLVTGGAGYIGSHTVLQLLLSGYHVFAVDNFDNSSEEFANNLSFSKLDLRDRAALEKIFSTNKFDAVIHFAGLKAVGESVDKPLLYFDNNLVGTIVLFEVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLSATNPYGRTKLIIEEICRDYRADSDWKVILLRYFNPVGAHPSGYIGEDPRGPNNLMPFVQQVAVGRRPALTVFGNDYKTTDGTGVRDYIHVLDLADGHIAALRKLNDPKGCEVYNLGTGKGTSVLEMVNAFEQASGKKIPLAMAGRRPGDAEIVYASTEKAERELNWKTKYSIDDMCRDQWN-------------- 222836566 -----ILVTGGAGFIGSHSCVELAAAGEPYLIYDNFSNSSPDVLERMECVEGDVRDRAALDRLFAEHSIREVIHFAALKAVGESVAQPLRYYEHNVGGTVALLQAMRTAGVRSLVFSSSATVYGDPASLPIREDFPLSATNPYGRSKLWIEEMLADLDRAEQWSLARLRYFNPVGAHESGLIGEDPRDPNNLMPYVSQVAIGQRQQLSVYGDDYATPDGTGVRDYIHVTDLARGHLAALRYLREQQGLLTVNLGTGRPVSVLEMVKAFERASGRPVPYQIVARRPGDVAQCWADPAEAERLLGWKAMLDLDRMCEDAWRWQSQ---------- 120435875 MKTKRILVTGGLGFIGSHTVVALQNEGYEVVIIDNLSNSSLDVLKTPEFENIDLREKAAVKSFFDQYDIEDVIHFAASKAVGESVTNPLLYYENNISTLVYLLHELNKKEGARFIFSSSCTVYGQADELPITEDAPKKAESPYGNTKQIGEEIIHDTCKTNDFKAISLRYFNPIGAHPTAEIGELPIGPQNLVPFITQTAIGKREELSVFGDDYPTNDGTAVRDYIHVMDLAEAHVHALQHGKEKNNYEVFNLGTGKGNSVLEVINSFEKVSGEKLPHKIVGRREGDITAAYADTTKANNELNWKAERTLDDALKSAWKWQQ----------- 25028369 ----KLLVTGGAGYVGSVCAAVLVERGHDVTVIDNFTTGNREAVPAATLVEGDVRDVAD--EVLAAGGFDGVVHFAARSLVGESVDHPADYWQHNMVTSLTLLDAMRAHGVDNLVFSSTAATYGEPEQVPITEDMPTAPTNPYGASKLAIDYAITSYARAYGLGATSLRYFNVAGA--YGNIGENREVETHLIPLVLQVALGHREKIFMFGDDWPTADGTAVRDYIHIHDLAEAHVLALESNV-GGTHRIFNLGSGDGYSVRQVVEMCREVTGHPIPAEVAPRRAGDPAVLIASSEKAQRELGWNPRTDLRTIVEDAWAF------------- 222109952 -----ILVTGGAGFIGTHTCAALADAGIPTLLLDNFSNSRRSVLKPVACVEGDVRDAQLLRQLFASHPIEGVIHFAALKAVGESVREPLRYYENNVAGTVSLLRAMQAAGVRTLVFSSSATVYGDPASLPIREDFPLSATNPYGWSKLMMEQVLADHAEPGQWRIARLRYFNPVGAHESGLIEDPQDIPNNLMPYVAQVATGQREVLSVYGGDYPTPDGTGVRDYIHVCDLAEGHVAALRYLRAHPGLLTVNLGTGRPVSVLEMVRGFEQASGRAVPYQVVARRPGDVAACWADPAQAERLLGWRARRDVQAMCRDAWRWQ------------ 211906524 ----HVLVTGGAGYIGSHAALRLLKESYRVTIVDNLSRGNMGAVKQLQFVYADLGDLKAVNKIFSENAFDAVMHFAAVAYVGESTLDPLKYYHNITSNTLVILESMAAHDVRTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDIILDYSKNSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRCFDAARGVIPGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKAL-KKAKPGEVGIYNVGTGRGRSVKEFVEACKKATGVEIKVDYLARRPGDYAEVFSDPTKIRHELNWTAQTDLQESLQIAWQWQKAHRDGYA---- 123967065 ----TVIVAGGAGYIGSHTVRELQNEGFEPIVLDNLVYGHREDVLAVPLVIGQVGDKPLLKKLFSGEHPKGIMHFAAYAYVGESVVDPAKYYKNNLVETISLLEAKRNSQPIPIVFSSSCATYGIPAEIPIIERTPQNPINPYGRSKLMMEKILIDYHKAYELPVSILRYFNAAGADINGDIGEDHNPETHLIPLVLEALSDKEGFLKVNGIDYPTFDGTCIRDYVHVSDLAKAHVLALNKIMNDKSLSIYNLGNGKGYSIMEVIDASKKVTGKEIRILQSKRRQGDPPVLISSPEKAKKELLWKPEFDLESIIRTAWNWYL----------- 297526827 -----VLVTGGAGFIGSWTVEKLCSKGYRVVVLDNLMYGSPSNLSNIIDVKGDIRDTVLLNELFRKYRFYGVVHLAALVGVDEVYRDPNSGFSINVQGTFNLLEMSRRHDVERFVYASSAAVYGDPQYLPIDEDHPLSPKNLYGATKLAGEILVNTYMENYGLSTISLRYFNVYGPRMRP-------GPYSGVVYVFINNLIHGKPLIIHGDGLQT------RDFVYVEDVAAANLLALESKI----TGSYNIGCGSNITVRELADILRKYMGREEEIIHDKPREGDIKHSLADIGKAVKYLGWKPTVSLEKGLKKTIEYYKDY--------- 269128400 ----KLLVTGGAGYIGSVVTAQLLEAGHEVTVLDDLSTGHADAVPEGARVRGTLREQAA--PVLEGGGFQAVLHFAAKSLVGESMEKPGLYWDRNLGESLALLEAMRRAGVGQIVFSSTAATYGEPQSTPILETDPTRPTNPYGASKLAIDTALSEYARMHGFGAVSLRYFNVAGA--YGRFGERHTVETHLIPNVLAVAAGTRPSVKMFGDDYPTADGTCIRDYIHVVDLGVAHLLALQAC-TPGEHKIFNLGNGNGFSVREVIEVCREVTGHPIPAEVAPRRPGDPAVLVASSQKIQAELGWKPEHDLHRMVADAWAF------------- 221508242 ----RVLCTGGLGYIGSHTVVRLVEAGFDVTIMDNLSNAKEEVLDRLRFFKVDMCDAEALQKLFEDHHFDSVIHYAGLKAVGESVENPLEYYSTNVGGTLNLLKVMDTAGCRRLVFSSSATVY-RPKAGPIVETDPTGASNPYGQTKAMIEQILKDHNADARWSIAVLRYFNPVGGHPSGLLGESPTHPNNLLPYIQQVAIGHRPHLYVFGTDWDTPDGTGVRDYLHVDDLAEGHIAALRKLEHEKDGCFLIHGTGKGHSVLEMADLFERVSGRKIPRKPAPRRPGDLSSVVADPSLAEQELGWKAQRSMEEAMASAWKWQSQNPNGYDTQ-- 260435778 --GSRVLVTGGAGFIGSHTCLVLLEAGYDLLILDNFSNSSPEALTRVQIERGDIRDPITLDELFSAAAFDAVIHFAGLKAVGESVEKPLLYWDVNVSGSRTLLAAMDAHACRTLVFSSSATVYGYPETVPIPESAPIHPINPYGFSKAAVEQMLADLNAPNTWRIASLRYFNPVGAHPSGRIGEDPLGPNNLFPFVTQVAVRRRDQLRVFGSDWPTHDGTGVRDYIHVMDLAEGHKAALTTLLNQGPHLTCNLGSGDGASVLDVVNAFSAASGQDIPYALVDRRPGDAAVTVADPSRAADILQWRTKRTLTDICRDGWAWQQANPMGYRQ--- 119962165 ----KILVTGGTGYIGSHTVLSLQEAGHDVVVLDNLVNSSEESLRRAAFHQVDLVDEPAVEAVFDQHQIDAVIHFAGLKAVGESVQEPLAYYYNNIVGTLNLLRAMDKHNVRSIVFSSSATVYGEHNPIPYIEKMEIGANNPYGRTKEQIEDILSDLGNADDWHIALLRYFNPVGAHPSGRIGEDPQGPNNLVPFIAQVAVGRREKLMVFGGDYDTPDGTAQRDYIHVVDLADGHVAALNYIAERAGVRRWNLGSGRGSSVLEVLRSFEKAVGQPIPYEITGRRAGDLPAFWADASSALADLGWSTTKTVDQMCEDHWRWQKNNPYGYN---- 30248688 ----NVLVTGGAGYIGSHTCVELLTAGYEVVIFDNFCNSHPEALRRIEQVTGDIRNQVAIEKALKDYGCEAVIHFAGLKAVGESVEKPLEYYDNNVIGTHRLLAAMQNCGVYTLVFSSSATVYGEPQRLPLTEDHPLSATNPYGRSKLIIEEMLRDYRADPRFRIAILRYFNPVGAHDSGLIGEDPQGPNNLMPFVAQVAVGRREYLNVWGSDYPTHDGTGVRDYIHVVDLALGHLGALDY-LTVPQCMAINLGTGIGYSVLDVIKAFEEASSRQIDYRLASRRSGDVAACYANPALAEKLLRWKAQRDLAVMCRDHWRWQKNNPAGY----- 220913655 ----RILITGGCGYIGSHTILRLLEAGHDITVIDNLSNSLSESLLRVEFVEGDIADRSCLEGIFDRDDIEAVIHFAGYKAVGESVDQPLRYYGNNVSGTLTLLETMDAYGIRNLVFSSSATVYGANPQMPLKEDFPLRATNPYGRTKQHIEEILVDLQAADSWRIALLRYFNPVGAHPSGLIEDPQGPPNNLFPFVTQVAVGRRDKVSVFGNDYPTADGTGVRDYIHVMDLAAGHAAALDYLSGHQGLHTWNLGTGRGYSVLEIIHAFEAASGTSVPYELVGRRPGDAPVSLADPSSAFRDLGWKASETLESMCADAWRWQQHNPDGYRAK-- 209877839 MKTKKILCTGGAGYIGSHTVVALVEAGYIPHILDNLSNSDIEVVKRIPFFKIDIRDKDALFDLFKKEKYDAVIHFAGLKAVGVSVLQPLEYYENNVVGTIRLLEVMKEVNCRTLVFSSSATVY-QPKSTSLVEDDPLGASNPYGQTKLFIETIMKDYFSSMNLKFSILRYFNPVGCHPSCIIGESPEEPNNLLPYIQLVSIGRKPHLNIFGSDWPTPDGTGIRDYIHVMDLAEGHVKALEKLFKAPSNEIYNLGCGSGVSVLQMIKYFEEASGVEIPYYFTDRRPGDLASVVANPHKAECELNWKATRSIFDACKSSYKWQSNNPLGYSK--- 291459212 -KRMTILVSGGAGYIGTHTCVELLQRDYKVIILDNLYNSSEKAVERIRFYRVDMRDKEGVERVFQENDISALIHFAGLKAVGESVRKPMEYYGTNIGGLLNLTDVMQRHGCRNIIFSSSATVYGDPAEIPITESCPKGCTNPYGWTKWMQEQILTDHTAHPEWNVILLRYFNPIGAHRSGLIGEDPKGPNNLLPYVSQVAIGRLPKIQVFGADYPTPDGSGVRDYIHVVDLARGHVHALERLKKKDGVFVCNLGTGRGYSVFEVIRAFEKACGKELPYEIKPRREGDIAVCYSSPEKALRELGWKAEFDLDAMCRDSWNWQKKNPNGYQD--- 142182473 -----ILVTGGAGYIGMHAVRTLLRAGYRVVVLDNLVYGHRDCVEKQPLVKGQVGDRALLDQLLSGEHPAAIMHFAAFAYVGESVVKQDLYYRNNLADSLVLVEALLAEGDRRIVFSSTCATYGDPEQIPITEECPQNPINPYGRSKWMVEQVLQDCWKAHGLSHIMFRYFNAAGADPAGDLGEDHTPETHLIPLAFDAATGRLPYLTIHGDDYPTSDGTCVRDYIHVFDLADAHLLGLQVLLRRRGSHVYNLGNGVGYSVQQVIDTTRSITRRVLACHAGPRRPGDPPILVASSEKARRELGWQPHYPLETMISHAWHWYRK---------- 143373743 MMKKTILVTGGAGYIGSHTVVELLNKNYEVILVDNFSNSKMDILKELKVYKRDCC--RDLSDVFSENKIDGIIHFAALKSVGESCEVPLRYYNNNILSLTNILETAERFGVKNFVFSSSCSLYGNLKELPAKEDSMSDPESPYAYTKLVGERIIKDFSLVSNIKSICLRYFNPVGAHMSGLIGECPLNKPNNIIPVILNSTETGEEITVFGDDYDTTDGTCIRDYVHVSDIADAHVLAIEYLFNSKKFDVYNLGYGNGVSVLEVIKSFETENNLKLNYKIGNRRNGDVVKIYSNSSKAINDLGWNTKHDLSDMVKSAWNWHKN---------- 168037183 -----ILVTGGAGYIGTHTSLQLLLDGYKVVILDNLVNSCEEAVRRVVFHKVDLCDKVGIQAVFDLHRFDAVIHFAGLKAVGESCAKPLPYYINNILGTLNLLDVMNTRNCKKLVFSSSATVYGQPESVPVTEDSRLFVLNPYGRTKLQVEEMMRDITADSGWRCIVLRYFNPVGAHPSGRLGEDPQGPNNLMPFVQQVAVGRRKELTVFGTDYNTKDGSGVRDYIHVQDLATGHSAALHKLFTTPDIGCYNLGTGKGTSVLEIVAAFEKAAGLKIPLRIAGRRPGDCSVVYTATDKARKELGWKALNGIDEMCRDQWKWASNNPNGYQPNQE 170727994 ----KILVTGGMGYIGSHTCLALLESGFEPVIFDNLSNSSAGVLQQIYFVEGDIRDTALLQQVLIKHQCVAVIHFAALKAVGESTEQPHRYYDNNVGGTLSLLKAMEESAVRNIVFSSSATVYGDPDYIPIDESHPIRATNPYGWSKVMVEQILRDTCAIANWQAISLRYFNPVGAHDSGLLGENPMGPNNLMPYIAQVAVGKRDFLQVFGDDYPTDDGTGVRDYIHVMDLAAGHVHALQGKRGVKGFYAYNLGTGQGYSVLEMVNSFSKESGQAIPYRIADRRSGDIPCSYADPSLAQHELDWQASRDLTQMTADVWRWQSKYP-------- 72382061 ----RVLLTGGSGFIGSHVALLLIERGLDVLILDSFANSSSNVINRINTYNGDIRDKKLLESIFNSHKPDIVIHLAGLKSVAESLTNPIHYWDVNVHGTLNLLLTMKEYECYSFVFSSSATIYGLSDSVPMAEDHRISPINPYGKTKVAIENMFYDYNSNNLWKICSLRYFNPVGAHPSGLIGEDPIGPNNLFPFLTQVAIGKRKFLNVFGDNWDTYDGSGIRDYIHIMDLAEGHLASLDYISSSDSCEFINLGSGNGYSVFQIIRQFELTTGCQIPFSIQNRRDGDVAISYADISKAKKLLGWTPKRTLEQICLDGWNWQIRNPNGYN---- 168042311 ----HVLVTGGAGYIGSHATLRLLKDGYRVTIVDNLSRGNAVQVLQGLFPEVDLGDSKAINEVFSKNAIDVVMHFAAVAYVGESTAEPLRYYHNITSNTLGLVEAMVRHRVHKLIYSSTCATYGEPDVMPIKESTPQVPINPYGKAKKMAEDIILDYVKSNDLAVMILRYFNVIGSDSKGRLGEAPRPELRAHGRCFDAAMGVIPELKVQGTDYNTEDGTCVRDYIHVTDLVDAHVKALNA-AKPGKPGIYNVATGKGVSVKQFVEACKAATGVNVTVKYMDRRPGDYAEVYSDPTKIKNELNWTARTDLTKTLRVAWKWRKAHPKGY----- 302187362 -----ILVTGGAGYIGAHIALELLEDGRDVVVLDNLCNSSREPLRRVEFIHGDVRSKATLHRLFARHPVKAVVHCAGLKAVGESVREPLRYFETNVSGSVNLCQAMAEAGVFDLLFSSSATVYGECERMPLDENSPPGPTNPYGHSKLMAEHVMQSVARSDPWSIGLLRYFNPIGAHPSGLLGESPCNPNNLLPFLLQVANRLRPALHIFGSDYPTPDGTGVRDYLHVMDLAEGHLKALDRINDERGVSIWNLGTGQGYSVLEVVQAFERISGKAVPLIFEPRRPGDIAACWSDPGKASRELDWRARFNLDSMLTDAWRWQCMNPQGY----- 194436793 ----NILVTGGAGYIGSHTALCLLNKGHNVVIIDNFSNSSKESVKKAILYELDINNENGVSEIIKKHKIECIMHFAGAKSVAESLKKPIFYYSNNVSGTLQLINLAIRNNVTKFIFSSSATVYGNGNIMPITEECAIGTLNPYGTSKYISELMIRDIAKRYSINFICLRYFNPIGAHESGMIGESPDIPSNLVPYITQVAIGKLEKLMVFGGDYPTKDGTGVRDYIHVMDLAEGHEAALSYNNHQSNYHIFNLGTGKGYSVLELISCFEKISGRTIPYEIVSRRDGDIAESWSSPLRANKYLKWQAKRDLEKMLADAWRWQLKNPNGYNDR-- 213966894 -----ILVTGGAGYIGAHIALQLLESGRDVVVLDNLCNSSRDALRRLNFIHGDVRSKATLHRLFTRYPVEAVVHCAGLKAVGESVREPLRYFDTNVSGSVNLCQAMAEAGVFDLLFSSSATVYGDCERMPLDENCPLGPTNPYGHSKLMAEHVMKSVAHSDPWSIGLLRYFNPIGAHPSGLLGEVPCNPNNLLPFLLQVANRLRPALHIFGNDYPTPDGTGVRDYLHVMDLADGHLKALDRIHSERGASVWNLGTGQGYSVLEVVRAFERISGKAVPLIYEPRRPGDVAACWSDPAKALRELQWSARLDLDSMLADAWRWQCMNPQGYA---- 251771114 ----NVLVTGGTGYIGSHTTVLLLEAGQNVTIVDNLSNSDRSVVDRIEFFQADIRDRGRLGELLAGKPFDAVIHFAGLKAVGESSRLPLEYYDNNVAGTLSLLFSMREAGVKTLVFSSSATVYGVPETLPITEEAALSATNPYGATKLVIEEILRSLVASDDWKVGILRYFNPVGAHPSGLIGEDPRDPNNLMPYVAQVAAGIRPHLSVFGDDYPTPDGTGVRDYIHVMDLALGHLAALRAAWRDPAPLTVNLGTGKGTSVLEMVRAFEKASGQPIPVKIAPRRPGDVAACWADPSLAQKVLGWKATRGIDEMCADGWRWQSGEARRLGKK-- 225465745 ----HVLVTGGAGYIGSHAALRLLKDSHRVTIVDNLSRGNLGAVKQLQFIYADLGDAKAVNKIFSENEFDAVMHFAAVAYVGESTMDPLRYYHNITSNTLMVLKAMAAHGVKTLIYSSTCATYGEPKKMPITEGTPQVPINPYGKAKKMAEDMIIDFSKNSDMAVMVLRYFNVIGSDPEGRLGEAPRPELREHGRCFDAALGIIPGLKVKGTDYKTADGTCVRDYIDVTDLVDAHVKAL-AHAKPKKVGIYNVGTGKGRSVKEFVEACKKATGVDIKVEYLDRRPGDYAEVYSDPSKILRELNWTAQYTLQESLQTAWRWQKSHRNGYGTR-- 303399347 MTNQTILVTGGAGFIGSHTCVALLNAGYDVVVIDNLVNSKVDAVRRVQFHQADSRDDRALRQVFEHRPIRAAIHFAALKAVGESVQKPLDYYANNLDSLLALLRVMNEHDVKHIVFSSSATVYGVPQSVPIDESFPLSATNPYGQTKLMAEQILRDAHADTGWKVATLRYFNPVGAHESGLIGEDPNGPNNLMPFVAQVAVGKLPRLRVFGGDYDTPDGTGVRDYIHVVDLARGHLAALDTLFAQSRSFTVNLGTGKGYSVLDVVRAFERACGREVPYEIVARRPGDVAACYADPAAAERVIGWRAEHGIERMCADHWRWQVNNPNGYA---- 296417665 MSAKTVLVTGGTGYIGSFTTLELLVAGYEVIVIDNLYNSSEESLKRPIFYKADVTDQEALDKIFKDHDIDSVIHFAALKSVGESTEIPVEYYRVNVGGTISLLRSMEANGVKNIVFSSSATVYGDPNMIPIPETCPIGPTNPYGRTKMMIEMVIEDHVESHGWNAALLRYFNPAGAHPSGIMGEDPQGPYNLLPLLGQVAVGRREKLKVFGTDYASHDGTAIRDYIHVVDLAKGHIAALNKRKDKPGCRAWNLGTGKGSTVFEMIGAFSKAVGRDLPYEVVPRRKGDVLDLTAVPTRANEELGWKAEMTLEQACEDLWKWVSNNPQGYRQ--- 302817861 ----HVLVTGGAGFIGSHATLRLLADGHRVTIVDNLSRGNMGAVHALQFINADLGDRKAVDEIFKKNAIDAVMHFAAVAYVGESVAEPLRYYHNITSNTLTVVEAMTAHNVKKLIYSSTCATYGEPTKMPIVEETEQVPINPYGKAKKMAEDIIKDYTKSSDLAVVILRYFNVIGSDPLGRLGEAPRPELRHMGRCFDAALGHIPELKVMGTDYDTKDGTCIRDYIHVTDLVDAHVKAL-AHASEGTVKVYNVGTGVGVSVKEFVEACKAATGVNVSVSYLERRPGDYAEVYSNPSKIFRELGWKARHTLTKSLSVAWKWKMAHPNGYGD--- 160902769 MADKRILVTGGAGFIGSNLVDRLMKEGHSVVVIDNLSTGNVEFLPMALFYQQDIRDYNVLEKIFETHKFDYVFHLAAQISVPDSVKDPNWDAEINVMGTLNLLKLSVKYDIKKFIFSSTGAIYGDNAPIPTSEDYCPHPISPYAISKLACEKYIEFYSLQYDLNYTILRYANVYGPKQT------PKGEAGVVAIFTQNMLEKK-------EIVIYGDGEQVRDFVHVFDVVEANFLSI----NKADKETINISTNKKTTVNELFEVMKRKTGYENAPVYKPERDGDVKISLLSNAKAKSILGWEPNYDLEKGVENTIEWY------------ 144136296 ------LITGGAGFIGSHTCLVLLQAGHDLVVLDNFSNSSPESLRRVTVVEGDIRNPADLERAFANRPIEAVIHFAGLKAVGESVQYPLRYWDVNVSGSRQLLSTMQAQGCRTLVFSSSATLYGIPESVPIPETAPIQPINPYGHSKAAVEQLLADLSAEPGWRVARLRYFNPVGAHPSGRIGEDPSGPNNLFPFVSQVAVGRRERLQVFGGDWPTRDGSGVRDYIHVMDLAEGHKAALDTLLAEAPQLTLNLGSGQGHSVLEVVVAFERASGRAVPYELVARRPGDAASTVADPALALQRLGWHTRRSLAEMCRDGWAWQGSNPNGYS---- 227872278 ----KILVTGGAGYIGSHTVIELLAENYDVVVFDNFYNSSKKAIKDFPFYEADMLKKEDMEKIFSEHDISAVIHFAGLKAVGESVQKPLLYYHDNMVGTLNILDCMKKYSCKNFIFSSSATVYGDPAEIPITENCPKGTTNPYGWTKWIQEQMLCDVQKANDWNVILLRYFNPIGAHISGKIGEDPKGPNNLLPYVAKVASGALEKIHVFGQDYPTKDGTGVRDYIHVVDLAKGHVKAVKRLEKNDGVLVCNLGTGKGYSVLEIIHAFEKACGKTLPYVIEERRAGDVATCYSSPKKAEEVLGWKAEKDILEMCQDSWRWQSQNPNGYGE--- 255578061 ----HVLVTGGAGYIGSHASLRLLKDGYRVTIVDNLSRGNLGAVKRLQFIYADLGNSKSVNKIFSENAFDAVMHFAAVAYVGESTMDPLKYYHNITSNTLVVLESMAAHDVKTLIYSSTCATYGEPEKMPITEDTAQVPINPYGKAKKMAEDIILDFSKNSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRCFDAARGIISGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALEK-AMPGKVGIYNVGTGKGRSVNEFVEACKKATGVDIKVDYLPRRPGDYAEVYSDPTKIRVELNWTAQTDLQESLQVAWRWQKAHRNGYGS--- 125561347 ---RTVLVTGGAGYIGSHAVLQLLLAGFRAVVVDNLNNSSPMPLFLVMCQEVDLRDKGALEKVFASTRFDAVVHFAGLKAVGESVQKPLLYYDNNVNGTVNLLEVMSAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPNNPYGKTKLVVEDICRDYRTDPEWKIILLRYFNPVGAHPSGYLGEDPCGPNNLMPYVQQVAVGRRPALTILGNDYATRDGTGVRDYIHVVDLADGHIAALQKLFESSGCEAYNLGTGKGTSVLEIVKAFEKASGKKIPLIIGPRRPGDAEILFSLPAKAEKELNWKAKFGIDEMCRDQWNWASKNPYGYGS--- 237785663 ----KILVTGGAGYVGGTVAKVLLEQGHSVTVIDDLTTGNTDLIPEGNFVRGDVRDVAA--DVLADEKFDGVAHFAARSLVGESVERPQDYWHHNVVTTLTLLDAMRHSHVNNLVFSSTAATYGEPETVPITEEFPTRPTNPYGATKLAIDHMITSYAHAFGLRATSLRYFNVAGAYHGQ--GENRPVETHIIPIILQVALGHRDGVKIFGDDWPTKDGTCVRDYIHVKDLADAHLLALETN-KPGQHRMYNLGSGDGYSVREVIEMCRKVTGHPIPADIAPRRAGDPAILIASSDKARHELGWNPTTDLETIVSTAWDF------------- 238480871 ----HVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNLGAVKRLQFIYADLGDAKAVDKIFSENAFDAVMHFAAVAYVGESTLDPLKYYHNITSNTLVVLEAVARHKVKKLIYSSTCATYGEPDKMPIVEVTPQVPINPYGKAKKMAEDMILDFSKNSDMAVMILRYFNVIGSDPEGRLGEAPKPELREHGRCFDAARGVIPGLQVKGTDYKTGDGTCVRDYIDVTDLVDAHVKALEKAKPRNVGI-YNVGTGKGRSVKEFVEACKKATGVDIKVDFLPRRPGDYAEVYSDPAKILRDLNWSARYTLQESLEVAWKWQKTHPHGYAS--- 78049415 ----RILVTGGTGYIGSHTCVELARRGHDVCIVDNLSNSSERVLDHLQHHRMDVR-APELADLLISERIDAVLHFAALKAVGESVREPLLYFNNNVTGTLALLRAMRTAKVCNLVFSSSATVYGDANRSPIDESAPLKAINPYGRTKLMMEEMIGDLSAWPDFNAALLRYFNPVGAHPSGYLGEDPRGPNNLMPYIAQVAVGRRAALQVFGDDYPTDDGTGVRDYLHVMDLARAHVDAIDYLQRERKGLVVNLGTGRGYSVREVAAAFARASGRRIPLSIGPRRGGDVAVYFASTALANRLLGWTAEYDLDRMCRDTWRWQSMHPDGYA---- 290959795 MSGK-YLVTGGAGYVGSVVAQHLLEAGHEVVVLDNLSTGFREGVPAGAFIEGDIRDAAKWLD----DSFDAVLHFAAFSQVGESVVKPEKYWDNNVGGTMALLAAMREAGVRKLVFSSTAATYGEPETTPIVESAPTRPTNPYGASKLAVDHMITGEAAAHGLGAVSLRYFNVAGA--YGTCGERHDPESHLIPLVLQVAQGRRDAISVFGDDYPTPDGTCVRDYIHVADLADAHLLALDA-ARPGEHLICNLGNGNGFSVREVIETVRQVTGHPIPEVVAPRRAGDPATLVASADTARARLGWNPSRDLAGIVADAWRFAQAH--------- 19112835 ----TVLVTGGAGYIGSHTCVVLLEKGYDVVIVDNLCNSRVEAVHRIIFHQVDLLDEPALDKVFANQNISAVIHFAGLKAVGESVQVPLSYYKNNISGTINLIECMKKYNVRDFVFSSSATVYGDPTRPPIPESCPREGTSPYGRTKLFIENIIEDETKVNKLNAALLRYFNPGGAHPSGELGEDPLGPNNLLPYIAQVAVGRLDHLNVFGDDYPTSDGTPIRDYIHVCDLAEAHVAALDYLRQHVSCRPWNLGSGTGSTVFQVLNAFSKAVGRDLPYKVTPRRAGDVVNLTANPTRANEELKWKTSRSIYEICVDTWRWQQKYPYGFDLTH- 159898295 ----RILVSGGAGYIGSVTSAALLDAGYDVVIFDNLRQGHRQAVPAATFVLGDLNNIAEIRSAFEAHGPDGILNFASHTLVGESMQHPEYYLRDSVVAGINLLTVAAEHGVKAFILSSTANLFDDPAKMPIDENERIVPGSPYGEMKSWMERALYWYERVHGIRYGALRYFNACGCTPT--IGEHHDPETHLIPLVLQVALGQRESIAIFGDDYPTPDGSCVRDYVHVSDLASAHILTLQALLNGGASRRYNLGNGGGFSVKEVIQAVRNVTGHAIPAVVAPRRAGDPATLVASSETIRRELGWEPRYTIESIIESAWAWHQQHPHGYSK--- 15241503 ----HVLVTGGAGYIGSHAALRLLRDSYRVTIVDNLSRGNLGAVKRLQFIYADLGDPLAVEKIFSENAFDAVMHFAAVAYVGESTLYPLKYYHNITSNTLGVLEAMARHKVKKLIYSSTCATYGEPEKMPITEDTPQVPINPYGKAKKMAEDMILDFSKNSDMAVMILRYFNVIGSDPGGRLGEAPRPELREQGRCFDAARGFIPGLQVKGTDYKTSDGTCIRDYIDVTDLVDAHVKALEKAQPR-KVGIYNVGTGKGRSVKEFVEACKKATGVEIKVDFLPRRPGDYAEVYSDPTKILKDLNWTARFTLQDSLQVAWRWQKIHPHGYNS--- 90425911 -EPRHILVSGGAGYIGSHMVLALLEAGERPIVVDDLSTGFRSAVPAGPLFEGSSGDAALIGGLIARYQIEAIIHFAASIVVPDSVLHPLAYYANNTVNARALIACAVEHGVPHIVFSSTAAVYGEPDRVPIDEQQPTAPINPYGRSKLMVEWILEDTAKAHPISYAALRYFNVAGADPQGRAGQSARNATHLIKIAVQAALGKRAGMDVFGTDYPTPDGSCIRDYVHVADLAQAHLDALRYLRGGKPSLACNIGYQQGFSVLEVIDTVKRVSGVDFEVRLRERRAGDPASLVASNQRAISRLGWTPRYDLETIVRHALDWERRLPSAID---- 310780516 ---KKYLVTGGAGFIGSHLCDYLLDRGHKVVVVDNFNAYYDVEIKEYKLYRGDIRDIDFLKKIFQDEKIDAVINLAAMAGIRPSLENPMLYEEVNIRGLMNLLELSKANGINKFIQASSSSVYGNNKKVPFKETAVVDAISPYAATKKSGEVMGHVYHYLYNIDMIQLRFFTVYGPR--------QRPDLAIHKFTGMITAGEAIPF--------YGDGTTQRDYTYIDDIIDGIVKSIRYLENNDNYEIFNLGESHTISLKEMVGTIEEELGIEAKINRQPMQPGDVEKTYADISKAKEILGYNPKTEFKDGIRKFVQWYREN--------- 307943324 -----ILITGGAGYIGSHSCVCFLEAGLDVVVVDNLSNAHPESLKRVPFVEADIRDQSKLESVLKAHGCTAVVHFAGLKVVGDSIADPVSYYDVNVSGTLSLLRAMVGCGIKNLIFSSSANVYGDPLELPLKEGHRTAPMTPYGQTKRIVEQLLEDLVESDPWRVTAMRYFNPVGAHDSGQIGEDPSTPTNLMPIIGQVATGERAKLTVFGSDYETPDGTGIRDFIHVMDLAEGHYRHLMAADADNRFQTLNLGTGKGYSVLELVREFERASNKAIPIEMAPRRAGNLAVSFADPILARETLGWEAKRDLKIMCHDTWNWLCKNPKGYA---- 84498002 ----RVLVSGGAGYIGSHTVVQLVAAGHDVVVVDSFANAKPSVVGRIDVRSFDLTDRDKTEATFVETQIDAVIHFAGFKAVGRSVAEPLSYYENNLDTTLSLLRAMDRHGVRKLVFSSSATVYGADATVPMQEDAPTSATNPYGWTKVMNEQVLRDAVADPTWRIALLRYFNPVGAHPSGTIGEDPDYPNNLMPFLAQVAVGRREKLSIFGGDYPTPDGTGVRDYIHVEDLAAGHVAALHRLAATDTAVNTWNGTGRGTSVLEMFHAFERAVGHDLPHEIVDRRPGDIAESYADPTKAEADLGWKATRTVDDMCVDTWRWQSENPNGY----- 240849545 -KSKCVLLTGGAGYVGSHTIIPLIESGYEVVVLDNLSNACKEKLVGKPFYNVDLLDYDSLSKVFDKHNFCCVIHFAGLKAVGESCIIPLTYYRVNVSGSINLFQVMKEHNVKKLVFSSSSTVYGEPQFLPITESHPQNLLNGYAKSKYYIEGIIQDLCNSDKEWVIILRYFNPVGAHPSGTLGEDPTGPNNLMPYVAQVAVGNRPCINVFGNDYNTIDGTGVRDYLHIMDLAEGHVSALKKLQNADENGAINLGTGNGYSVIQVIDMFSQVSGQKVKYDIVDRRSGDCASSYCDASFAKSYLGWEAKRTLKEMCEDTWRWQSSYPQGF----- 99077994 ----KILVTGGAGFIGSAVVRQAIAAGHEVVNLDALTYANVASVAESPFEEADIRDRAALDRIFAEHAPDAVMHLAAESHVDRSIDGPGDFIETNVTGTFNMLEAARKFWIFRFHHISTDEVYGTDKSVMFTEETAYDPRSPYSASKASSDHLVRAWHETYGLPVVLTNCSNNYGP--------FHFPEKLIPVVILNALAGKALP--------IYGDGSNIRDWLYVEDHADALLLVLQKGEN---GRSYNVGGENERTNLELVETLCAILDYKEQITFVTDRPGHDARYAIDPSRIRTELGWRPSVTVEEGLAKTVQWYLDNEDW------ 307208213 ----NVLVTGGAGYIGSHTVLELLQADIQVVVIDNLSNAHKDSNSEKPFINCDITNINDLRSVFQKYTFHCVIHLAALKAVGESCQKPLEYYKVNVAGTVNLLEIMLENNVKRFIYSSSATVYGVPEKLPLIENMKTNCTNPYGKTKFMVEEILKDLCISDKFSVISLRYFNPVGAHPSGQIGENPNGPNNLMPYIAQVSVGKRDVLYVYGDDYDTPDGTGVRDYIHIMDLAVGHVKAMIYQKTDNPTGFINLGTGKGYSVLEVIHAFEKASGKNIPYKIVERRPGDISVSYADASIAKKELGWVATKNMDVMCVDTWKWQQNNPNGYK---- 170746490 -----VLVTGGAGYIGSHMVLALLDAGHEVVVLDDLSTGFDWAVPEGVKVVGDVADQALVTQTILQHRIDALAHFAAKIVVPDSVADPLGYYLANTVKTRSLIEAAVRAGVKHVIFSSTAAVYGEPDVTPVPEDLPLNPINPYGRSKLMSEWMIADAAAAHGFSYVVLRYFNVAGADPEGRSGQSTPNATHLIKVATQAALGQRARLDVFGTDYPTPDGSCLRDYIQVSDLAEAHRLALDHLRSGGESLTLNCGYGRGYSVLEVVEVVKRISGRDFEVRLCPRRAGDPAQIVAEAARIRERLGWQPRHDLDAIVDQALAWEQR---------- 281206023 -----VLVTGGAGYIGSHTVVEMVEAGFTPLIIDNLSNSSVEAVKKIEFHEMDILDEPAMDRLFSTRSIKRVIHFAGLKAVGESTSVPLKYYDNNITGTVNLLKVMARHHCKQIVFSSSATVYGDA-VAPIREDSPLGATNPYGRTKLYIEGILEDLCSSDPWNCVLLRYFNPVGAHPSGMIGEDPRDPNNLMPYITQTAIGKRAQLSVFGNDYNTPDGTGVRDYIHVVDLAKGHISALRYLTENNRLGCFNLGTGRGYSVLEMIAAVEKASGVKVNYKIVERRKGDVATSFADPAKALSILGWKANYNQEDMCEHAWRWQSKNPNGY----- 288802463 --KQTILVTGGTGFIGSHTSVELIEAGYEVVIVDDLSNSKIEVLVRPAFEQVDLRDREATENVFRKYKIEGIIHFAASKAVGESVQLPLMYYRNNIVSLLNLLELMPKYNVKGIIFSSSCTVYGKPENLPVTEDAHQKATSPYGNTKEINEQIILDYINSADIKSIVLRYFNPIGAHPSALIGELPNGPNNLIPFVTQTAMGIRKELTIFGNDYNTPDGTCIRDYIYVVDLAKAHVAAMARVLDQDKIEYFNIGTGSGNSTKEIVETFEKATGVKVNWKYGPRREGDIEKIWGDCTKANTVLGWKADTPLADVLATAWKWQEK---------- 148555885 -----VLVTGGAGYIGSHAVLALLDAGWRVSVIDNLVTGFRDAVRRARFHEGDIADEALVARILAEDGIGAILHFAGSVVVPESVADPLKYYRNNTANSRSLIESAVKGGVRHFIFSSTAATYGIPDSIPVREDMPTVPINPYGMSKLMTEAMLRDVAAAHPMNYCALRYFNVAGADPQGRAGQSTAGATHLIKVAVEAALGKRAEVAVFGTDYATPDGTGVRDYIHVGDLADAHLHALDAIARPGESHVMNCGYGRGFSVLEVLDSVDRVAGTAVTRRMEPRRPGDPDALVADNARILATTPWRPRLDLDTIVAHALAWERK---------- 135097992 --SMRILVTGGAGYIGSITSDALVTRGHDVVILDDLRTGHQAAVPDGTFIHADIADREAVASTLDAHAIDAILHFAASSLVGESMRDPTLYFSNNTAGTLTLLDVALHHGVDRFLLSSTAALYGTPDEVPIREDAPLKPESVYGESKYLIERTLTWLGRTANLGWTALRYFNAAGGTPHR--GEDHRPESHLIPLVLQVALGQRDDIAIFGSDYDTPDGTAIRDYIHVLDLAEAHVVALEA-TEPGKGAAYNVGTGRGYSVREVIDACREVTGHRIPATLTPRRAGDPPRLVADPSKLHATFGITPSRSLHDIVTSAWEWHETHPAGYAD--- 84684658 ----TILLTGGAGYIGSHTYVELVSAGHEVVIVDNFSNARADVVERVTCYRGDVLDKTFLADVFRRHHFDAVIHFAALKAVGESVQKPLDYFEVNCAGFSNLLMAMKDAGVFRVVFSSTATVYGEPVSLPYTEDHRIAPVSPYAQTKVICETLLRQLKESDDRWTYGVRYFNPAGAHDSALIGEDPNDPNNLMPYIAKVATGELPHLNVFGNDYDTPDGTGVRDYIHVVDLAQGHVQSVNKLIDEGDTHVVNLGTGTGYSVFDMLKSYEKACGKALPYEIAPRRAGDIDCFYADPTTARDYLGFEAKRGLDDMCQTSWNW------------- 114799522 ----KVLVLGGAGYVGSHCCRAFSEAGWDVTVFDNLSTGWRDLVRWGKLIEGDLNSPGDIEAAFSAIKPDAVAHFAASTLVGESVTEPGKYYRNNTFTTLNVLDAMQRHNTRAIIFSSTCAIFGHAQTEFLAEDHPKNPINPYGMSKLMVEQMLAGFDHAHGIRSACLRYFNAAGADRQALTGERHACETHLIPLALKGAYDPGYSFTITGTDFDTPDGTALRDYIHVEDLAEAHLLALNALEQGAPSNAFNLGTGRGTSVAEIVDAVERATGRRLPRKIGPRRPGDAARLIAAPGRAKDVLGWTAKRSVDNIITSALAWHQK---------- 90578394 ME---VLVTGGMGYIGSHTCVQMLQAGITPVIIDNLSNAKIGVLTRIEFHQGSVQDEAFLDSVFAKHDIKAVIHFAGLKAVGESVEKPLEYYYNNVNGSLVLARAMRKAGVKSIVFSSSATVYGDPKMVPITEDSPTGTTNPYGRSKYMVEECFKDFHAENDWSITLLRYFNPVGAHPSGTMGEDPQGPNNLMPFIAQVAIGRRDRLSIFGNDYPTPDGTGVRDYIHVMDLADGHIAALNVLREKAGLHIYNLGTGKGSSVLEMVDAFAKACGNQVPYVICPRRAGDIAECWASTDKAERDLNWKATRSVKEMTVDTWNWQSNNPNGY----- 218510293 -----VLVTGGAGYIGSHMVWALIDAGEDVVVLDRLSTGFRWAVPAARFYLGDIADPDILKKIFIENDIEAIIHFAGSAVVPVSVADPLSYYDNNSGKTRALLSAAIKAGIRNFVFSSTAAVYGQQQNDPVKENASLNPENPYGQSKLMTEFMLRDAAAAYDFNYVALRYFNVAGADPDRRTGQSTAGATHLIKVACEAALGKRDSVHVYGIDYPTHDGTGVRDYIHVTDLADAHLKALQHLRKDSGSLVANCGYGSGYSVLDVLNMVTRLHGHSFKIHMAPRRPGDSASVVADASLARQVLDWKPRHSLETIVQSSLDWFLSN-RNIDDLH- 270492519 -----ILVTGGAGFIGSHTCVALAGAGLPFLILDNFCNSRRSVLERPDLVEGDVRDEGLLARIFAEHPIDAVIHFAALKSVGESVREPLSYYDNNVAGTVALLRAMRKADVRTLVFSSSATVYGEPASLPIREDFPLSATNPYGQSKLMMEQVLADASEPGRWRIARLRYFNPVGAHESGLIEDPQDVPNNLLPYVAQVAVGLRERLSVYGGDYPTPDGTGVRDYIHVCDLADGHVAALRHLRAHPGLLTVNLGTGRPVSVLEMVRGFEAASGRPVPYQVVARRPGDVAACWADPGLAERLLGWKARLGLDRMCADAWRWQ------------ 156848521 --DKSVLVTGGAGYIGSHTVAELVENGYKCIVVDNLSNSSYESVAHIPFYKIDLCNYESLENIFEENKIDSVIHFAGLKAVGESTKIPLKYYQNNLIGTLNLLELMGKYNVEKFVFSSSATVYGDPNMIPIPEECPLGPTNPYGNTKFTIEKILNDLYNSNSWKFAILRYFNPIGAHPSGLIGEDPLGPNNLLPYMAQVAVGRRDKLNVFGNDYETRDGTPIRDYIHVVDLAKGHIAALKYLDNKGICREWNLGSGKGSTVLEVYDSFCGAVGNKIPYEVAGRRAGDVINLTAKPDRAKRELEWETKLNVDIACKDLWKWTTENPFGYQLKH- 194366611 ----NILVTGGAGYIGSHACVELQQQGHRVVIVDSLCNSDASVVERPVFVQTDIRDRPRMATLMRQHAIDAVLHFAALKSVGESQKVPLQYFDSNISGTIALLGAMQDSGVALLVFSSSATVYGNQEHCPVAESASTCAMTPYGRTKLVVEQLLADLIATPDLHVATLRYFNPVGAHDTALIGEPHGTPSNLMPYIAQVAAGLLPEVQVFGDDYPTHDGTGVRDYIHVQDVANAHVLALQFLRDERRSITLNLGTGQGHSVLELIQAFEHTTGIRIPFRIVPRRNGDIAVSFADASLALRELGWKARHTLADMCRDTWKWQREMP-------- 142224213 ----KVLVTGGCGYIGSHTVLVLLNYGYDVVVADNLVNSSLESLNRVQFFEGDIRNLAFLESVFNQSNFDGVIHFAGLKAVGESSSQPLSYYDCNVSGSITLFSVMRKYDVKNLIFSSSATVYGSEAPIPYLEKYSRGTSSPYGASKAMIERILEDLVVSDSWSVSLLRYFNPIGAHESGMIGEDPKGPNNLMPFITQVGVGKREKLSVFGGDYDTPDGTCRRDYLHVMDLAEGHVKALQYTKQHTGCEIFNLGSGSPVSVLQMISAFENVSNISLPYEIVGRRDGDLPEFWADSSKANSLLNWHCNRSLTTMVEDAWRWQIANPNGYS---- 261879513 --KQTILVTGGTGFIGSHTTVELQQAGYHVVIVDDLSNSNIEVLIRPDFEKVDLRDMVATEAVFQKYHIEGIIHFAASKAVGESVQKPLLYYRNNIVSLINLLELMPKYHVKGIIFSSSCTVYGKPENLPVTEDAHQKATSPYGNTKEINEQIIFDYIHSADIKSIVLRYFNPIGAHPSALIGELPNGPANLIPFVTQTAMGIRKELTIFGNDYDTADGTCIRDYIYVVDLAKAHVAAMTRDTDSDAIEYFNVGTGEGNSTLEIVETFEKATGVKLNWKYGPRREGDIEKIWGDCSKANKVLGWKAEAPLDEVLRTAWEWQKK---------- 307769120 ----RILVTGAAGFIGSHVVLELLNAGYDVICIDNFSNSVQDNNKEVPFVFADCSNEKQLEAVFEKYSISGVVHLAGWKAVGESVKKPLDYYSNNLIATLILLKLCGKYNVKSFVFSSSATVYGPPKSLPIKETDPVGCTNPYGHTKYMIERILMDANADKSWNIIILRYFNPVGAHPSGLIGEDPKGPNNLMPYMSQVAIGKLPVLYIFGTEFDTVDGTGVRDYIHVMDLARGHVAAFDHLNKEQKSGCYNLGTGKGCSVLEMVAAFEKASGQQIKTENGHPRPGDVACIYCDPLLAAKRLGWKCQYDLEEMCSDLWNWQIKNPNGY----- 188996472 ----NILITGGAGYIGSHVVKQIIENDHNVVIIDNLSTGSLKTIKELTFIEADLKDFQMIEGMFKAKKFDVVIHFAASIVVPESVKNPIKYYMNNTVNTANLINLCLKYGVNRFIFSSTAAVYGQPDEIPVKETTPTQPINPYGRSKLMSETVLNDVTANPEFKYVILRYFNVAGADLKIRIGQRFPNATHLIKVAAETAVGKRDKMYVFGTDYPTPDGTCIRDYIHVDDLADAHIKSLEY-LTDNNSNIFNCGYGRGYSVLEVINTMKEVSGVDFKVEYTGRREGDPAILIADNTKILNNLNWRPQYDLKLICKTALEWEKK---------- 170740071 -----VLVTGGAGYIGSHMVLALLDAGDEVVVLDDLSTGFAWALPEVTLVRGDMGDQALVAETIRRHRVDAIAHFAAKIVVPDSVADPLGYYLNNTVKSRALIETALREGVRHIIFSSTAAVYGEPPIVPVPEDLVTNPINPYGRSKLMTEWMLADVARAHGLTYVALRYFNVAGADPRGRSGQSTPNATHLIKVATQAALGRRSHLEVFGTDYPTPDGSCLRDYIQVSDLADAHLVALNHLRGGGESLTLNCGYGRGYSVLEVIQVVKEVSGKDFEVRLSPRRPGDPSQIIAAADRIRARLGWQPKHDLREIVSQALAW------------- 298706642 ----RVLVTGGAGYIGSHTCVELIKAGEKVVVVDDLSNAVEESLNRVRFRKVNLLDQPALESVFNDYEFDSCVHFAGLKAVGESVAKPLLYYRNNIEGTLNLVECMKQPGCRKLVFSSSATVYGEPDKLPLDESSSVGITNPYGRTKYMIEEILRDMSKSDPWDILLLRYFNPIGAHESGRMGEDPEGPNNLMPFVAQVCVGRREFLSVFGDDYGTPDGTGVRDYIHVMDLAEGHVAAVRKLRESCGCAAVNLGTGSGYSVMDVVKGMEEACGNPIPYKVMPRRAGDVEAMYADPSLAKSMLGWTAKRGLKDMCTDTWRWQSAHPNGYK---- 257437695 -----ILVSGGAGYIGSHTCIELLNAGFDIVVADNYYNASPVVLKDFRFYQADMTKHEDVEKIFTECDIDAVIQFAAYKAVGESVSKPIEYYYNNLNCTLVILDVMRRHNCRNFVFSSSATVYGDPASVPITEDFPVGTTNPYGTTKAFTERILTDVCKADPLNVALLRYFNPIGAHKSGLIGEDPNGPNNLMPYIAKVAVGKLEKVHVFGNDYPTPDGTGVRDYIHVVDLARGHVCAIKKLQTNCGLFICNLGTGHGYSVLDVIHAFEKACGKKLPYVIDPRRPGDIAECYADPTKAKNELGWVAEYGIEEMCADSWNWQKNNPDGY----- 237736673 ---KNILVTGGAGYIGSHAVAELLDSGYNVVVIDSLENGFIELVKRAKFYQGNVQDSNIMDKIFNENKIDAVMHFAGYIKVPESVVEPNKYYFNNTYTVMCLIESMRKHGVKNIVFSSTAAVYGDKEPEPVEETHSTLPINPYGMSKLMSEKIIMDCAKAYGLNYSIFRYFNVGGAHEKHNIGQKGEGITALIPLILKAAKGDIPKLSIYGNDFDTKDGTGIRDYIHVVDLVRAHILSLNK-LAENKSNIYNLGNGNGFSVLEMLNAAKEVTKIDIPAEITGRRAGDPPCVIASSKKAVAELNWKPVYDVKDIIRTAWEWNLRN--------- 283840925 MDKPYILVTGGAGYIGSHMTLALLGAGERPLVIDDLSSGSRAVVPEVPLFDGNVGDAEFVGRIMDEHPIEAIVHFAASIVVPESVAKPLAYYGNNTANARTLLDCAIKRGIPHVVFSSTAAVYGEPERTPVDEGDPTQPINPYGRSKLMVEWMLADVAQAHPFSYAALRYFNVAGADPQGRAGQSTPNATHLIKLAVQAALGKRPGLDVYGTDYPTPDGSCIRDYVHVSDLAQAHLDALHYLRSGKPSITCNIGYANGYSVLDVIEVVKRVSGCDFEVRIKGRRAGDPAALIAANQRARDILGWTPRYDLETIVRHALAWERR---------- 307565062 --NKTILVTGGTGFIGSHTVVELIETGYDVVIVDNLSNSKIEVLVRPAFEQIDLCDIKSTEGILRKYKIEGIIHFAASKAVGESVEKPLLYYKNNIISLINLLELMPKYDVKGIIFSSSCTVYGQPEDLPVSEKAHQKATSPYGNTKEINEQIIYDYINSANIKSIILRYFNPIGAHPSALIGELPNGPNNLIPFVTQTAIGIRKELIVFGNDYNTPDGTCVRDYIYVKDLAKAHVIAMERVLEKNKIEYFNIGTGRGNSTLEIINTFEKATGVKLNWKFGNRRKGDIEQIWGDCTLANKVLGWEANTPLEEVLATAWKWQLK---------- 86748137 -----ILVTGGAGYIGSHMTLALQAAGERPLVIDDLSAGLRRAVPEGPLFEGSVGDSDFVGDIMDRHPIAAIIHFAASVVVPESVAQPLAYYRNNTANARTLIDCAVQRRIPHVVFSSTAAVYGEPDRNPIGEDQPTQPINPYGRSKLMVEWMLGDVARAHPLSYAALRYFNVAGADPDGRAGQSSPNATHLIKIAVQAALGKRDGLDVFGTDYPTADGSCIRDYVHVADLVAAHLDALRYLRAGHPSVTCNIGYASGYSVLQVIEVVKRVSGVDFPVRLQGRRAGDPAALVAANERAKTLLGWTPRYDLETIVRHALAWERR---------- 145219287 ----NILVIGGAGYIGSHVVREFLDRGYGVTVFDNLRTGLRENLFEARFVHGDILHSGQLREVMAG-GFDGCVHLAALKAAGQSMLQPEAYAEANLKGTINILNQASMAGIRALVFSSSAAVYGSPQYLPIDEEHPKEPENFYGFTKLEIERLLDWFDRLRGLRYGAIRYFNAAGYDTRGRIGGLEMNPENLLPIVMEVASGMREKLSVFGDDYPTRDGSCIRDYVHVSDLAAAHVKAFEYMREHDRSLSVNLGSETGVSVLEMVDRVRALTGKPVPAVMTGRRAGDPAELVASSSRARELLGWEPSFSLDTLISSTWKMYQR---------- 260776693 ------------GYIGSHTCVQMIDAGMEPIIIDNLCNAKQAVLQRPCFHQGDIRDEAFLDSVFEQHQIGAVIHFAGLKAVGESVQKPLEYYDNNVNGSLVLARAMRKAGVKSIVFSSSATVYGDPEVVPITEDSPTGTTNPYGRSKYMVEQCLSDFAADDSWSITLLRYFNPVGAHPSGTMGEDPQGPNNLMPFIAQVAVGRREKLSVFGDDYPTPDGTGVRDYIHVMDLADGHIAALKSVGEQAGLHIYNLGTGRGSSVLEMVDAFGAACGKPVPYELCPRRPGDIAECWASTEKAEQELGWKATRSVAEMSADTWRWQSDNPSGYPD--- 120922 MEEK-VLVTGGAGYIGSHTVLELLEAGYSPVVIDNFHNSRRVQELTGRFEEMDILDQAALQHLFKKHNFKAVIHFAGLKAVGESVQKPLDYYRVNLTGTIQLLEIMRAMGVKSLVFSSSATVYGKPVPASGRGPPHRGCTKPYGKSKFFIEEMIQDLCRADAWNAVLLRYFIPIGAHRSARIGEDPQGPNNLMPYVSQVAIGRREALNVFGDDYATEDGTGVRDYIHVVDLAKGHIAALKKLKEQCGCRIYNLGTGTGYSVLQMVQAMEKASGKKIPYKVVARREGDVAACYANPSLAHEELGWTAALGLDRMCEDLWRWQKQNPSGLG---- 299127213 -----ILVTGGAGYIGSHMCVALAQANEPFLVLDNFGNSRPSVLERPECVRGDVRDAALLQQLFARHEFTAVIHFAALKAVGESVREPLAYYDNNVVGTVTLLQAMRQAGVRQLVFSSSATVYGDPASLPIREDCPLSATNPYGWSKLMMEQVLADASEPGQWRIARLRYFNPVGAHESGLIGEDPQGPNNLMPYVAQVASGQRELLNVWGSDYPTPDGTGLRDYIHVCDLAEGHVAALRYLREHPGLLTVNLGTGRPVSVLEMVRGFEQASGRPVPYRLAERRPGDVAACWADPGLAERLLGWRATRDVQAMCQDAWRWQ------------ 260891183 ---KTVLVPGGAGYIGSHTVLDLIKKGFHPIIADDFSNSSRKVITKISFYELDIKNKEGLRKIFSENKIDAVINFAGFKAVGESVEKPLIYYENNLFGMITLLEVMKEFDVKNIVFSSSATVYGIPEKMPLVEGDPMGATNPYGRTKLMIENILVDATSDDSWNIIALRYFNPLGAHESGRIGEDPNGPNNLAPYITQVAVGKLEKLHVFGNDYDTPDGTCIRDFVHVNDLAAGHSAAINYLFSGNGFEAINLGSEKGYSVLEILKNFEKAVGKTIPYVIDGRRAGDIAVSYADASKAKKLLNWEAKYTIEDMCRDSWNWQKK---------- 217979795 ----TVLVTGGAGYIGGHMALALLDQGEEVVVLDNLSTGFAWAVPAAKLIVGDFGDSALVDQIFADHRIDAIAHFAAKIVVPESVSDPLAYYLNNTAKARNLLECAVKAGVKQFIFSSTAAVYGEPAENPVFENVALAPINPYGRSKLMVEWMLADVAAAHQLRYVVLRYFNVAGADPAGRLGQSSPVATHLIKVAVQAALGHRDGLDVFGTDYPTPDGSCVRDYIQVTDLVDAHLLALAHLRAGGGSLTCNCGYGRGLSVLEVVEVVKKVSGVDFEVRLKGRRPGDPASLVAGADRVRQELGWTPKHDLAEIVEQALSWERR---------- 227549117 ----KLVVTGGAGYIGSVCAKVLLDAGNEVIVVDDFSTGNPEAVPAGATV-VEGRIDEVIDATLAGNDVDGVLHFAARSLVGESMDVPHEYWRDNLVTSLALLDAMRTHRVPRLVFSSTAATYGEPETVPITEDAPTRPTNPYGATKLAIDYAITSYCQAYGLAATSLRYFNVAGAH--GEIGENHKTETHLIPLVLQVALGYRDKIMIFGDDWPTKDGTCVRDYIHIRDLADAHVLALE-SSAPGTHRIFNLGSGEGYSVREVIEVCREVTGHPIPAEVAPRRAGDPAVLIASSEKIQRELGWDPTRTLRRIVEDAWEFTRE---------- 254419668 ------LVTGGAGYIGAHTAKALHGAGITPVVYDDLSNGHRAAVQWGPLVVGDVRDRDHLVEVMTDYGVSGVIHFAGLIEVGRSSADPAPFWDINVNGVAAVLWAMRETGVRRIVFSSTAAVYGQPADERLSESAPTLPINPYGDGKLAAELMIAAHARAYGLEGVALRYFNAAGADEDGDLGEAHSCESHLIPLAIEAALGLGPALTVFGADFPTPDGACLRDYVHVSDLARAHCLALDLPLGSEPFLALNLGGGRGHSVFDVLAAVEQATGRPAPHQIGARRAGDPPALVADCRKAAERLGWRPRVALSAIVGSAVAWRRA-PR------- 291280284 ----NILVTGGAGYIGSHVVKEILERSDNVVILDNFSTGSRKNVDRLKIIEADLSNFSLVEGIFKSYSFDAIIHFAASIIVPESVENPLKYYMNNTVNTTNLIRLATNYGVERFIFSSTAAVYGEPEEVPVKETTILSPINPYGMSKMMSERVLIDAKANNDFKYVILRYFNVAGADMNNRIGQAFPEATHLIKVAAETATGKRDKIYIFGDDYDTKDGTCIRDYIHVDDLAMAHLQALDY-LNENVSDIFNCGYGHGYTVKEVINTMKKVSGVDFKVEITGRRAGDPSKLIADNSKILNKLGWQPKFDLELICKSAFEWEKR---------- 116250375 MRDMAVLVTGGAGYIGSHMVWALLDAGEDVVVLDRLSTGFRWAVPAARFYLGDVADPDILKKVFIENDIEAIIHFAGSAVVPVSVADPLSYYDNNSGKTRALLSASVKAGIRNFVFSSTAAVYGQKTDLPVKETAPLNPENPYGQSKLMTEFMLRDAAAAYDFNYVALRYFNVAGADPHHRAGQSTSGATHLIKVACEAALGTRDSVNVYGIDYPTHDGTGVRDYIHVSDLTDAHLKALQHLRRGKGSLVANCGYGSGYSVLDVLNMVTRLHGHSFKIHMAPRRAGDSASVVADASLARQVLDWKPRYSLETIVQSSLDWEL----------- 168021237 ----HVLVTGGAGYIGSHATLRLLQDGYRVTIVDNLSRGNAVQVLQDLFPEVDLGDSKAVNEVFSKNAIDVVMHFAAVAYVGESTAEPLRYYHNITSNTLGLLEAMVRHRVHKFIYSSTCATYGEPDVMPIKESTPQVPINPYGKAKKMAEDIILDYVKSNDLSVMILRYFNVIGSDSKGRLGEAPRPELRAHGRCFDAAMGVIPELKVQGTDYNTADGTCVRDYIHVTDLVDAHVKALNA-AKPGKTGIYNVATGKGVSVKQFVEACKEATGVNVTIKYMERRPGDYAEVYSDPTLINNELKWIAQTDLTQTLRVAWKWRKAHPKGYA---- 142777885 -----VLLTGGAGYIGSHTALALLRAGEQVVSFDNYSNSSPESLKRVEHIKGDVLDAAALAAVFEQYPIKAVVHFAGLKAVGESTQKPLWYYETNVGGTIKLCQVMAKFGVKNLVFSSSATVYGDAQNSPLPETTPTVAMNPYGQSKLMTEWVLKDYQSDNSWNIAVLRYFNPVGADASGRIGEDPNGPNNLMPFITQVAVGKRAQLQIFGNDYPTTDGTGVRDYIHVTDLAAGHVAALQHLSKGPGFDCFNLGSGEGLSVLDIVNSFAEVNKVAVPYQFVERRPGDVARYFADPSKAKAVLNWQTKQSLEDIVRDSWNWQKNNPNGYN---- 255036653 ----KILVTGGAGFIGSHTVVELHNAGFEPVIIDNLYNSNLNVLKAFPFYEIDCNDAEKVRALFEKEQFDGVIHFAAYKAVGESVEKPLNYYENNLISLMVLLRAAQEFNVDKFVFSSSCTVYGQPEQLPVTENTPRLPNSPYGNTKAIAEDIIRDHVHSKPLKAISLRYFNPIGAHETSLIGELPNGPSNLVPFITQTAAGLRKSLTVFGSDYDTPDGTCIRDFIHVVDLAKAHVKALDLLQSQTDTNYYNVGTGEGYTVLQLINTFEEVNGVKLNYSIGPRREGDVEKIYAQSDKVNNVMKWRAEKTMADALRDAWNWQLK---------- 281422419 --KQTILVTGGTGFIGSHTTVELQQAGYNVVIVDDLSNSKIEVLIRPAFEQVDLRDKAATEAVFQKYDIKGIIHFAASKAVGESVQKPLLYYRNNIVSLINLLELMPKYQVKGIIFSSSCTVYGKPENLPVTEEAHQKATSPYGNTKEINEQIIADYIHSAAIKSIVLRYFNPIGAHPSAEIGELPNGPNNLIPYVTQTAMGIRKELTIFGNDYDTPDGTCIRDYIYVVDLAKAHVAAMARVLDKEPIEYFNIGTGNGNSTLEIVETFEKATGVKLNWKYGPRREGDIEKIWGDCTKANKVLGWKAEAKLEDVLASAWKWQQK---------- 218690098 -----ILVTGGCGYIGVHTIYTLISQGYDVIVLDNLTNSSIESLERIPFYKGDVGNKKILVDIFNEHQIKAVMHFAGLKSVSESIKKPIEYYSSNVMQTLSLISTMIEYNVKSFIFSSSATVYGKPKLCPINETHPIGTTNPYGTSKLMMELMLSDLCNAYDFNVTILRYFNPIGAHESGNIGEHPNGPNNLFPYLTKVAIGEYPYLKVYGCDYPTSDGSGVRDYIHVVDLAEAHVKALSKNSESTGLKIYNLGTGKGYSVLEVIEAFEKITGIKIPYKIMPRRDGDVAECWSDSTKANDKLEWKANRELYDMIRDAWNWQSKNPHGYDN--- 90410457 ----KVLVTGGMGYIGSHTCVQMINAGLEPIILDNLSNSNSAVLERVHFYEGDVRDQTILSQIFSEQKIDSVIHFAGLKAVGESVEKPLEYYDNNVHGTLVLVDEMVKANVKSLVFSSSATVYGDPTEMPINELTPTGDVNPYGRSKYMVEECLRDHFADPTWSITLLRYFNPVGAHPSGSMGEDPQGPNNLMPFIAQVAVGRREYLSVFGDDYPTPDGTGVRDYIHVMDLSDGHVAALNVVGQKSGLHIYNLGTGQGSSVLEMVNAFSLACGHDVAYKICPRRSGDIAECWANTQKAEQELGWKAHYKVTDMAQDTWRWQSENPQGY----- 309362565 ----HILVTGAAGFIGSHTVLELLESGYTVLCIDNFANAISDEHKPVPFQNADVCDEAALEKVFAENKFDGVIHLAALKAVGESVAKPLQYYSNNLVASLNLIQMCLKYNVKNFVFSSSATVYGPPSELPITEQTGQGITNPYGQTKYMMEQILIDVGKANPWNVVLLRYFNPVGAHKSGLIGEDPKGPNNLMPYVSQVAIGKLPVLTIYGDQFDTVDGTGVRDYIHVVDLAKGHVKAFDRIKNVGGTEIYNLGTGVGYSVRQMVDALKKVSGRDIPVKVRERRPGDVASVFCDPSLAQEKLGWRAENGLEEMCADLWNWQTKNPQGFS---- 225848719 ----NILITGGAGYIGSHVVKQLLDENHNITIIDNLSTGSIKTIKNLDFIEADLSNFPLIEGIIKAKKFDAVIHFAASIIVPESVKNPIKYYMNNTVNTTNLIKLCLDNNVNKFIFSSTAAVYGQPYEIPVKETTPTKPINPYGMSKLMSETVLKDCGLAYDFKYIILRYFNVAGADIKIRIGQRFPNATHLIKVAAETAVGKREKMYVFGTDYPTKDGTCIRDYIHVDDLADAHIKALEY-LTDNDSDVFNCGYGYGYSVLEVINTMKKVSGVDFKVEFTGRREGDPAILIADNSKIKNKMKWQPKYNLELICKTALEWEKK---------- 290581333 ----KILVTGGAGYLGSHTCVELLNQDYQLVVVDNLLHSSFKSLKDFTFYQADIRDKKSLEAIFEKEAITAVIHFAGLKIVNESTSIPLDYYANNFSGTITLLQVMQQFSCKNCIFSSSASVYGNKAPAPVSEEAPRSVMTPYGRTKMMIEDVLMDVSVSDSWNIVILRYFNPIGAHSSGELGEDPQGPTNLLPYISQVALGKLDRVRVFGGDYDTKDGTGIRDYIHVVDLARGHVAALQKLIAGSGLSIYNLGTGKGTSVLELIQEMGEIVGHPIPYQIVGRRAGDIAVSYADVSKAEKELNWHAQYDLKRMCQDSWRWQKKYPNGFRE--- 296114217 ----RYFVTGGAGFVGSHVCLEFLEAGHEIVILDDLSIGHRSAVPKGRFIEGSVLDSELINKVLSDGQWDGVLHFAALSLVGDSMRNPIEYMRNNGLGGFVIIDACVRHEIPRFILSSTASLFSNMDDSLIDENCAIDPASPYGESKFVVERALHWADRAHSLKSACLRYFNAAGADPLGRLGEDHNPETHLLPLIIDAGLKRRPPLVLFGNDYPTPDGTCIRDYIHVTDLASAHLDVMTSLNEK--SVSYNIGTGQGHSNLELIHTVEKVTGIPVPWSVGERRQGDPAILIANPEKIKKEVRWSPKYSIETLIKHAYQWRVANPGGYRK--- 163839924 ----KVLVTGGSGYIGSHTTLTLLEAGHEVLVLDNLVNSSEESLRRAAFRQIDLLDEVALTELFSQENIDSVIHFAGLKAVGESVREPLRYYHNNVTGTINLLRAMDAHGVRNIVFSSSATVYGGLSPFPYIEKMEIGSDNPYGRTKEHIEDILSDICAADDWKIALLRYFNPVGAHPSGRIGEDPLGPNNLVPFIAQVAVGRRDKLMIFGGDYDTPDGTAQRDYIHVMDLAQGHVAALDFITAMPGVFRWNLGSGVGSSVLEVLHSFEKAVGHSLPYEIAARRAGDLPAFWADPSSALADLGWSTRKSLDEMCEDHWRWQKNNPNGY----- 149916009 --------TGGAGYIGSHAVLSLLGAGHDVLIVDSFATSSPRVIKRLHVIERDVRDYEAMRGVMASWVPEAVIHFAGLKSVAESVADPALYYDVNVGGTVSLLRAMAEIDCSKIVFSSSATVYGTPEYLPYDEAHPTNPVNPYGRTKLVVEQLLGDWIAREGRAVTALRYFNPVGAHPSGEIGEDPSGPNNLMPYIAQVAVGRRPHLTIFGDDYETRDGTGERDYIHVMDLAEAHVAALMHLATGERMQIFNVGTGQGITVREMVRAFEETAGVRVPTEIAARRAGDLPRFFADAARARDMLGWMPSRDLHDMCLDAWGWQSRNPGGYDAQED 255088205 ----HILVTGGAGFIGSHATLRLLEDGYAVTIVDNFSRGNRGAIHKLRVVDGDLGVQRDLERAFGKHKVDAVIHFAAIAYVGESVAQPLAYYRNVTVNTIGLLEAMRRHDVRKLVYSSTCATYGNPDELPITEKTPTVPINPYGKSKLYAEDAIRDFVANKDFDAAILRYFNVFGSDPRGRLGEFPRPELRAMGRCFDAALGNIPELVVMGTDFPTRDGTCVRDYVHVADLVDAHLAVLGHVANP--PVLYNVGTGKGVTVREFVDACKRVTGVDVTVEQQESRPGDYAEVYADVSKIREELGWEARYDLEESLGHAWAWRSAHKAGY----- 110596873 ----RILVIGGAGYIGSHVTRAFLDRGYRVTVFDNLQTGLRENLFEAQFVHGDIMHPAQLRAVMTGGGFDGCIHLAALKAAGQSMLHPERYAEANIAGTINILNEATAASLSPIIFSSSAAVYGAPQYLPMDEEHPKKPENFYGYTKLEIERMLGWYDRLKGLRYAAIRYFNAAGYDVQGRIKGLELNPENLLPIVMETAAGIRPRLSVYGDDYPTRDGSCIRDYVHVSDLAEAHVTAFEYIRKHDRSITVNLGSQKGVSVLEMLERVRALTGKAVPSEIAGRRAGDPAELVASAAKAGELLGWVPKYSVDTLVSSTWKMYEKFAR------- 256419231 ----KVLVTGGCGYIGSHTIVDLINNGFDVVSVDSNIRSTTQLLKKIRNYKVDLCNLEDTHAVFHENRDIVVIHFAALKTVPESVADPLFYFHNNLASLVNILKCVKEFNIPNLVFSSSCSVYGNATELPVVETSPLEAQSPYARTKQMGEQMIRDYSKVNDTQSILLRYFNPVGAHPSGLIGELPIGPDNLVPFITQTAIGKLPKLTVFGHDYDTRDGSCIRDYIHVMDIANAHTKALQYKKNKDNCEVFNLGTGNGVTVLEAIKAFEKISGVKLNYELGPRRPGDVIAIYANNTKAKEELGWVASTGIEDMMRTAWQW------------- 142280937 ----------GAGFIGSYICKLFYDKGFIPVTFDNLSLGNKRSVKWGPLEVGELCSENDLQKLFEKYDFEGVIHLAALSNVSESVKNPSIYYKNNVIGSFNLIEKMIKFNVKNIVFSSTAAVYGNPIHSPICETHPTQPINPYGDSKLTIERLICNYSKCHDLNFVILRYFNVSGSDFSNNLGEAHFPETHLIPLALEAAHQNSI-FKIYGDNYQTTDGTCIRDFIHVKDLGNAHLLSFKKLNSSKVNEIINVGGGKGYTVYEIIKYVKKITNLDLKIEVIKRRKGDPDILVSDINKSKNILSWEPKYSVEQVISDSWEWY-KYYKNLSQ--- 268316875 ---QKVLVTGGAGFIGSHVADALLERGYEVHILDDFSSGREENVPAGVVHRMDVRD-EAVADLFARERFPILIHHAAQMDVRRSVADPKFDADVNIMGLLNLMEAGRQHGLQKVIFASTGAIYGEPDYVPQDEDHPVRPLSPYGITKLASEKYLYFYEQQYGIPYVALRYANVYGPRQN------PHGEAGVVAIFTQRMLEGKQP-------VIYGSGEQTRDFVYVGDVVEANLAALAY----PGSGVFNIGTGIETSVNQLFRTLRDLINPEVPEVHGEAKPGEQQRSVLGYERARRELGWEPRVSLQEGLRRTVEWFRA---------- 255325137 ----KLLVTGGAGYVGSVCAAVLVEQGHDVTIIDNFSTGNREAVPEAARVEGDVADKAA--EVLGEGGFEGVIHFAARSLVGESVEKPVEYWQHNVVTTLTLLNAMRDNDVKNLVFSSTAATYGEPDQVPITEDMPTQPTNPYGATKLAIDYMITSFAHAYGLGATSLRYFNVAGA--YGNIGENREVETHLIPIVLQVALGHRDKIFMFGDDWDTADGTPVRDYIHIRDLADAHVLALESN-ESGAHRIYNLGSGDGYSVKQVIEMCRKVTGHDIPAEVAPRRAGDPATLIASSEKIQRELGWNPRTDLETIVTDAWNFTRQ---------- 84873496 ----HVLVTGGAGYIGSHASLRLLKDNYRVTIVDNLSRGNKGAVKRLQFIYADLGDQKSVNKIFSENAFDAVMHFAAVAYVGESTMEPLRYYHNITSNTLLILEAMASHGVKTLIYSSTCATYGEPEKMPIIETTPQLPINPYGKAKKMAEDIILDFSKRTDMSVMILRYFNVIGSDPEGRLGEAPRPELREHGRCFDAALGVISGLKVKGIDYPTADGTCIRDYIDVTDLVDAHVKALNK-AKPSKVGIYNVGTGRGRSVKEFVDACKQATGVDIKIEYLSRRPGDYAEVFSDPTKINNELNWTAQTDLKESLSVAWRWQKSHPRGYG---- 308470203 ----HLLVTGAAGFIGSHTVLELLNSGYTVLCIDNFANAISVTDEHGPFKNVDVCDEKALEKIFAENKFDGVIHLAALKAVGESVAKPLQYYSNNLVASLNLIQMCLKYNVKNFVFSSSATVYGPPSELPITEQTGQGITNPYGQTKYMMEQILIDVGKANDWNVVLLRYFNPVGAHKSGLIGEDPKGPNNLMPYVSQVAIGKLPVLTIYGDKFDTIDGTGVRDYIHVVDLAKGHVKAFDRIVGNVGTEIYNLGTGVGYSVRQMVDALKKVSGRDIPVKIGVPRPGDVASVYCDPSLAHEKLGWRAENGLEEMCADLWNWQTKNPQGFS---- 221065021 MKT---LLTGGAGYIGSHTAIELLGQGHEVVIFDNFSNSHPEAIRRVEQINGDVRDQAALEQALREHDIDSVVHFAGKKAVGESSTHPLDYYDNNVNGTLVLLRAMHNLAIHKLVFSSSATVYGTPQYLPYDEKHPTAAMNPYGRTKLMAEEILADLAHSDSKWSMAVRYFNPIGAHPSGLIGEDPDIPNNLLPYIAQVAVGRRDKLQIFGGDYNTPDGTGVRDYLHVVDLARGHVKALEKL--EPGIMTFNLGTGKGFSVLDVLHAFEKACGKPIPYAITGRRPGDLPSYYANPDHALKTLDWQAEHDLDTMCADTWRWQSQNLNGY----- 256825693 ----RILVTGGAGYIGSHTTLLLLAEGHEVEVLDNLSNSSPVALERMGHHQVDLLDRDATDQVVSR-GFDAVIHFAGLKAVGESVEQPLRYYRTNLESTFNLLEAMTRHGVRQLVFSSSATVYGPDGVSPLAEDAPTGATNPYGWTKVFIEQVLRDLAAADAWRIALLRYFNPIGAHESGLIGEDPQGPNNLVPYIAQVAVGRREHLTVHGDDYDTVDGTGVRDYIHVDDLARGHVAALDQLARMDHPVGVWNGTGGGVSVLQMVEAFRQVTGREIPVRVGPRRAGDLAQAFASVDKAADELGWRAEKRLAEMAADTWRWQRGNPRGYE---- 295397050 -----ILVTGGTGYIGSHTTIELIQAGYDVVIVDDYSNSKPVVLVRPRFYEANVLDKEALTHIFEVENIEAVIHFAAFKAVGESVAKPIKYYNNNLGGLISVLEVMQEFNVNKIVFSSSATVYGMDNESPLTEDLPTSATNPYGYTKVMNEQILRDAVADDTWSVMILRYFNPIGAHESGLIGEDPTDPNNLMPYITQVAIGKRDHLSVFGSDYPTHDGTGVRDYIHVVDLAKGHVAAIKHALDHRGVETVNLGTGIGYSVLDLVNTFVAQNGVEVPYELVDRRPGDVAANYADASYAKTLLSWTAEHDLADMCRDSWKWQSNNPNGYDQD-- 258648529 --KKRILVSGGTGFIGSHTTVELLSAGYEVVIVDNLSNSSADVVQRPFFEKVDCCDYAALDAVFHKYAPDGIIHFAASKAVGESVEKPLKYYRNNTLSTINLLEMSAEHKVKGFIFSSSCTVYGDPENLPVTEAAPREAESPYGNTKKIDEDIITDFCKSAPLSAVLLRYFNPIGAHPTGIIGEMPNGPANLIPYLTQTAIGIRECLSVFGDDYDTPDGSCIRDYIYVVDLAKAHVAAMERVLSGKNTEQYNVGTGEGVSVLELIHTFEKCTGVKLNYAIAGRRQGDIVKVWGNVDKANNVLGWKAMHSLEDALSSAWNWQLK---------- 307283287 ----NILVTGGAGYIGSHTAIELLNAGHEIIVLDNFSNASYKCIRDFITITGDAGCRKTLSAIFEKHAIDIVIHFAGFKSVSESKSEPLKYYQNNVGVTITLLQVMEEYKIKKFIFSSSATVYGEPEIIPISETAKIGTTNPYGTSKYFVEKILEDVSSTGKLDIICLRYFNPVGAHSSGKIGEAPSGPNNLVPYLLDVASGKRDKLFIYGNDYPTNDGTGVRDFIHVVDLAKGHLAAMNYLSINSGYNIFNLGTGKGYSVLELITTFEKLTNIKVNKSFIERRAGDVASCWADADKANSLLDWKAEQTLEQMLLDSWRWKKNYPDGF----- 190575285 ----NVLVTGGAGYIGSHACVELQQQGHGVVIVDSLCNSDASVVERPVFVQADIRDRPRMAALMQEHAIDAVLHFAALKSVGESQKIPLQYFDSNISGSIALLGAMQDAGVQLLVFSSSATVYGNQDHCPVAETASTCAMTPYGRTKLVVEQLLADLAATQDLHIATLRYFNPVGAHASALIGEPHGTPSNLMPYIAQVAAGLLPEVQVFGDDYPTHDGTGVRDYIHVQDVASAHVLALQFLRDQRRSITLNLGTGQGHSVLELIQAFELTTGVRVPFRIVPRRDGDIAVSFADASLALRELGWKARHDLTDMCRDTWKWQRA---------- 288799910 --KQTILVTGGTGFIGSHTTVELQQAGYNVVIVDNLSNSKVEVLIRPAFEEVDLKDYVAVENVFKKYNIKGIIHFAASKAVGESVEKPLMYYRNNIDSLINLLELMPKYNVKGIIFSSSCTVYGQPSKEYLPEAPIQKAMSPYGNTKQINEEIIYDYIHSANIKSIILRYFNPIGAHPSAHIGELPNGPMNLIPFVTQTAIGIREELKVFGNDYDTEDGTCIRDFIYVVDLAKAHVKAMERVLEQDSDEYFNIGTGKGISVLEVLNTFEEVTGVKVNYSFAPRREGDIEKVWGNVDHANKVLGWKAETPLREVLASAWKWQLK---------- 227504894 ----KLLVTGGAGYVGSVCAAVLVEEGHGVTIIDNFSTGNREAVPAGARVEGDVADV--VEEVLAEGGFEGVVHFAARSLVGESVEIPADYWEHNVVTSLKLLNAMRAHGVKNLVFSSTAATYGEPKQVPITEDMPTQPTNPYGASKLSIDYIITSFAKAYGLGATSLRYFNVAGA--YGSIGENRAIETHLIPLVLQVALGHRDKIFMFGDDYPTADGTAVRDYIHIRDLADAHVLAL-KTNTEGTHRIYNLGSGDGYSVKEVIEMCRKVTGHEIPAEIAPRRAGDPATLVASSEKIKAELGWNPRTDLETIVTDAWNFTKE---------- 135080663 MKAK-ILICGGAGYIGSHMVRQLVESGHDVVVFDNVTQGNAQAVAGVPLIQGDVLDRDALTAVFAQHDFDAVYHFAALIAVGESVQAPDLYYRNNVTGTLNLLDAMRVAGVDRFVFSSTAAIFGNPQTDLIAEDHPKAPLNPYGRSKLMIEQVLEDYGHAFGIRSVCFRYFNAAGAHPSGEIGEAHDPETHLIPNVLLAALGQREGLQIFGDDYDTRDGTCIRDYVHIDDIASAHLKALDYMQTTPGAHVFNLGNGNGFSNKEVLDAAARVIGSNREIPY----------------------------------------------------- 126741093 ----KILVTGGAGFIGSAVVRLAIARGHQVVNLDALTYAQAAQSPNYAFVEADIRDRAALDRVFSDHKPDVVMHLAAESHVDRSIDGPGDFIETNITGTFNMLEAARKHWIFRFHHISTDEVYGSLPNDPFTEETSYDPRSPYSASKAASDHLVRAWAETYGLPVLLTNCSNNYGP--------YHFPEKLIPVVILNALAGKALP--------IYGDGSNVRDWLYVEDHADAL---LTVVSEGAVGRTYNIGGENERTNLELVETLCAILDAKRQITFVTDRPGHDARYAIDPSRIRDELGWRPSVTVEEGLEKTVQWYLDNEAW------ 134868395 ------LVTGGAGYIGSHTVVALLEAGHEVAVLDNYANSSADILKQIEEYEADVTNAGQLAALMAEIRPDSVLHFAGRKSVAESVERPEFYHQQNVGGTQNLLSAMAKSGCNQIIFSSSATVYGTPEKLPLTEIHRVSPFNPYGASKLAAEKEARWTTEAPERRSVLLRYFNPVGAHISGKIGENPKGPNNLFPFITQVAAGIRPHLEIYGDDYDTPDGTGVRDYIHITDLVDGHIAALHFAENNSGVEIFNLGTGRGYSVAEVVAAFEVATGQSVRYEIKGRRAGDIASCYADPARAQAKLGWKAEKTLEQMCRDGWRWQ------------ 33151982 -----ILVTGGAGYIGTHTVVELLNANKEIVILDNLSNSNQIALKHAKFYLGNILDRAILRKIFAENQIDAVIHFAGSKAVGESVSEPLRYYQNNVTGSIVLLEEMLTAGINTIVFSSSATVYGDPKTIPIVESCPVGTTNPYGTSKYMVERILEDVNANPQFSAVVLRYFNPIGAHPSGLIGEDPNGPNNLLPYISQVAIGKLPQLSIFGNDYDTADGTGIRDYIHVVDVAIGHLKALDKHHLESGFHVYNLGTGTGYSVLDMVRAFETANHLQIPYQVVDRRPGDIAVCYSEPSKARQMLGWKTERNLEQMMKDTWHWQKNNPNGYHD--- 167749825 -----VLVTGGAGYIGSHTCVELLNAGEDIIVIDNFYNSKPKAIRDFRFYENDCCDREALDRIFRENFITEVIHFAGYKAVGESCVKPIMYYENNINSTLALIETMQKYGCKKLVFSSSATVYGIPDKVPVTEDFPLSAINPYGSTKLMIENMCREYASDDQWSIILLRYFNPIGAHESGKLGEDPNGPNNLMPLILRTASGKMATLSVFGNDYPTPDGTCVRDYIHVVDLALGHVAAIKAARAHTGCPAYNLGTGKGYSVLDIINAFEKVNKVKVPYVITGRRPGDAAACYSNPSLAKKKLGWTAKRGLEQMCRDSWNYIKAN--------- 293400342 ----KILVTGGTGFIGSHTCVELLDAGFDVVILDNLYNSQADVVKKVTFYEADCCDEAALAQIFAQHKIDAAIHFAGYKAVGESVAKPLMYYQNNLMSTLALCKVMAKHNCKRLVFSSSATVYGNPASVPIYEDFPLGPTNPYGTTKLMIEQILKDHVSDLEWNIALLRYFNPIGAHKSGLIGESPNDPNNLMPYIVKVANKELPFLHVYGNDYDTPDGTGVRDYIHVVDLAKGHVNAVKKVMEPIGVDAYNLGTGIGYSVLDVVNTFAKVNAIEVPYQIDPRRPGDIAQCYASTKKAWEELGWKAEKGLEEMCKDAWHF------------- 307145432 ----NILVTGGAGYIGSHTSLCLLNKGYNVVIIDNLINSSCESIRRIIFYELNINNEKEVNQILKKHKFDCVMHFAGAKSVAESLIKPIFYYDNNVSGTLQLINCAIKNDVANFIFSSSATVYGESKIMPVTEDCHIGTLNPYGTSKYISELMIRDIAKKYDTNFLCLRYFNPTGAHESGMIGESPDIPSNLVPYILQVAMGKLEKLMVFGGDYPTKDGTGVRDYIHVMDLAEGHVAALSYRDNNTNYHVFNLGTGKGYSVLELVSTFEKISGVRIPYEIVSRRDGDIAESWSSPEKANKYLNWKAKRELETMLEDAWRWQMKNPNGY----- 143092899 ----RLLITGGAGFIGSHTCVVLLQAGHDLLVLDNFSNSTPLALERVQLRRGDIRDPTCLDELFAQSAIDAVIHFAGLKAVGESVREPLRYWDVNLTGSRCLLAAMDAHSCRTLVFSSSATLYGYPERIPIPETTPIQPINPYGNSKAAVEQLLHAASAPKQWRIACLRYFNPVGAHPSGKIGEDPLGPNNLFPFVSQVAVGRRKSLQVFGGDWPTSDGTGIRDYIHVMDLAEGHRAALDCLAEPAQLLTLNLGSGQGASVLDVVHAFERASGRKVPYEVVARRDGDAAITVADPSLAFKRLGWRTQRCLDEICRDGWAWQSANPAGYSDRR- 282859109 --KQTILVTGGTGFIGSHTSVELIEAGYDVVIVDNLSNSKLEVLVRPAFEQIDLRDFDATEKVFKKYQIKGIIHFAASKAVGESIEKPLMYYRNNVISLVNLLELMPKYDVKGIIFSSSCTVYGQPEDLPVTEDAHQKATSPYGNTKEINEQIIYDYIHSAKIKSVILRYFNPIGAHSSALIGELPNGPNNLIPFVTQTAIGIRKELTLFGNDYNTPDGTCVRDFIYVVDLAKAHVAAMTRVLDKDTEEYFNIGTGHGNSTLEIVNTFEKATGVKLNWKFGPRREGDIEQIWGDCTRANNVLGWKADTPLDKVLASAWKWQLK---------- 194476949 ----NLLITGGAGFIGSHTCLVFLEAGHELIVIDNFINSSPEAIKRVVQVEGDICVEADVEKAFTSFRIDAVIHFAGLKAVGESRTNPLDYWGVNVNGSYTLLKVMAAHGCRTIVFSSSATLYGYPQRVPIPEDAPIAPINPYGQSKAAVEQLLADLAAETGWRIARLRYFNPVGAHPSGMIGEDPCGPNNLFPFISQVAAGRRINLKVFGNDWPTFDGTAIRDYIHVLDLAAGHLITLETLLEKPQMLTLNLGSGVGLSVLEVVAEFEAVCGQPIPYEIVTRRFGDAAKTVADSSLAETRIGWRTTRNLTEMCRDGWAWQSANPYGYAN--- 206602091 -----ILVTGGAGYIGSHMVRVLIENGFETVILDNLSHGTKEVAVGAPLVVGDIRDPRALTSLFSHYPIEAVIHFAAAIEVGESVQDPLKYWDNNLNGTLRILETMRSFGVRNLILSSTAAVYSPKSDGPITEEDRIDPQNPYGETKAAAERLVEACRHAFGVSSVIFRYFNAAALEPSYGLVSHAIPRSHLIPAVLDAIAGRIPSLRVFGNDYPTPDGTGVRDYIHVMDLAEAHLVALKRLLKGEISGTFNLGTGQGHSVLDVIRTAEKVTGKKVPYRIEARRPGDVSMLVASGTRARQTLPWFPSRSLERIMEDSARW------------- 256828302 ----KILVTGGAGYIGSHTTLALCEAGYEVVVYDNLSTGKAEAVLPARLVVGDLAQTEALDRLMTKEKFSAVLHFAGSIVVPESVENPLKYYMNNTNNTTELIRLAVKNAIPRFVFSSTAAVYGMPDTAAVTEDSPTKPINPYGRTKLMSEWVIEDTAAAHDFSFVILRYFNVAGADPKGRIGQSTPDATHLIKVASQAALGRRDALHIFGTDYDTPDGTCIRDYIHVSDLAAAHVLALGHLEAGNPAGIFNCGYGHGYSVRDIVSAVKEASGVDFPVIESPRRAGDPPALISDPTRIRATMNWKPAHDDIHAIASAYRWEMR---------- 32456000 ----KILVTGGAGFIGSAVVRQAVKAGHEVVNLDALTYAEVAQSNLYSFEQADIRDRAALDKIFALHAPDVVMHLAAESHVDRSIDGPSDFVETNITGTFNMLEAARKFWHFRFHHISTDEVYGADKSVMFTEETAYDPRSPYSASKASSDHLVRAWHETYGLPVVLTNCSNNYGP--------YHFPEKLIPVIILNALAGKALP--------IYGDGSNIRDWLYVEDHADALLTVVQKGEN---GRSYNIGGENERSNLELVQTLCEILDQKKQITFVTDRPGHDARYAIDPSRIRDELGWRPSVTVEEGLARTVQWYLDNETW------ 310768029 -----ILVTGGAGYIGSHTVLSLLQRGDDVVVLDNLSNAARESIKTATFIEGDILDRACLRRIFSAHRIRAVIHFAGLKAVGESTRKPLEYYQNNVTGTLVLLEEMRSAGVNQFIFSSSATVYGADAPVPYVETTPIGTTSPYGTSKLMVEQILRDYAKANPFKTIALRYFNPVGAHESGQIGEDPNGPNNLLPYIAQVAIGRLEKLGIFGDDYPTKDGTGVRDYIHVMDLAEGHLKALDHLESIEGYKAYNLGAGEGYSVLEMVKAFEKASGRPVAYQISPRRDGDLAAFWADAALADKELNWRVSRGIDQMMRDTWNWQSGNPNGY----- 189460045 --KERILVTGGTGYIGSHTVVELQNSGYEVIIVDNLSNSRADVVIRPEFEQVDCLDYAGMDAVFTKYGIKGIIHFAASKAVGESVQKPLLYYRNNLVSLINLLELMPKHNVPGIIFSSSCTVYGQPDKLPVTEEAPIKAESPYGNTKQINEEIIRDTVASSPIHAILLRYFNPIGAHPTALIGELPNGPQNLIPYLTQTAIGIREKLSVFGDDYNTPDGSCIRDYIYVVDLAKAHVIAMNRILEKDKVEVFNIGTGRGLSVLELIHAFESSTGVKLNYQIAGRRAGDIEQVWADPSYANNELGWKAETSIEETLRSAWNWQLK---------- 221121634 MEKKTLLITGGAGYIGSHTTVEFIKAGFECVIVDDFSNSSVESIKRQQYYEVNILDKEKLSEVFMKHKFEAVIHFAGYKAVGESVKVPLKYYQNNISGTLVLLECMKEHNVNKIIFSSSSTVYGEPQFLPLTEKHPLGGCNPYGTTKYMIELILKDLCNADNFHCISLRYFNPVGAHESGLIEDPQDIPNNLMPYITQVAVGRLNELSVYGNDYNTPDGTGVRDYIHVVDLALGHVAALNKIILKPQGYQYNLGTGNGISVLEVINAMNKTLNRELPYKFTPRRLGDIASNYADCSLAAIELGWKADRDINKMCEDSWRFQSKNPMGYN---- 308806830 ----NVLVTGGAGYIGSHTCVRLLQAGARVTVVDNFDNSCAESLKRVRHHEVDCCDKVALDGVFASAGFDAVIHFAGLKAVGESVAEPMKYYENNIVSTLVLCETMAKHGCKTIIFSSSATVYGEPASVPCTEDFPTAALNPYGRTKLFIEHILSDYVSDKEWKVALLRYFNPVGAHESGTLGEDPKGPNNLMPFVQQVAVGRRPELNVFGNDYPTKDGTGRRDYIHVVDLADGHVAAVKKLTSDPDAGTVNLGTGKSTSVLELVAAFEKASGKKIPCKIVDRRAGDAAEVYGATDKAFKVLGWRALRTIEDCCIDQWKWASSNPYGYAGKPD 85058875 -----VLVTGGSGYIGSHTCLQIIAAGVTPVIIDNLANSKRSVLHTPAFYQGDIRDQRLLTQIFAEHDIDAVIHFAGLKAVGESVTQPIDYYDNNVYGTLTLVKAMREAGIFNLIFSSSATVYGYQPVIPYDESLRTRPASPYGRSKLMVEEILQDLQHADPWSVSLLRYFNPVGAHPSGEMEDPQGQPNNLMPFIAQVAVGRRPSLAIFGNDFPTPDGTGVRDYIHVLDLADGHLAALTTLRDRPPGVHIYNGAGKGHSVLDVVAAFSQACGKPLPYHFAPRRDGDLAAYWAAPEKAARELHWRVSRTLEDMVRDTWHWQSRHPNGYPD--- 170288229 ----NVLVTGGAGFIGSHVVDKLIENGYGVIVVDNLSSGKVENLNRNAFYEQSIEDEEMMERIFSLHRPEYVFHLAAQASVAISVREPVRDAETNIIGSLVLLEKSIKHGVKKFIFSSTGAIYGENVKVPTPETETPHPISPYGIAKYSIEMYLEFFAREYGLKYTVLRYANVYGPRQD------PYGEAGVVAIFTERMLRGE-------EVHIFGDGEYVRDYVYVDDVVRANLLAME----KGDNEVFNIGTGRGTTVNQLFKLLKEITGYDKEPVYKPPRKGDVRKSILDYTKAKEKLGWEPKVSLEEGLKLTVEYFRK---------- 260576535 ----KILVTGGAGFIGSAVVRLAVARGHAVINLDALTYAACLDNVLYSFEQADIRDRAALDAVFARHRPDAVMHLAAESHVDRSIDGPGDFISTNITGTYTLLEAARAFWTFRFHHISTDEVFGTGPTGQFTEDTPYAPNSPYAASKAASDHLVRAWSETYGLPVVLTNCSNNYGP--------FHFPEKLIPVVILNALAGKPIP--------VYGRGENVRDWLFVEDHADALLCVLER---GALGRSYNIGGENEARNIDLVRMICSLLDHDRLIRFVTDRPGHDARYAIDPSRIRNELGWRPSVTLEQGLRRTVRWYLDNPGW------ 110681320 ----NILLTGGAGYIGSHTFLSLIDAGHKPIVVDTFDNSSPQVLDRLHFHQADVRDSDRIADILTREKCDAVVHFAGRKAVGEGQSKPLLYFDQNVGGTVQLLHAMNRTGCKKLIFSSSAVVYGNPEYLPIDEEHPLSTCNVYGDTKRTVEDMLRALSASDQWSVVLLRYFNPVGAHKSGEIGEFPRGPNNLMPYVTQVAVGQLEKLSVFGNDFDTRDGTGVRDYIHVCDLADGHVSAL-KLLDEAGCTAMNLGTGKGYSVLDIITTFETVNDVRIPYQITARRPGDVAACYANPSRARSLTGWQAKLGLAEMCRDAWHWQRKNPKGFAE--- 21672865 ----KILVIGGAGYIGSHVAREFLDRGYQVTVFDNLSTGREENLFDDEFVRGDIFDAEMLAEVMNR-GFDGCVHLAALKAAGESMQKPEEYSVHNICGTIGTINQAVASGIKCLLFSSSAAIFGSPAYLPIDENHPKKPENYYGFTKLEIERILEWYDRLKGLKFAAVRYFNAAGYDVRGRIRGLERNPANLLPVIMEVASGVRPMLSVFGTDYPTRDGTCIRDYVHVNDLATAHVLAFEQVIESGESLSVNLGSETGVTVLEMLEAARRLTGKEIMAEFAPRRAGDPANLVATSAMARELLGWVPQYSLDTLVESTWNVYRD---------- 254577131 ----KVLVTGGAGYIGSHAVVELLANGYECVVVDNLSNSSYESLKYVPFYKVDLIDLEALTKVFEEHDIESVIHFAGLKAVGESSQIPLQYYQNNVSGTLVLLQLMQKYRVKEFVFSSSATVYGDYTRYPVPETCPLQPTNPYGHTKFTIENILRDVSASGGWKFAILRYFNPIGAHPSGLIGEDPLGPNNLLPYMAQVAVGRREKLSVFGNDYDTRDGTPIRDYIHVVDLAKGHVAALKYLQDQENCEEWNLGSGKGSSVLEMYDAFTRSCGIKISKEIVGRRNGDVPLLVAKPTKAELELNWKTELGVKESCEDLWRWVTKNPFGYSQ--- 142457052 MGNK-ILLTGGAGYIGSHTYVALIEAGFEVVILDNFSNSNPSVLIRVICYEGSVLDKALLAQIFTEHDISAVIHFAALKAVGESVEQPLAYFSTNVTGLLCLLEEMKSAGITRLVFSSSATVYGEPEEVPIAETAPLQFTSPYGFTKVASEQILTQAAAADPWAFGVLRYFNPAGAHPSGLIGEDPDIPNNLMPYIAKVASGALPHLNVFGNDYDTRDGTGERDYIHVCDLARGHVLSVQKLLSTDEGHVVNLGTGQAYSVLEMNTAYGKAAGRDLPYVIAPRRAGDVPTCLADVRKAKAVLGFETHFGLDDMCRSSWNW------------- 115526265 -----ILVTGGAGYIGSHACVELLNAGHQVVVLDNLVNSSRQSLDRVIFQQGDIRDRAMLTDLMRRHGVRAVMHFAGLKAVGESVEKPIIYYDSNVGGTLALLSAMTDAGVTRLVFSSSATVYGEPQKLPLDESHPLSATNPYGRSKLMIEDILRDHHAAPDWSIAILRYFNPVGAHESGLIGESPLGPNNLLPFVAQVAIGRRERLNVWGNDYPTPDGTGVRDYIHVVDVAIGHVMALDK-LRRAELLTLNLGTGTGTSVLQIVEAFAKASGREIPVVVAPRRPGDVASCYADAAAAEQSLGWKARRSLAQMCADHWRWQHTNPNGYEPDE- 221232569 -----ILVTGGAGYIGSHTVVELLNLGKEVIIVDNLSNSSILVLIRPVFYELDVCDKPALRKVFEQESIDAAIHFAGYKAVGESVQKPVMYYKNNIMSTLALVEVMSEFNVKKIVFSSSATVYGINNQSPLIETMQTSATNPYGYTKVMLEQILKDHVADSEWSIALLRYFNPIGAHESGLIGEDPSGPNNLMPYIAQVAVGKLSELSVFGNDYDTLDGTGVRDYIHVVDLAIGHIKALEKVSEKTDVYIYNLGSGEGTSVLQLVNTFESVNKIPIPYKIVPRRSGDVATCYANADKAYKELNWRTTKSIEDMCRDTWN-------------- 48105285 ---RTIFVTGGAGYIGSHCIIELLESGYDVIAIDNFANSVTETGKKVTFYNCDLIDRDKLEIVFNKHKIDCVIHFAAIKAVGESMQIPLHYYRNNIIGAINLLEVMKAAGCFQLVFSSSCTVYGEPNQLPITEEHPTGNINVYGRTKYFIEEMLKDISREKNWNIISLRYFNPVGAHQSGLIGEDPKPFTNLMPYIAQVALRHKPELVIFGGDYPTKDGTGIRDYIHVMDLAAGHVAALNALYKQHRLKIYNLGTGKGVSVLELIKIFENVTGTTVPYVIKDRREGDIVSMYANTDLAEKELGWTTKYNVERMCEDFWRWQTMNPHGYRN--- 220921183 -----VLVTGGAGYIGSHMVLALLDAGHEVVVIDDLSTGFAWALPPDVTVRGDMGDQALVTETIRRHGIDAIAHFAAKIVVPDSVSDPLGYYLNNTVKSRALIEAAVREGVRHVIFSSTAAVYGEPAQVPVPEDLPTNPINPYGRSKLMTEWMLADAAKAHGLTYVALRYFNVAGADPRGRSGQSTPNATHLIKVATQAALGTRSHLEVFGTDYPTPDGSCLRDYIQVSDLADAHLVALNHLRGGGESLTLNCGYGRGYSVLEVIQVVKEVSGRDFEVRLSPRRPGDPSQIIAQADRIRERLGWRPKHDLRGIVSQALAW------------- 24417398 ----HVLVTGGAGYIGSHAALRLLKESYRVTIVDNLSRGNLAAVRRLQFIYADLGDAKAVNKIFTENAFDAVMHFAAGAYVGESTQFPLKYYHNITSNTLVVLETMAAHGVKTLIYSSTCATYGEPDIMPITEETPQVPINPYGKAKKMAEDIILDFSKNSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRCFDAARGIMPGLQIKGTDYKTADGTCVRDYIDVTDLVDAHVKALQKAKPR-KVGIYNVGTGKGSSVKEFVEACKKATGVEIKIDYLPRRAGDYAEVYSDPSKIRKELNWTAKHTLKESLETAWRWQKLHRNGYG---- 295695515 ------MVSGGAGYIGSHVVKRLVEAGRPVVVLDNESTGHRETVREIPYIPGDAGDGDGVRRIVEEYRVGAAMHFAAKSVVAESVRHPEVYFSENVAKGIAFFRALCEAGVSRIVLSSTAAVYGNPERVPIPEEHPVRPINPYGASKVMLEQVLGWLETTFPMRWVALRYFNAAGADPSGRLGERHDPETHLIPIVLEAAMGRRERVTVFGTDYDTPDGTCIRDYIHVLDLADAHLEALAALEGGHPSAIFNVGTGRGHSVLEVIRMAEEVCGRTVPVELGARRPGDPPVLVADGGRLR-EIGWQPRYGLREIMASAWAWHS----------- 242041217 ----HVLVTGGAGYIGSHAALRLLKDNYRVTIVDNLSRGNMGAVKRLQFIFADLGDQKSVNKIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLLILEAMASHGVKTLIYSSTCATYGEPEKMPITEATPQFPINPYGKAKKMAEDIILDFSKSKGMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRCFDAALGVIPGLKVKGTDYPTADGTCIRDYIDVTDLVDAHVKALNKAEPR-KVGIYNVGTGRGRSVNEFVDACKKATGVNIKIEYLSRRPGDYAEVYSDPTKINKELNWTAQYDLKESLSVAWRWQKSHPHGYG---- 14520521 MRNKLIVVTGGAGFIGSHIAEALKEEN-DVVVIDNLYSGKPENVPEGKFIEADVRDYESIAEIVSSA--DYVFHEAAQISVEESVKDPVFTEEVNVIGTINILRA-LSEGNGKLIFASSAAVYGEPTSLPIREDHPLNPISPYGVSKVSGEHYCKVFYQLYGVPTVILRYFNVYGPRQSSAYAG--------VISIFMERALRGEPLVIFGD------GKQSRDFVYVKDVVEANILVAEKRRAEGET--FNVGTGRETTIIELAMKIIELSSTSSQILFSKPRPGDIRRSVADIEKIKK-LGFKPRYSLEEGLLETWKWFK----------- 160914770 ----KILVTGGTGFIGSHTCVELIEAGHDVVIIDNLYNSQSDVVTRPAFYEADCCDEEALETIFNEHAIDAAIHFAGYKAVGESVQKPIMYYENNIMSTLALCKVMAKHNCKRLVFSSSATVYGNPKSVPIFEDFPLGPTNPYGTTKLLIEGILRDHISDNEWNIALLRYFNPIGAHASGLLGESPNDPNNLMPYIVKVANKELPYLHVYGNDYDTPDGTGVRDYIHVVDLAKGHVNAVNKVMEPIGVDCYNLGTGIGYSVLDVVNTFAKVNDIEVPYQIDPRRPGDIATCYASTEKAFKELGWKAEKNLEDMCKDAWHF------------- 136464079 ---------GGAGYIGSHMVLVLQDQGYTPIVLDNLSTGHHDAVTDAQFIVGDASDRNLLRHIFTSQTISAVMHFASHIDVAESVRQPGKYYQNNVANLLPLLDVMTEFGVKQLIYSSSAAVYGEPQSELVSESHLLAPINPYGRTKRMAEEIITDYAANTGLQYAILRYFNAAGADPAGRTGERHIHESHLIPLVLAVAQQTSQNVTVFGNDYATLDGTCVRDYVHVSDLCAAHLLSLNALSAGKSRLIYNVGNGQGFSILQVIAAVRAITAKNVSVTFTGRRAGDPAILVADASLIKQELNWRPKFSLQDIIMHAWRFML----------- 159481273 LAGKNIFVTGGLGFIGSHTVLVLLEHGATVHLIDNMANSFERVFEHMKFTKCDINDKETLTTIFEKEHFDCVIHFAGYKAVGESVDKPLEYYHNNFVGTVILLEVMRAHKCKNMVFSSSCTVYGMPDEVPITESAPLKAISPYGRTKLFQEDMFRDAVSDKDWRILLLRYFNPIGAHPSGELGEHPVGPNNLMPYIQQVALGQREFLRVFGNDYPTPDGTAIRDYIHVMDLAEGHVSAVVKTLATPDLGCINLGTGKGTSVLEMIKAFENASGKKVEHKLVDRRPGDSVAVWAATETAEEKLGWKSKYDVDDMCKHQWAWASKYPQGYE---- 288793157 ----KLLVTGGAGYIGSVVTRMLLDHGHQVTVLDDLRTGHREALAPDATH-VELPVHEAARVLTPDAGFDGVLHFAALIAAGESMIRPELYWHTNTVGSIALIDAVRAARVPRMVFSSTAAVYGNPAELPIPETAVKAPTSTYGATKLAVDMALTSEAIAHDLAAVSLRYFNVAGARDGLAIGERHDPETHLIPIALDVAAGRREKLQLFGDDYPTVDGTCVRDYIHVEDLARAHLLALDA-ATPGRHRIYNLGNGNGFTNRQVVDVVREVTGHPVPVEVAPRREGDPAELVASSALARDELGWTPKPTLHDMVGDAWAFYRAH--------- 5739469 ----KVLLTGGLGYIGCHIAVELLQQGHDVVIFDNLSNSHLTVLERIKFIRGDLRSLSDIRKTFESSQIEAVIHLAALKSVSESVRHPLEYYINNVVGSLNLIQAMSHANIKRLIFSSSATVYGQPEHLPITEQASVGKVNPYGQTKLIIEKILADICADPEWQITSLRYFNPIGAHPSGMIGEDPRMPNNILPYITQVAIRRRPYFTILGDDYPTKDGTGVRDYIHVQDLALGHIAALMNAKNKS-YQVYNLGTGKGYSVLDIINSFKEINNIDIPFIIEKRRPGDIAECWSSPELAKRELGWQAKFELKDMLRDSWNWQQKNPFGYGN--- 282879599 METKTILVTGGTGFIGSHTTVELQQAGYNVVIVDNLSNSKIEVLIRPHFEQIDLCNFEDTEAVFKKYDIAGIIHFAASKAVGESVEKPLLYYRNNITSLLNLLELMPKYHVKGIIFSSSCTVYGQPENLPVTEEAPQKALSPYGNTKQINEEIIQDYIHSAKLKSIILRYFNPIGAHPSANIGELPNGPNNLIPYVTQTAMGIRKELTIFGNDYDTPDGTCIRDYIYVVDLAKAHVAAMKRVLEKETSEIFNIGTGHGESTLEIVKGFEKATGVKLNWKYGPRREGDIEKVWGNVDKANKVLEWKADTPIEEVLASAWKWQER---------- 168481261 ----TILVTGGAGYIGSHTVLSLLSYGKNVVVLDNLSNSSSKSLERVEFYHGDVRDRKKLKHIFQYHDITDVIHFAGLKAVAESVSNPLEYYDHNVNGTIVLLEEMMRAGVISFIFSSSATVYGKPETIPLKEDSSVGTTNPYGFSKLIVERVLKDALAEPTMRITILRYFNPVGAHSSGLLGEDPNGPNNLIPYITQVAIGNLKYLSVFGNDYPTPDGTGIRDYIHVMDLAEGHIAALEHRNFGGNYKVYNLGTGVGYSVLDVINTFKRCTGIDIPYCFKPRRVGDIAECWSDPSLAFLELGWSSRYDLDTMIIDSWRWQKNNPKGYK---- 222824246 ----KILITGGAGYIGSHTLKQFLETNHEICVLDNLSKGSKKKIRPFKFFEQDLSDYAGIKKLFKEEKFDAIVHFAASIEVPESMENPLKYYMNNTANTSNLIQTCLETGVKKFIFSSTAATYGEPQTPIVDEQSPLAPINPYGQSKLMSEKVLQDANMANPFKYCILRYFNVAGACMSYPIGQRYPKATLLIKVAAEVATGKREKLYIFGDDYNTKDGTCIRDFIHVDDISSAHLAALEYLENN-ESNIFNVGYGHGFSVKEVIETMKKVSGVDFTVELAPKRAGDPSVLISNADKIKTLTNWKPKYDLELICKSAYEWEKQ---------- 257468263 ---KNILVTGGAGYIGSHAAAELLDSGYSVVVIDSLENGFMKLVKRAKFYHGNVQDSNMMDKIFTENKIDAVMHFAGYIKVPESVVEPNKYYMNNTYTVMCLLESMRKNNIKNIVFSSTAAVYGDKEPEPVDENHSKDPINPYGMSKLMSERIIMDCAEAYGLNYSIFRYFNVGGAHEKHDIGQMGEGITALIPLILKAAKGTIPKLSIYGNDFDTKDGTGVRDYIHVVDLVRAHILSL-KKLDENVSGIYNLGNGSGFTVLEMLNAAREVTKIDIPAEITSRRPGDPPCVIASSEKAIAELGWKPYYDVKDIIRTAWEWNLK---------- 154174592 ----KILITGGAGYIGSHVLKALLKQGHEITVIDNLSKGSSQAIKTGEFEFVMANLEDDLSEIFARGKFDAIIHFAAFIEVFESTQEPLKYYLNNTANVAKILTYCQKFGVNKFVFSSTAAVYGEPDVPEVTEQSAANPINPYGRSKLMSEQIIKDYAANPNFKFAILRYFNVAGADEEGLIGQNYPNATHLIKIATQTALGKRASMSIFGDDYATKDGTCVRDYIHVSDLADAHLSALEFLNECGESEVFNVGYGRGFSVKEVVEIAKKVSGVNFKVEHAPRRDGDPAVLIANSSKLREKTTWKPKRDLEFIVKTALEWEKK---------- 307197296 ---RTIFVTGGAGYIGSHCIVELLECGYDVVAIDNFANSVTEIDKKVTFYNCDLLDREKLESIFNKHKIDCVIHFAAIKAVGESMQVPLHYYRNNIIGAINLLEVMKAAGCFQLVFSSSCTVYGEPTELPITENHETGNINVYGRTKYFIEEMLKDISREKSWNIISLRYFNPVGAHSSGLIGEDPKPFTNLMPYIAQVALRHKPELIIFGGDYPTKDGTGIRDYIHVMDLAAGHVAALNALHKEHRLKIYNLGTGKGVSVLELIKTFENITGTTVPYIIKERREGDIVSMYANTDLAKEELGWTTKYNVEQMCQDFWRWQTMNPHGYRNEH- 78188495 ----KILVIGGAGYIGSHVARAFLDKGYEVTVFDNLSTGMRENLFEARFVHGDILHPAQLHAVMA-EGFDGCIYLAALKAAGQSMLHPDAYAEANIGGAINILNQAAATGLGTIIFSSSAAVYGSPNYLPIDEAHPTAPENFYGYTKLAIEQLLAWYDKLKNIRYAAIRYFNAAGYDPDGRVKGLELNPENLLPIVMEVAAGIRPKLNIYGNDYITRDGSCIRDYVHVSDLATAHVSAFEYIQRTKQSLTVNLGSEQGVSVLEMVERARAITGRPIPADIVERRAGDPANLVASSSKARELLGWVPQYSVDTLIASTWQMYQRF--------- 213408357 --DKYILVTGGAGYIGSHTVVELIQRGFKVVVIDSLVNSSYDAIVRAPFFKIDLHDKAALNKVFDDYAIEGVIHFAAFKAVGESAQKPLEYYENNVGGAVALLEVMSTHGVKTIVYSSSATVYGDHNYLPINEKCPTDPVSPYGKTKYAIENIIADHSSDNSWRGAVLRYFNPIGAHPSGLLGEDPLGPNNLLPYLAQVAVGRRDKLNVFGNDYDSRDGTPIRDYIHVVDLAQGHVAALEYEQGQGLYRVWNLGTGKGSTVLEIHAAFSAVVGRELPYNVVGRRAGDVLNLTADPTRANTELGWKAKLTVEDACRDLWKWTMENPYGFQVKN- 144006446 ----KLLVPGGAGYIGSHMVKYLQDRDHEVVVLDDFSTGHDWAVKGCEILRVNLLDEDGLAGALKGRQFDGVIHFAAKSLVGESVKQPDIYYRNNVVGSLNLINQMLCNDINNLVFSSTAAIFGNPVADKITEEHPKNPINPYGQSKLMVENLLRDICSAYDFNATCFRYFNAAGADVSGTIGEDHEPETHLIPNVLKSAIAGGNTLKVFGNDYATHDGTCVRDYVHVTDLAQAHLLGLERMRSDAKGFSYNLGNGNGFSVLDVIKSCERVVSDSISYQVDSRRAGDPAILVADSGKAVSGLGWKPEF------------------------- 187880572 ----TILVTGGAGYIGSHTVIELQKNGFDVVIVDNFCNSSPSVLKRIKCYDIDLLDFNSLQKVFVTNNINSVIHFASLKSVSESLIKPTEYYHNNLSGCLNVLKIMKEHNVNNFIFSSSATVYGSNNNNPVSESSSVGTTNPYGKSKIFLEQILSDCCKSNNLNVTCLRYFNPVGAHSSGLIGESPNGPANLVPYLTQVALGKLPVLHIYGNDYDTKDGTGVRDFIHVTDLANGHIAALKKINGHNGFKVYNLGTGRGYSVLEVVRCFEAITGKSIPIEFSPRREGDIAESWANVSAANYELEWFAKKTLTDMLRDAWKWQTLNPNGL----- 303250617 -----ILVTGGAGYIGSHTLVELLNANREIVVLDNLSNSSEVSLERVKFYQGDILDRNILRKIFAENKIESVIHFAGLKAVGESVREPLRYYQNNVTGSIVLVEEMLAAGVNTIVFSSSATVYGDPQIIPIVESCLVGTTNPYGTSKYMVERILEDTVKAFPLSAVVLRYFNPVGAHESGLIGEDPNGPNNLLPYISQVAVGKLKELSVFGSDYETHDGTGVRDYIHVVDLALGHLKALDKHQNDAGFHVYNLGTGTGYSVLDMVKAFETANEIKVPYKLVDRRPGDIAVCYSEPSKALKELDWKTERGLEQMMKDTWNWQK----------- 304394599 ----TVLITGGAGYIGSHMVLECLDHGEDVVVLDNLVTGFRAAVPDAAFVEGDIADAVLMADLIATHKVDSIVHFAGSVVVPESFENPLKYYTNNTSKTCSLVDTAVRCGIDKLIFSSTAALYAPPENVPVTEDSALDPASPYGSSKLMSETMIRDTFDAHDLRYVMLRYFNVAGADPAGRAGLATKGATHVMKLACEAATGKRDGFDILGTDYDTHDGSAVRDFIHVSDLANAHYVALKFLREGGRRFTGNAGYMKASSVRDVVAAVKRASGSDFEVREKPRRYGDVPYMVADPSRLMKRLDWKPRFDLDTIARDAMAWERK---------- 238879305 MSNEYILVTGGAGYIGSHTVIELISNGYKVVIVDNLSNSSYDAVQHVPFYDVDIRNYEQLNKVFQDYKISGVIHFAALKAVGESTKIPLAYYDNNVSGTVNLLEVCKANDVKTIVFSSSATVYGDNSMIPIPEHCPMDPTNPYGRTKFIIESILKDYNSDDAWKVAILRYFNPIGAHPSGLLGEDPLGPNNLLPYLAQVAIGRREKLSIFGNDYNSRDGTPIRDYIHVVDLAKGHIAALAYLKNKGLYREWNLGTGKGSTVFEVYHAFSKVVGRELPHEVVGRRAGDVLDLTAKPDRANKELQWKTELTIDDACKDLWKWTTENPFGFNIEN- 305680991 ----KLLVTGGAGYVGSVCATVLLEQGHDITIVDNFSTGNRDAVPANATIEGDIRDVAG--DVLAGGNFDGVVHFAARSLVGESMERPEDYWHHNFVTTLTLLDAMRNHGVTNLVFSSTAATYGEPDRVPITEDMPTAPTNPYGASKLAIDYAITSYAHAHGLGATSLRYFNVAGA--YGSVGENREVETHLIPLVLQVALGHRDEIFIFGDDYPTKDGTCIRDYIHIKDLADAHVLALESN-TPGTHRIFNLGSGDGYSVKEVIDKCREVTGHPIPVTMADRRAGDPAVLIASSARAKAELGWRPRTDLDTIIADAWAF------------- 78044276 ----KFLVTGGAGFIGSHIVERLVRDGAEVVVLDDLSSGKEENLSEITFIKGDVRDLDLIKGITK--DVDYILHEAAMASVPASIDDPLKCHEVNVTGTINVLLSAKENGVKRVVYAASSAVYGNNETLPKKEDMYPEPLSPYAVSKYAGELYLQVFARIYGIEAVGLRYFNVFGPKQD------PNSQYAAVIPKFIDALLKGMPPTIYGDGMQT------RDFIFIDDVVEANMLALTARGASGKVFNI--ACGERISLNRLYKVIKEIIGVDIEPVYAEARVGDVRDSLADISLARNILGFEPKVSLEEGLKKTVEWHKRN--------- 126726121 ----KILVTGGAGFIGSAVVRAAVAQGHSVVNLDALTYASVADNPLYAFEQADIRDRAALDRIFAAHKPDTVMHLAAESHVDRSIDGPGDFIETNITGTYQMLEAARAYWAFRFHHISTDEVFGLGETGQFTEETPYDPRSPYSASKAASDHLVRAWHETYGLPIVMSNCSNNYGP--------FHFPEKLIPVVIIKALAGEPLP--------IYGDGSNVRDWLYVEDHADALLCVLTRGEN---GRSYNIGGENERSNLELVTTLCAILDRADQITYVTDRPGHDARYAIDPQRIANELGWRPSVTVEEGLEKTVQWYLDNEDW------ 308276588 ----KLLVTGGAGYVGSVCAAVLLEQGHEVTIVDNFSTGNKEAVPAGATMEGDIRDKAG--EVLASDSYDAVLHFAARSLVGESVEKPSEYWQHNFVTTLALLDAMRANKVTNLVFSSTAATYGEPASVPITEDFPTQPTNPYGATKLAIDHAITSYAQAYGLSATSLRYFNVAGA--YGSIGENREVETHLIPLILQVALGHRDKILIFGDDWPTEDGTAVRDYIHIRDLADAHVLAMESN-KPGIHRIFNLGSGEGFSVKQVIKACRDVTGHPIPAEVAPRRAGDPAVLIASSAKAQSELGWNPRTDLSTIVEDAWSFTSK---------- 77359434 ----TILVTGGAGYIGSHTVLELLQQNSNVVVIDNLSNSSSESLKEVTFYQGDILDKAFLDSVFAKHTIESVIHFAGLKAVGESVVKPIEYYQNNVQGTLTLVDAMRDAGVFKLVFSSSATVYGDPASLPIKEDFPVGTTNPYGTSKLMVEMMLQDIAKSDEFAFAILRYFNPVGAHESGLIGEDPNGPNNLLPYISQVAVGKLKQLAVFGDDYDTVDGTGVRDYIHVVDLALGHLKALNKIATNTGALVYNLGTGNGYSVLQMVNAFIKASGQAVPYQVSPRRPGDIAACYAAPEKALNELDWQAMRGIDTMMQDTWRWQSNNPNGYK---- 309777851 ----KILVTGGTGFIGSHTCVELIEAGNEVVIIDNLYNSQADVIKTVAFYEADCCDETALEKIFNEHTIDAAIHFAGYKAVGESVQKPIMYYQNNLMSLLALCKVMAKHNCKRLVFSSSATVYGNPASVPIFEDFPLGPTNPYGTTKLMIEQILKDYISDHEWNIALLRYFNPIGAHKSGLLGESPNDPNNLMPYIVKVANKELPYLHVYGNDYDTPDGTGVRDYIHVVDLAKGHVNAVNKVMEPIGVDAYNLGTGIGYSVLDVVNTFAKVNNLEVPYQIDPRRPGDIAQCYASTDKALKELGWKAEKGMEEMCADAWHF------------- 134388823 ----NILVTGGAGYIGSHVTNLLIDKGYNVTVIDNLITGNKELVKKANFINCDITDENIINNLIKKNKFEIVIHFAGLIRVDESVEQPERYNEFNFEKAKIFLDSCFKNNLKKVIFSSTASVYGNPKRLNVSEEDDLNPLNPYAETKLKLENYLINKSKSDNIRYIILRYFNVAGADEKLRSGLISKYSTHLIKIASEVAVNKRDEMIINGDDYDTKDGTAVRDYIHVSDLADIHLASAEYLNKNNSSNIFNCGYGKGFSVKEVIETFNKILDKKIKFKIGPRRPGDSKHVVADPKKFMRTLSWKPKYDLKYILKTAYEWEKK---------- 126645005 ----KILITGGAGYIGSHTAVELVKAGLEPIILDDFSNGSLEEIIGKPCFEGDCNDKDILEEIYSQHKFVGVIHFAAFKAVGESTSEPLKYYRNNVGSLVVLLGFMQEKGIKNIVFSSSCTVYGQPDKLPVTESTPRDAESPYGNTKKICEDILVDFVKKAGVKVVSLRYFNPVGAHPSGKIGELPNGPNNLVPFVTQTAAGIREKITVFGDDYDTIDGSCIRDFIHVMDLADAHVKALGYLDKQEDNFYFNVGTGNGNSVLEIIKTFEKVNRIKLNYSIGPRRDGDVVKIWADTTKINTVLGWTPQYSLEDSLRDSWNWEK----------- 254478563 ----KVLVTGGAGFIGSNIVDLLIGNGHGVVIVDNLSTGKEEFIKKAIFYKKDIAD-DDLYEIFEKEEPDYVIHQAAQIDVQKSVNNPAFDAKVNILGTVNLLECCRKSGVKKIVYASSAAVYGNPEYLPIDEVHKINPISYYGISKHTAEHYFEVYSQLYGLKYTILRYANVYGIRQD------PKGEGGVISIFIDKMLKGERP-------IIFGDGKQTRDFVYVKDVAKANLLAL----KRGDNEVVNISTNKPTSINELVEIMNKIMNTSLKPIYTEPRKGDIIHSYLDNKKALEVLGWKPEYSLEDGLRETIEYYK----------- 284006914 MKKEVILVTGGMGYIGSHTCVQMCAAGMQPVILDNLCNASSEVLTRMEFYQGDVRDEKLLDTIFSNHSIQAVIHFAGLKAVGESVTKPLEYYDNNVNGTLVLARSMQRAGIKNIIFSSSATVYGDPATVPITEEFPINTTNPYGSSKYMVERCLSDLFADNSWSVTLLRYFNPVGAHPSGTMGEDPQGPNNLTPYITQVAIGRQQKLMIFGDDYPTPDGTGVRDYIHVMDLADGHIAALKTVGLKSGLHIYNLGTGKGTSVLAMLKAFEEACGKKIAYEIKSRRLGDIAECWSSPLKAESDFGWKATRSIQEMAADAWRWQCQNPHGYGKK-- 222099136 ----NVLVTGGAGFIGSHVVDRLIEKGYGVIVIDNLSSGKVQNLKNALFYEQSIEDEEMMERIFSLHKPEYVFHLAAQASVSISVKEPARDAKTNILGSLVLLEKSVKYGVKKFIFSSTGAIYGENVKVPTPETEIPHPISPYGIAKYSVEMYLDFFAREYGLKYTVLRYANVYGPRQD------PHGEAGVVAIFTERMLKGE-------EVYIFGDGEYVRDYVYVDDVVESNLLAMER----GDNDVFNIGTGRGTTVNELFHMLKEITGYNREPVYKPPRKGDVRKSILDWTKAKEKLGWEPKVPLEEGLRLTVEYFRK---------- 310815370 ----RVLVTGGAGYIGSHTLVELAAMGYETCVVDSYANSRAITNGHIEAHDVDIRDTAALTAVAVAFRPDAVIHFAGLKAVGESRTRPVDYYDVNVTGTLSLIRAMEAAGCNKIVFSSSATVYGEPQFLPMTEDHPLAPTNVYGETKFTAEKLLSAWAEAPGRTSILLRYFNPVGAHASGTIGEDPVGPNNLMPFLAQVATGRREKLAVFGDDYDTPDGTGVRDYIHVVDLANAHVAALNF--NGEGAEAFNIGTGTGYSVKEMLAAFSASVGRDLPFAVQPRRPGDVAEMRADATKAANVLGWRAEYGLRDMTDSVWKWQSANPMGYGE--- 270158709 ----HILVTGGLGYIGSHFIVMALEEGIQVTAIDNLSNSSIQCEKPFHFIETDLKDKNKLAELFKQHRFDAVVHFAGLKAVGESNEKPLDYYENNMIGSWNLLHAMHQSEVKKIIFSSSATVYGKPVFNPYTEEHRKLPFNPYGQTKSLVEEMMYDLCQSDKWSAIALRYFNPIGAHPSGKIGDNPKGPNNLMPYITKVAVGEFEALRVFGNDYPTKDGTGVRDYIHVMDLVNGHLKTLQYQQSHSGFDAFNLGTGQGYSVLEVVEAFEKENHIHIPLLFKPRRAGDLAAYWADPTKARTLLNWQTTRNLNEMMRDAWNWQK----------- 148989621 -----ILVTGGAGYIGSHTVVELLNLGKEVIIVDNLSNSSKLVLIRPVFYELDVCDKQALRKVFEQESIDAAIHFAGYKAVGESVQKPVMYYKNNIMSTLALVEVMSEFNVKKIVFSSSA-------------------TNPYGYTKVMLEQILKDHVADSEWSIALLRYFNPIGAHESGLIGEDPSGPNNLMPYIAQVAVGKLSELSVFGNDYDTLDGTGVRDYIHVVDLAIGHIKALEKVSEKTDVYIYNLGSGEGTSVLQLVNTFESVNKIPIPYKIVPRRSGDVATYYANADKAYKELNWRTTKSIEDMCRDTWNWQSKNPNGYN---- 242017036 ---KTVLVTGGAGYIASHCIVELHEAGYDVVAIDNFANSRVEMITKKPFYKCDLLDLPALDNVFRMHDIDCVIHFAAMKAVGESMQNPLLYHKNNLVGTINLLETMKKHACYQLVFSSSCTIYGNPEELPITEEHKIGDVNVYGKTKYFIEEMLKDISAADNWNIISLRYFNPVGAHPSGLIGEDPKPFTNLMPFMAQVAIGKLPILHVFGGDYDTVDGTGVRDYIHVMDLASGHVAALNKLKNQHRLKSYNLGTGQGTSVLQLLKTFESVTKVPIPFVIKERREGDIVAMYANASLAYEELGWKTKYTLAEMCADFWRWQTLNPNGYRS--- 119615495 ----KVLVTGGAGYIGSHTVLELLEAGYLPVVIDNFHNAFRESLRRVQFEEMDILDQGALQRLFKKYSFMAVIHFAGLKAVGESVQKPLDYYRVNLTGTIQLLEIMKAHGVKNLVFSSSATVYGNPQYLPLDEAHPTGGTNPYGKSKFFIEEMIRDLCQADKWNAVLLRYFNPTGAHASGCIGEDPQGPNNLMPYVSQVAIGRREALNVFGNDYDTEDGTGVRDYIHVVDLAKGHIAALRKLKEQCGCRIYNLGTGTGYSAKLQLPPVLQI-----PYKVVARREGDVAACYANPSLAQEELGWTAALGLDRMCEDLWRWQKQNPSGFGTQ-- 33240763 ----RILVTGGAGFIGSHTCITLLESGYDLLIIDSFINSSEVSLKRINYLKGDIRNLEFLDSIFSNAPIDAVIHFAGLKSVSESTKIPLTYWDVNVVGSIALFSIMRKYKCYTIVFSSSATIYGNTDKVPIKEDSLISPINPYGESKATVEKILLSLSAPFDWRIACLRYFNPVGAHPSGRIGEDPLGPNNLFPYITNVAGGQIKQVEVFGNDWPTQDGTGVRDYVHVLDLAEAHKSALECFAEPAQLLILNLGNGFGLSVLEIINTFSRVNNCEVPYVFAARRPGDIAISYADIALSKARLNWYPKRSIEDMCRDTWRWKLNNPIGYRSK-- 225444161 ----HVLVTGGAGYIGSHAALRLLKDSHRVTIVDNLSRGNLGAIKRLQFIYADLGDAKAVNKIFSENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLVVLEAMAAHGVNTLIYSSTCATYGEPEKMPITEQTPQVPINPYGKAKKMAEDIILDFSKNSEMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRCFDAASGVIPGLKVKGTDYKTPDGTCVRDYIDVTDLVDAHVKALNK-AAPGKVGIYNVGTGKGRSVKEFVEACKKATGVNIKVEYLARRPGDYAEVFSDPSKIDHELNWTAKYDLQESLRVAWRWQKAHRNGYG---- 1169830 MSGK-YLVTGGAGYVGSVVAQHLVEAGNEVVVLHNLSTGFRAGVPAGAFYRGDIRDQDFMRKVFRRLSFDGVLHFAAFSQVGESVVKPEKYWDNNVGGTMALLEAMRGAGVRRLVFSSTAATYGEPEQVPIVESAPTRPTNPYGASKLAVDHMITGEAAAHGLGAVSVPYFNVAGANRG--VRLVHDPESHLIPLVLQVAQGRREAISVYGDDYPTP-DTCVRDYIHVADLAEAHLLAVRRRP-GNEHLICNLGNGNGFSVREVVETVRRVTGHPIPEIMAPRRGRDPAVLVASAGTAREKLGWNPSRDLA-IVSDAWEWHSSHPKGYDDR-- 241671832 -DGKTVFVTGAAGFIGSHTVIELLKAGYIVIGIDNFANARAEELTGRKFYQCDLLEKAALSRIFDKHKIDFVIHFAAMKAVGESMQKPLFYYKNNIVSTINLLEVMKEHGVYSMVFSSSCVVYGNPQYLPIDEAHPTGNVNVYGRTKYAIEQMLEDICRAEKVSASSSMEHHPAGAHPSGKIGEDPIRAFTNMPVIGEVAQGKRSELAILGGDYDTEDGTSVRDFIHVMDLATGHVAALEKLEQNPRYKVYNLGTGKGYTVLQLIDAFEKVTGKKIPYKIHDRRLGDIPAIWGDCGLAERELRWKAQHGIERMCEDFWRWLTSNPGGYRTEN- 53803403 MKRKGILVTGGAGYIGSHVVKTLGEAGERLVVLDNLSTGFRDAVLYGDFIEGDTGDDVLLDKIMRDYEVEAVMHFAAHTIVPESVENPLKYYGNNTCKTRTLLESCRKAGVSHFIFSSTAAVYGIPEGEFALETSPLAPINPYGSSKLMSEIMLRDLSTASPLRHVVLRYFNVAGSDPEGRIGQSTAKATLLIKVAAEVATGKRDRLCIFGTDYPTPDGTGIRDYIHVSDLADAHVAALAYLRAGGESRTLNCGYGHGYSVREIIDTMNRVNGTPIAVEERPRRPGDPPRLVAGVERIREILEWTPRYDIELIVRTSLEWERK---------- 226941683 ----NILLTGGTGYIGSHAAVVFARLGHQVVLFDNLVNGVAERVKTVPLVIGDVRDRDILADVLKRHAIDAVVHFAGLKAVGESVQKPIDYYANNVQGTISLVQAMESTGIHRLVFSSSATVYGTPRYLPYDEKHPVGAINPYGRTKQHVEGMLADLAVSSPVWIACLRYFNPVGAHESGLIGENPQGPNNLMPFIAQVASGERPFLSVFGDDYDTPDGTGVRDYVHVMDLVEGHAAALDYLQSHAGWHAFNLGTGQGISVLDVIRSFEKASGRPVPYQVAARRAGDLPAYYADAGKANRLLGWQAHRDLDEMCRSTWNFQQRLKQSFA---- 280964860 ----TVLVTGAAGFIGSHTTVDLVAAGYDVLGVDNFANSSPGAVERIHFVELDLRDRAGLTELLGRRPVDAVIHFAAKKAVGESVEFPFDYYDTNVGATLSLVAAMLDRGVNRLVFSSSCSIYGDATTVPIREDAPARPTNPYARTKWMCEQILADVCRRHDWHVTSLRYFNPAGAHPSGLLGEDPRGPNNVLPYIAQVAVGRRSELSIFGDDYPTPDGTGVRDYLHVVDLAEGHRLALAALDDAAGHRVINLGTGRGTSVRALLDAFSAACGRALPSRVAARRAGDVAELVADPALAAGLLGWTASRDVAAMCRDAWEFQRLNPNGYE---- 238062589 ----KLLVTGGAGYIGSVVTRMLLDAGHEVVVLDDLRTGHRAALPDATWVDAPIHDAAQV--LTPGAGFDGVLHFAALIAAGESMVKPELYWHNNTVGSLALIDAVRAARVPRMVFSSTAAVYGNPAELPIPETAVKAPTNTYGATKLAVDMALTSEAIGHDLAAVSLRYFNVAGAYLSGDIGERHDPESHLIPIALDVAAGRREKLQLFGDDYPTVDGTCVRDYIHVEDLARAHLLALTAAVPS-RHRIYNLGNGTGFTNRQVVDVVREVTGRPVPVEMAPRREGDPAELVASSALAREELGWVPEKTLHDMVGDAWAFYRTH--------- 85709462 -----VLVTGGAGYIGSHAVLALKDAGWPVAVIDNLTTGFRFAVPDGVFYEGDIEDAELLGRIFEEQGTRAIMHFAGSIIVPESVEDPLKYYHNNTAKSRTLIEAAVKAGVPHFIFSSTAATYGVPEVSPVREDTPQSPINPYGWSKLMTEQMLTDTASAHTLNFCALRYFNVAGADPKARTGQSTAGATHLIKVAVEAALGKRDGVAVFGTDFDTPDGTGVRDYIHVSDLAAAHVLALEALMAQPESLKMNCGYGRGFSVLEVLDAVDRVTNMTIDRRMEGRRAGDPASLISDPSRIRANLPWQPEHDLDTIIAHALAWERK---------- 157369477 -----ILVTGGAGYIGSHTVLALLERGEEVVVLDNLSNSSSESLKKVNFYHGDIQQHHLLDHIFRQHDVHAVIHLAGLKSVRESTEEPLKYYQNNISGSLIVLDRMRHAGVKQFIFSSSATVYGPAEYTPLTENCRVGTTNPYGTSKLIVEQILAEFASTPQMAITALRYFNPAGAHESGLIGEDPAGPNNLLAYLAQVAIGKLAVLPVYGNDYPTSDGTGVRDYIHVMDLAEGHLKALDNL--QPGFNVYNLGTGKGYSVLEIIRAFERACGFKIAYQFNPRRAGDIAECWSDPSLAAEKLGWQAKRSLEKMVCDAWSWQSKNPQGYSR--- 84873492 ----HVLVTGGAGYIGSHAALRLLNDSFRVTIVDNLSRGNIGAVKRLQFIHADLGDAKAVNRIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITANTLVVLEAMAMHNVKTLIYSSTCATYGEPEKMPITEETPQFPINPYGKAKKMAEDIILDFSRKSDMSVMILRYFNVIGSDPEGRLGEAPPPELREHGRCFDAALGIIPGLKVKGTDYETPDGTCVRDYIDVTDLVDAHVKALNK-AERGKVGIYNVGTGRGRSVKEFVEACKKATGVDIKVDYFPRRPGDYAEVYSNPARINRELNWTAQHTLQESLRVAWTWQKKHRSGYAD--- 189347265 ----RILVIGGAGYIGSHVVKAFLESGYQVTVFDNLSTGTRENLFDDAFVHGDIMRPEQLAGVMS-AGFDGCVHLAALKAAGQSMLYPEQYAQANLVGTINILNAAVAVSLNALIFSSSAAVFGNPVYLPIDEEHPKEPENFYGFTKLEIERLLAWYDRLKGLRYAAIRYFNAAGYDVNGRIRGLESNPENLLPVVMEVAAGVREQLSIFGNDYPTRDGSCIRDYVHVSDLADAHVRAFEYIVSHKKSLAVNLGSESGISVLEMVERSRLITGMPIPAVVTGRRAGDPSELVASSGKARELLHWEPRYSIDTLIASTWEVYRRYPQGISR--- 144973 ----KLLVTGGAGYVGSVCSTVLLEQGHEVTIVDNLTTGNRDAVPLGTFVEGDIKDVA--ENVLSSDSFDAVLHFAARSLVGESVEKPDEYWQHNMVTTLALLDAMKRNNVRNIVFSSTAATYGEPETVPITEDAPTHPTNPYGATKLSIDYAITSYAHAYGFAATSLRYFNVAGA--YGLVGENREIETHLIPLVLQVALGHRDKIFMFGDDWPTEDGTPIRDYIHIRDLADAHILALQSNV-EGSHRIFNLGSGEGYSVKQVIDTCREVTGHPIPAEVAPRRAGDPAVLIASSAKAQSELGWKPRTDLHTIVSDAWAF------------- 256776829 MSNKKYLVTGGAGYVGSVVAAHLLEAGHQVTVLDDLSTGHREGVPAGEFIEGRIQDAAKWLDASY----DGVLHFAASSQVGESVVNPEKYWVNNVGGTTALLAAMRDAGVRTLVFSSTAATYGEPDDVPITEDSATAPTNPYGATKLAVDHMISGECAAHGLAAVSLRYFNVAGA--YGAYGERHEPESHLIPLVLQVAQGRREAISVFGDDYPTPDGTCVRDYIHVADLADAHLLALGA-ATSGEHLICNLGNGNGFSVREVIETVRQVTGHPIPEVVADRRGGDPAVLVASAERAKERLGWRPSRDLKGIVADAWEFARR---------- 198275779 --KKRILVTGGTGYIGSHTVVELQNSGYEVVIVDNLSNSRADVVVRPAFEKVDCLDYEGLDNVFAKYGIQGIIHFAASKAVGESVQKPLLYYRNNLVSLINLLELMPKHGVKGIIFSSSCTVYGQPDVLPVTEAAPIKAESPYGNTKQINEEIIRDTIASSPIHAVMLRYFNPIGAHPTALIGELPNGPQNLIPYLTQTAMGIREKLSVFGDDYDTPDGSCIRDYIYVVDLAKAHVIAMNRILEKDKVEVFNVGTGRGLSVLELIHKFEESTGVKLNYQIAPRRAGDIVKVWADPSYANSELGWKAETSIEDTLRSAWKWQ------------ 142435888 ----KILITGGAGFIGSAVVRLAIARGYSVVNVDALTYGNVVSVADHPFEHVDIRDFAALDAVFTKHKPDGVMHLAAESHVDRSIDGPADFIETNINGTFNMLEVARSCWTFRFHHISTDEVFGLGPTGMFTEETPYNPRSPYSASKASSDHLVRAWHETYGLPVVLTNCSNNYGP--------YHFPEKLIPVVILKALAGKPLP--------IYGDGSNIRDWLYVEDHADALLLVLEK---GALGCSYNIGGENERSNLELVKTLCGILDRADLVTFVADRPGHDSRYAIDPKRIRDELGWRPSVTVEEGLEKTVQWYLENEDW------ 149913027 ----KILVTGGAGFIGSAVVRLAIARGHEVVNLDALTYGRLENVPRYRFEQVDIRDRAELGRVFAQHKPDAVMHLAAESHVDRSIDGPGDFIETNIIGTYNMLEAARTHWIFRFHHISTDEVFGLGETGLFTEETPYDPRSPYSASKASSDHLVRAWFHTYGLPVVLTNCSNNYGA--------FHFPEKLIPKVILNALHERAIP--------VYGQGLNVRDWLYVDDHADAL---LLAVEKGEPGRSYNVGGHNERRNIDLVHAICDILDDKRPIAFVEDRPGHDARYAIDPDRIMEELGWRPSVTVEQGLEKTVQWYLDNPDW------ 307243936 ---KNILLAGGAGYIGSHTAVELLNSGYGVVIVDNLSNSYKTVIDRIKFYEIDTR-SSDLEKVFQENHIDAVVDFAAYKAVGDSVANPLKYYDNNLLSLINMLTIISKYNVHNFVFSSSATVYGDVEDLPAREDYKLSTANPYGTTKLMGEEIIKDACHANKLNAIILRYFNPIGAHESGIIEDCGGIPANIMPYLTKVAIGELPHINVFGNDYRTKDGTGVRDYIHVVDLAKGHVKAIEKLLGEKIGLDYYNGTGQGYSVMELIESFSKACGKDIPYKIGPRREGDIDMSYADSSKAERDLGWRAEFDIDRMCQDSWRWQKNNPKGYEE--- 124025560 ----RVLLTGGAGFIGSHIALLLLERGYDVLILDSFANSSSNVIERIENINGDIRDKQILESIFSKCPIEVVIHLAGVKSVCESLTNPLYYWDVNVSGTLNLLLTMKDYQCYSLVFSSSATIYGLSDYVPILEEQKISPITPYGQTKVAVENLFYDLYKSNVWKICSLRYFNPVGAHPSGLIGEDPRGPNNLFPFITQVAIGRQKILNIYGDDWETKDGSGIRDYVHIIDLAEGHLASIDYLNTSESCEFINLGSGKGYSVFQIIRQFELSTGCSIPFSIESRRDGDVAVSYADISKAKRLLSWTPKRSLEQICLDGWNWQIKNPNGYG---- 56459668 -----ILVTGGAGYIGTHCCVELIESGYDIVVLDNLKNSTLEGLKRVRFVEGDVRDLGLLEKLFGEFKFNAVLHLAGLKSVNESLSHPVEYYENNVTGSLSLLKVMEKFGCKCIVFSSSATVYGHPECVPISENSTLSTINPYGSSKLMVENILRDLSSSTGWSIGILRYFNPVGAHESGLIGELPLGPNNLMPYIAQVALGKLEKLTVFGNDYNTKDGTGVRDYIHVVDLAKGHVEALRELFGKEDLFIANLGTGVGYSVLELIKNFEKISGRKVPYEVGNRRRGDVAACYADPSYAKSRFGWSAEFDIDRMCHDTWRWMVK---------- 271962806 ----KLLVTGGAGYIGSVVAAQLVEAGHQVTVLDDLSTGHADAVPAGRFVEGSVTEAADVLPGM-----DGVLHFAAKSLVGESVERPGLYWAHNLGGTLSLLDAMRTAGVGRIVFSSTAATYGEPERSPVVETDPTRPTNPYGASKLAVDTALTTFAGMYGLAAVSLRYFNVGGAHTGGVYRERHTVETHLIPNLLAVALGGRESVSMFGTDYPTPDGTCVRDYIHVGDLARAHLLALEAC-SPGEHKIYNLGNGTGFSVREVLAVCREVTGHEIPAVVRERRAGDPAVLVASSEKIQRELGWKPEHSVRDIVADAWA-------------- 288926156 --KQTILVTGGTGFIGSHTTVELQQAGYDVVVVDNLSNSKVEVLIRPAFEQVDLRDFAATEAVFKKYAITGIIHFAASKAVGESVQKPLLYYRNNVVSLVNLLELMPRYNVKGFIFSSSCTVYGLPENLPVTEEAHQKATSPYGNTKEINEQIIADYIHSAPVKSIVLRYFNPIGAHPSALIGELPNGPNNLIPYVTQTAMGIREQLTIFGNDYNTPDGTCIRDYIYVVDLAKAHVAAMARVLDQDQLEYFNIGTGRGNSTKEIVDTFEQATGVKVNWKYGPRREGDIEKIWGNVDKANKVLGWKAEAELGDVLASAWKWQQK---------- 116074483 ----KLLVTGGAGFIGSHTCIALLEAGHEITIIDDFSNSSELALKRVQYIKGDICDNYFLDQVFSIASFEGVIHFAGLKSVGESVNNPLKYWNVNVCGSYSLLSAMSNNNCNTLVFSSSATLYGDPVEVPIKETAPIKPINPYGYTKAAVEQMLTDLFDSNSWRIACLRYFNPVGAHPSGLIGENPLGPNNLFPYISQVAIGRRNSVSVFGGDWPTPDGTGIRDYIHVMDLAEGHVETLNYLLNKPQLVTLNLGSGSGYSVLQLIKEFEIASGNSIPYEIVEKRNGDAAITIADPTLAADKIGWRCVRDITDICRDGWSWQSKNPDGYKN--- 94312608 ----TLLLTGATGYIASHTWVALHEAGYNVIGLDNLCNSSAEVVNRPHFVEGDVRDRALLDRLLAEHKINGAIHFAALKAVGESVSKPLEYYGNNLEGLITLCSAMQSAGVRQLVFSSSATVYGNPHAMPILENFPLSATNPYGQTKLMGEQILRDLEISDPWKIAFLRYFNPVGAHESGTIGEDPGGPNNLMPYVAQVAAGRREKLSVYGGDYPTPDGTGVRDYIHVSDLADGHVAALAYLGKNSRGMTVNLGTGRGYSVLEVVKAYERASGRPIPYEIVARRPGDIAECYADPGIARTLLGWSARHDLDRMCEDSWRWQSLNPVGF----- 301329605 -----IFVTGGAGYIGSHTILELLNNGHDVVSIDNFVNSSIESLKRVEQYQGDIRDKNLLDEIFSRHHIDAVIHFASLKSVGESKLKPLEYYSNNVGGTLVLLECMKRYNINKMIFSSSATVYGSNSIPPHTEDRRIETTNPYGTSKFIIEIILSDYCDSDNNKVIALRYFNPIGAHKSGMIGENPNGPNNLVPYISKVAQNQLPVLNIYGNDYPTKDGTGVRDYIHVCDLAKGHVKALEYMLNDVNYEAFNLGTGQGYSVLEIVKMFEIVTKKSIPVAICNRREGDVAESWASADLAHKKLSWKAEKNLKEMIEDVWRWQTNNPNGYKK--- 85375013 -----VLVTGGAGYIGSHAVLALRDAGRKVAVIDNLTTGFRFAVPEDPFYQGDIQDAELLANIFAEEKIGAVMHFAGSIVVPDSVEDPLGYYHNNTVKSRALIEAAVKAGVRHFIFSSTAATYGVPNVSPVTEDTPKQPINPYGWSKLMTEQMLADTAFAHAINYCTLRYFNVAGADPQARTGQSTAGATHLIKVAVEAALGKRDSVAVFGTDYDTPDGTGVRDYIHVSDLAAAHLHALDALIEQPKSLTMNCGYGRGFSVLEVLDAVDRVTNKAIERVMSPRRAGDPASLISDPTRIRATVPWQPQYDLDTIIDHALQWERK---------- 136415506 --KMRLLITGGAGFIGSHILLELLPLGHDICVVDDFSNSQKVSLERVKMYSLELGNYERLNTICREFKPQAVIHLAGLKAVGESVRTPVHYFDKNLGGSIQLLKAMDNCGCRTIIFSSSATVYAE-QDHPIDELQAINPINPYGRTKYFIEELIDDWTKTDDQKAAVVRYFNPVGAHKSGLIGENPISEPNNIPVIAQVGSGQRPKIDIFGDDYETKDGTAVRDYIHVLDLARGHAVALEYAVDHPGREIFNLGTGVGYSVLDVVRTFGNSIGKVITFEMAPRRSGDVPRSVANSAKAQHILGWSPVFALEEMCEDAWRWHSKNPNGY----- 158325169 ----TVLVLGGAGYVGSHAVDILVARGYDVAVVDNLVTGHREAVPADRFYEGDVRDQAFLADVFTKEDIEGLMHFCAYSLVGESMQKPLMYFNNNVGGAQVILETMEKFGIKHIVFSSTAATFGIPEESPISEKTPQKPINPYGESKLIMEKMMKWQSEATDMTYVALRYFNVAGAKHDGTIGEAHKNETHLIPLILQTALGQREFITIYGDDYNTPDGTCVRDYIDMEDLIEAHIKALEYLKAGGASDQFNLGSSKGYSNLEVLETARRVTGESIPSQMGSRRPGDPSMLVASSTKAGSILDWHVNHNLSWIIDNAWKWHSSHP-------- 142134299 --GSRVLVTGGAGFIGSHTCLVLLEAGHDLLVLDNFSNSSPEALSRVQIQHGDIRNPHTLDQLFSDAAFDAVIHFAGLKAVGESVQKPLLYWDVNVAGSRTLLAAMEAHGCRTLVFSSSATVYGFPANVPIAESAPIQPINPYGFSKAAVEQMLADLNAPNTWRIACLRYFNPVGAHPSGQIGEDPLGPNNLFPFVSQVAVGRRDQLQVFGSDWPTHDGTGVRDYIHVMDLADGHKAALDSLLNAEPQHLICNGSGAGASVLDVVNAFAAASGQHIPYALVDRRPGDAAITIADPTHAANILSWRTKRTLNDICRDGWTWQQANPMGYRE--- 307293166 MSDKTVLVTGGAGYIGSHAVLALRDAGYGVVVIDNLVTGFRWAVPGDVFVQGDISDQPLVRKTLRDHGVKAVMHFAGSVVVPESVENPLKYYHNNSAKTRDLIESVVTVGVPHFIFSSTAATYGIPDESPVRETTPQRPINPYGMSKLMTEYMLRDVSAAHAMNFCALRYFNVAGADPAGRTGQSTAGATHLIKVAVEAALGKRSHVSVFGTDFDTPDGTGVRDYIHVTDLAAAHVLALEALMAKPENYLLNCGYGRGFSVLEVLDAVDRVTNLKIDRRMEGRRAGDPDALISDNRAIMGAFPWKPRYDLDQIVTHALAWERR---------- 282878356 --KQTILVTGGTGFIGSHTTVELQQAGYNVVIVDNLSNSKIEVLIRPCFEKIDLCNEEETEAVFKKYDIAGIIHFAASKAVGESVEKPLMYYRNNITSLINLLELMPKYHVKGIIFSSSCTVYGQPENLPVTEEAPQKALSPYGNTKQINEEIIQDYIHSAAIKSIILRYFNPIGAHPSALIGELPNGPNNLIPYVTQTAMGIRKQLTIFGNDYDTPDGTCIRDYIYVVDLAKAHVAAMRRVLEEDRMEIFNIGTGRGESTLEIVEGFEKATGVKLNWKFGPRREGDIEKVWGNVDKANQVLGWKADTPIEDVLASAWKWQEK---------- 91085873 ----TVFVTGGAGYIGSHCIVELLNAGYEVIVVDNFVNSVNDPDKKITFYECDLLDKNALGNIFAKHKIDCVIHFAAIKSVGESMEYPLLYYKNNLIGMLNLLEIMEQFDIYQLVFSSSCTVYGEPTYLPITEQHSHNITNVYGKTKYFIEEMLQDITHANKWNIIALRYFNPLGAHSSGLIGEDPIPFANIMPLISQVAMKRLPVLTIFGGDYNTDDGTGIRDYIHVMDLASGHVAALNLLKRSHQNYKVYNGTGQGVSVLQLVQTFEKVTGTVVPYKIVERREGDISVMYANAELAEKELGWKSKHTLDEMCADFWRWQTMNPTGYRTQ-- 256752410 ----KVLITGGAGFIGSNIADLLIENGYEVVIVDNLSTGKHEFIKKAVFYNKDITD-NDLYEVFEKEKPDYVIHQAAQIDIQKSIKEPVFDAKVNILGTVNLLECSKSYKVKKIIYASSAAVYGDPEYLAIDEKHKVDPISYYGISKHTPEHYFEVYRQLYGLKYTILRYANVYGIRQD------PKGEGGVISIFVDKILKDERP-------IIFGDGNQTRDFVYVKDVAKANLLALE----KGDNEIVNISTNKPTSINELIDLMNKIMGTSLKPIYAEPRKGDIVHSYLDNKKALDVLGWKPEYSLEEGLRETIEYYR----------- 227833287 ----KLLVTGGAGYVGSVCAAVLVEKGHDVTIIDNFSTGNREAIPEARLVEGDVADVA--REVLSEGGFEGVLHFAARSLVGESVEAPADYWQHNVVTTLKLLNAMREFEVTNLVFSSTAATYGEPAQVPITETMPTQPTNPYGASKLAIDYMITSYAQAYGLGATSLRYFNVAGA--YGDIGENREVETHLIPLVLQVALGHREKIFIFGDDYATADGTAVRDYIHIRDLAEAHVLALGSNSA-GTHRIYNLGSGDGYSVKQVIEACREVTGHPIPAELAPRRAGDPATLVASSDKIKSELGWNPRTDLKTIVTDAWNFTRQ---------- 242777735 MSLGSVLVTGGTGYIGSFTALALLEAGYKVVITDNLYNSSAEVVKKPEFVQADITDPTAFDKVFTAYDIDSVIHFAALKAVGESGEKPLDYYLVNVYGTINLLRSMQKHNVTNIVFSSSATVYGDPNMIPIPEECPLGPTNPYGNTKFAVETAITDFIEAEKWNAALLRYFNPAGAHPSGIMGEDPQGPYNLLPLLAQVATGKREKLLVFGDDYASRDGTAIRDYIHILDLSNGHLLALNYRSNHPGVRAWNLGTGRGSTVYEMIKAFSHAVGRDLPYEVAPRRAGDVLDLTSNPTRANKELGWKTERTLEQACEDLWRWTKNNPQGYRQQ-- 134098355 ----KLLVTGGAGYVGSVCAARLLEAGHEVVVVDDLSTGHADAIPDGCFVEADIAEAAG--DLLAD-SFDGVLHFAAKSLVGESMQEPFKYWHGNVVTSLRLLEAVREHGTPRLVFSSTAATYGEPENVPITEDAPTRPTNTYGATKLAIDHAISSYAAAHGLAAVSLRYFNVAGAH--GAFGERHTVETHLIPIVLQVALGQREQVQMFGDDWPTEDGTCVRDYIHVTDLADAHLLALEH-AQPGTHRVYNLGNGTGFSVKQVIDTCRKVTGHPIPALVAPRRAGDPATLVASSQRAREELSWEPARDLERIVRDAWEFTRSRNQG------ 193213689 ----KILVIGGAGYIGSHVAREFLDRGYQVTVFDNLSTGREMNLFEAEFVFGDILDTEAVSSVMER-GFDGCVHLAGLKAAGESMVKPEKFSTTNICGAVSVINAAAASGIRCFLFSSSAAIFGNPAYLPIDENHPKDPTNYYGFTKLEIERMLEWYDQLKGLKFASVRYFNAAGYDVRGRVRGFELTTANLLPLVMETAVGMRKELLVFGDDYDTRDGTCIRDYIHVNDLAVAHVLAFEKVLQTGSSLSVNLGSETGVTVFEMLDASRCITGQPIPARVVERRPGDPPVLVATSAKARELLGWMPQYSLDTLVESTWNVYRD---------- 182414786 ----TILVTGAAGYIGSHTLVALLESGHQPVALDNYANSKPEALRRFPIYEGDACDRGALERIFREQRIDAAIHFAGLKAVGESVRIPLRYYENNLISTLALLQTMAAHECFRLVFSSSATVYGDPKILPIPENAPLSATNPYGRTKLFIEEILRDLAVSDGWKIALLRYFNPVGAHPSGRIGEDPKGPNNLFPFIAQVATGRLPELKVFGGDYPTPDGTGVRDYLHVCDLAEGHVRAVEKLDTFNGAEALNLGTGQGTSVLEAVRAFESAAGRPLPHRIVARRSGDVAACYADPAAAHARLGWKATRTLADMMKDLWRWQSNNPNGLE---- 306836283 ----KLLVTGGAGYVGSVCSAVLVEQGHDVTIIDNFSTGNRDAVPSARVVEGDVADKAA--EVLGEGGFEGVLHFAARSLVGESVEKPDEYWQHNVVTTLRLLNAMRNNDVKSLVFSSTAATYGEPEQVPITEDMPTQPTNPYGASKLAIDYMITSFAQAFGLAATSLRYFNVAGA--YGSIGENREIETHLIPIVLQVALGHRDKIFMFGTDWDTPDGTPVRDYIHIRDLADAHVLAL-HSNAPGTHRIYNLGSGEGYSVKQVIEMCRKVTGHEIPAEIAPRRAGDPATLIASSDKIKRELDWNPRTDLETIVTDAWNFTRQ---------- 87201287 -----VLVTGGAGYIGSHAVLALKDAGWAVSVIDNLTTGFRFAVPEGPFYEGDIEDAGLLARIIAEQGIKAVMHFAGSIIVPESVENPLKYYHNNTAKSRALIEAAVKGGVPHFIFSSTAATYGIPEVSPVTEDSPRRPINPYGMSKLMTETMLADVAAAHPLNFCVLRYFNVAGADPQARTGQSTAGATHLIKVAVEAALGKRASVGVYGTDYATPDGTGVRDYIHVSDLAAAHVLALEALIAAPDSLTMNCGYGRGFSVLEVLDAVDRVTNRRIAREMQGRRAGDPDSLISDNRRIRSTLPWVPQYDLDTIVAHALAWERK---------- 160890325 MKGK-ILVTGGTGYIGSHTVVELQNAGYEVVIIDNLSNSSADVVIRPAFEELDCLDYAGLDAVFTKYKIKAIIHFAASKAVGESVQKPLLYYRNNLVSLINLLELMPKHGVEGIVFSSSCTVYGQPDELPVTEKAPIKAESPYGNTKQINEEIVRDTVASAPINAILLRYFNPIGAHPTALLGELPNGPQNLIPYLTQTAIGIREKLSVFGDDYDTPDGSCIRDFINVVDLAKAHVVAIHRILEKEKVEVFNIGTGRGLSVLELINAFEKATGVKLNYQIVGRRAGDIEKVWANPELANNELGWKAETSIEDTLLSAWKWQLK---------- 136214344 ----KLLIPGGAGYIGSHMVRLAQKEGHEVTVLDDFSTGHEWAIKNCEILKIDLLDHERLDKSLKNRYFDGVIHFAAKSLVGESVIKPAFYYRNNVVGSLNLFNSMLKNDINNIVFSSTAAIFGNPISEKISEDHPKEPINPYGQSKLMIEKILKDMAEAYGINATCMRYFNASGAHKSAEIGEAHNPETHLIPNILISAISNTQKLKVFGDDYNTHDGTCIRDYVHVDDLADAHLLGLKHMIKNKGFFAFNLGNGNGFSVMDVIRSCERVTKKNLDFNVCKRREGDPAKLVADSTYAKECIGWDPHFNIDDIVTSAWRWHKN---------- 148238578 ------LVTGGAGFIGSHTCVVLLEAGHDLIVLDDFSNSSPIALTRVRPVRGDIRDARCLEQVFSDAAIEAVVHFAGLKAVGESVQNPLHYWDVNVGGTVSLLKAMQEFGCRTLVFSSSATLYGYPEAVPIKEGAPIQPINPYGHTKAAVERLLLAASAPEQWRIGCLRYFNPVGAHPSGRIGEDPLGPNNLFPFVSQVAVGRRPEIAVFGGDWPTTDGTCIRDYIHVMDLAEGHRAALDTLQESPQVLTLNLGSGHGASVLEVIQAFETACGRPIPHSIQQRRAGDAAITVADPAAAKERLGWSTRRNLHDICRDGWAWQSANPSGYG---- 168045395 -KQRWILVTGGAGYIGTHTVLQLLLEGYCVMIIDNLVNSCEEAVNRVEFCKGDLCKLEDVQKVFNLHRFEAVIHFAGLKAVGESASKPLLYYKNNLTSTINLMNVMSENRCKNLVFSSSATVYGQPESVPCTEEYPLSVTNPYGRTKLINEDIMRDVQHADPWKIILLRYFNPVGCHPSGEIGEDPLGPNNLMPFVQQVAVGRKETLSVCGHDYDTRDGTGIRDYIHVMDVACGHTKALDKLFTTPDIGCYNLGTGRGTTVLEMVSAFEKASGKKIPLKFDVRRPGDSSEIYAATKKAEEELGWRAKFGLMEICRDQWNWAKRHPFGYECQEQ 254393719 ------LITGGAGYIGAHVAHAMTQAGEAVVVLDDLSSGVAGRLPAGPLVRGGIGDRPALDRVLAEHRITGVVHLAARKQVGESVAQPLRYYRENVHGLTVLLEAVVAADVRTFVFSSSAAVYGVPDQTLIAESAPCAPINPYGETKLAGEWLVRATGRAHGLATACLRYFNVAGAAR----PELADTGVFNIIPMFFDRITRGEEPRIFGDDYPTPDGTCIRDYIHVADLADAHLAVARRLADGERPGDVNIGRGEGVSVRELASLVGEVTGVPLKPVVEPRRPGDAARAVASVELIARELGWSASYGVREMVESAWEWCLRHP-------- 257792804 MANKSVLVTGGAGFIGSHTCVELLDQGYHVVVVDDLSNSSELALDRVRFYEANILDRAALDRVFSENDVDAIIHFAGFKAVGESVQKPLEYYWNNFAGTLALCDVARAHGVKNLVFSSSATVYGEPEFIPITEDCPHDATNPYGWTKSMLEQVLTDYVGDDEWNVVLLRYFNPIGAHESGLIGEDPKGPNNLLPYVAQVAVGKLESVGVFGDDYPTHDGTGVRDYIHVVDLARGHVAALDWMGGKVGTGIFNLGTGTGSSVLDVVHSFERACGRELPYQIKPRRAGDVAVNYAACDKARDELGWVAQYDLDRMCADGWRWQSQNPDGYA---- 168050031 -KQRWILVTGGAGYIGAHTVLQLLVEGYSVLIIDNLDNSCEEAVHRVRRPRLVVCHEREMFSQLIIGRFEAVIHFAGLKAVGESVSKPLRYYSNNLVSTINLMEVMSKNNCKNLVFSSSATVYGQPESVPCTEDYPLHVTNPYGRTKLINEDIMRDHHADPEWKIVLLRYFNPVGSHPSGEIGEDPMYPNNLMPFVQQVAVGRKEVLTVFGQDYPTRDGTGIRDYIHVMDLASGHTKALDKLFTTPDIGCYNLGTGKGTTVLEMVAAFVKASGKEIPLKYAGRRPGDCREVYAATEKAEKELGWRAKFGIADMCRDQWNWAKKNPYGYRGHQE 255323439 ----KILITGGAGYIGSHVLKALLKQGYEITVVDNLCKGTTEKIGKFRFVKANLED--DLSGIFAEGKFDAIIHFAAFIEVFESTQDPLKYYLNNTANVAKILTYCKQYGVNKFIFSSTAAVYGEPEIGEVDEQTAANPINPYGRSKLMSEWIIKDYAASNNFKFAILRYFNVAGADEEGLIGQNYPNATHLIKVATQTALGKRESMGIFGSDYQTADGTCVRDYIHVSDLADAHLSALEYLSEHEKSEIFNVGYGRGFSVKEVIETAKKVSGVDFKVINSPRRQGDPARLIAKPEKIRNLTNWQPKRDLALIIKTALEWEKR---------- 303235936 --KQTILVTGGTGFIGSHTTVELQEAGYNVVIVDNLSNSKIEVLVRPAFEQANLEDKIATEKIFQKYNIEGIIHFAASKAVGESVEKPLMYYRNNVVSLINLLELMPKYNVKGIIFSSSCTVYGQPENLPVTEDAHQKATSPYGNTKEINEQIIYDYIHSANIKSIVLRYFNPIGAHPSAHIGELPNGPNNLIPYVTQTAMGIRQQLTIFGNDYNTEDGTCIRDYIYVVDLAKAHVAAMTRVLDKDKIEYFNIGTGRGNSTLEIITTFEKATGVKLNWKYGARREGDIEKIWGDCTKANKVLGWKANTPLEDVLASAWKWQQK---------- 229496841 MKG-TILVTGGTGYIGSHTTVELISAGYKVVVVDNLSNSRREVLKRPEFYAIDCCDQGALRQVFREHPIDAVIHFAASKAVGESVQKPLLYYRNNILSLITLLECMQEFATKALVFSSSCTVYGQPDVLPVSEDAPILPTSPYGNTKQINEEIIRDTISGADFRATLLRYFNPIGAHPSALIGEEPNGPQNLIPYLTQTAAGIREVLSVFGTDYNTPDGSCIRDYINVVDLAKAHIAALDRMLQAKEADMFNIGTGRGVSVIELIEKFETATGVKVPHRYVDRREGDIEAIWAEARKSNEVLGWHAEKSLEETLRSAWDWQ------------ 135978006 ----RILVTGGAGFIGSHICVNLLKKNYEIIVIDSLINSSDDINKKITFINCDIRDYKALNQIFMNSSIEGVIHLAGLKSVEDSLNSPIKYWDCNVHGTINLINVMNKNNCKLIVFSSSATIYGLSKNNKIDEHSFKEPKNPYGSTKFVVECFLNDLFKSNEWRIINLRYFNPIGAHPSGLIGENPIGPNNIFPALTQVASRKRKTLNIFGNDWPTKDGTCIRDFIHVMDLADGHISALEYLKNNEPGIDFNLGTGLGTSVLELVNTFQKVNNVEIPYMFLPRRKGDIARVIADNSLAIKELNWKPKRNLDDMCIDGWKWQIQNPCGFDNK-- 163740058 ----KILVTGGAGFIGSAVVRLAVARGHQVVNLDALTYAACLDNPNYAFEQVDIRDRAALDTVFARHRPDVVMHLAAESHVDRSIDGPGDFIETNITGTYQMLEAARKYWAFRFHHISTDEVYGADPSVMFTEETGYDPRSPYSASKAASDHLVRAWGETYGLPVVLTNCSNNYGP--------YHFPEKLIPVVILNALAGKPLP--------IYGDGSNVRDWLYVEDHADAL---LLVVSKGRVGRSYNIGGENERTNLELVETLCAILDYKDQITFVTDRPGHDARYAIDPSRIRDELGWRPSVTVEEGLARTVQWYLDNESW------ 57505484 ----KILITGGAGYIGSHTLRQFLNTKHEICVLDNLSKGSKKSIREFDFFEQDLNDFKGVKDLFKREKFDAVVHFAASIEVFESMQDPLKYYMNNTANTSNLIQTCLENNVNKFIFSSTAATYGEPKSPVVSETSPLEPINPYGRSKLMSEEVLRDASRKPEFKHCILRYFNVAGACMDFKLGQRYPKATLLIKVAAEVAAGKREKLYIFGDDYETKDGTCIRDFIHVDDISSAHLAALEY-LDNNESNVFNVGYGHGFSVKEVIEAMKEVSGVDFKVELAPRRAGDPSVLISNAEKIRKLTSWKPKYDLKLICKSSYEWEKQ---------- 194099851 ----TVLITGGTGFIGSHTAVSLVQSGYDAVILDNLCNSSAAVLPRIPFYQGDIRDCQILRQIFSEHEIESVIHFAGLKAVGESVAEPTKYYGNNVYGSLVLAEEMARAGVLKIVFSSSATVYGDAEKVPYTEDMRPDTANPYGASKAMVERMLTDIQKADPWSVILLRYFNPIGAHESGLIGEQPNGPNNLLPYICQVASGRLPQLSVFGGDYPTPDGTGMRDYIHVMDLAEGHIAAMKAKGGVAGVHLFNLGSGRAYSVLEIIRAFEAASGLHIPYRIQPRRAGDLACSYADPSHTKQQTGWETKRGLQQMMEDSWRWVSRNPGRYGD--- 242042924 ----HVLVTGGAGYIGSHAALRLLKDSFRVTIVDNLSRGNIGAIKRLQFIQADLGDPEAVNRIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITANTLVVLEAMATHNVKTLIYSSTCATYGEPEKMPITEGTPQFPINPYGKAKKMAEDIILDFSKRSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRCFDAALGIIPGLKVKGTDYETPDGTCVRDYIDVTDLVDAHVKALNK-AQRGRVGIYNVGTGKGRSVKEFVDACKKATGVDIKVDYFPRRPGDYAEVYSDPAKINKELNWTARTDLHESLRVAWTWQKAHRSGYE---- 182625101 ----NILLTGGIGYIGSHTVIELLDDNNSVVVIDNLINSKKEVKKHIKFYEGDLVNFEDIDKVFKNEKIDAVIHFASLKAVGESVEKPLEYYNNNIIGTLNLLTSMRNHNVKKFIFSSSATVYGESEIVPVHEGLQAGATNPYGRCKAMIEEILRDYISDNSWDIVLLRYFNPVGAHESGLIGELPNGPNNLMPYVSKVADGELDYVRVFGDDYNTPDGTGVRDYIHVVDLARGHVAAMKKLKDGSGISTYNLGTGQGYSVLDIIKAFSKASGKEIPYKIVDRRAGDIAISFADPSKAEKELGWKAEKNLEDMCKDFWKWQ------------ 126696762 --NKIILVTGGAGFIGSHTCLLLLESGYKVVVIDSFINSSLNSLERVKIVKGDLRDFECINNIFLKYKIDGVIHFAGLKNIKESISDPISYWENNVTGTINLLKAMHHNNCNSIIFSSTAALYGKSESKVFKETSIKSPINPYGETKLAIEKLLNDLYKPNSWKIANLRYFNPIGCHNSGQIGESPLNPTNIFPLIIKAASKEIKKISIFGNDWPTHDGTGIRDYIHVMDLAEGHIKAIEFLMNKGNLINLNLGRGVGVSVLELINTFTKVNNVNIEYEFAERREGDVPISIADNCLAKTLLNWCPKRDIEEMCIDGWKWKLLNPKGY----- 296815452 MASGSVLVTGGTGYIGSFTALALLEAGYKVVVVDNLYNSSEEALKRPEFVNLDVRDEAAFDKVFDAHDIDSVIHFAALKAVGESTERPLDYYDVNVHGSICLLRSMVRHNVYNIVFSSSATVYGDPNMIPIPEECPLGPTNPYGNTKVAIESAITDMIEAEKWNAALLRYFNPAGAHPSGIMGEDPQGPYNLLPLLAQVANGKREKLSVFGNDYASHDGTAIRDYIHILDLADGHLEALNYRANHPGVRAWNLGTGKGSTVLEMVKAFSDAVGRELPYEIAPRRDGDVLDLTSNPSRANKELGWKAKRDLHQACEDLWRWTDNNPQGYRQ--- 84684394 ----KILVTGGAGFIGSAVVRLAISRGQEVVNLDALTYASVADAPGYAFEQVDIRDRPALDRVLSDHRPDAIMHLAAESHVDRSIDGPATFIETNVMGTFNLLEAARSYWAFRFHHISTDEVFGLGPEGQFTEESPYDPRSPYSASKASSDHLVRAWHETYGLPVVLTNCSNNYGP--------YHFPEKLIPIVILKALAGEPIP--------IYGDGSNVRDWLYVEDHADALLLVLE---EGAIGRSYNIGGENERSNLDLVRTLCTILDRGDQITFVADRPGHDARYAIDPTRIRDELGWRPSVTVEEGLEKTVRWYLDNRDW------ 136837049 ----KIFVTGGAGFIGSAVVRLAIVQGHHVVNVDALTYANVASVVDHPFEQVDIRDRASLDDVFAKHQPDAVMHLAAESHVDRSIDGPADFIETNINGTFNMLEAARTYWTFRFHHISTDEVFGLGPTGMFTETTPYDPRNPYSASKASSDHLVRAWHETYGLPVVLTNCSNNYGP--------YHFPEKLIPLVILNALAGKPQP--------IYGDGGNIRDWLYVEDHADALLLVL---AKGAVGRSYNIGGKNERTNLELVKTLCSILDRADLITFVTDRPGHDARYAIDPSRIRDELGWRPSVTVEEGLEKTVQWYLDN--------- 205355874 ----KILISGGAGYIGSHTLRQFLKTDHEICVLDNLSKGSKQKIRAFKFFEQDLSDFQGVKALFEREKFDAIVHFAASIEVFESMQNPLKYYMNNTVNTTNLIETCLQTGVNKFIFSSTAATYGEPQTPVVSETSPLAPINPYGRSKLMSEEVLRDAMANPEFKHCILRYFNVAGACMDYTLGQRYPKATLLIKVAAECAAGKRDKLFIFGDDYDTKDGTCIRDFIHVDDISSAHLAALDY-LQENESNIFNVGYGHGFSVKEVIEAMKKVSGVDFKVELAPRRAGDPSVLISDASKIRNLTSWQPKYDLELICKSAFDWEKQ---------- 304316399 ----NILVTGGAGFIGSNIVDLLIDNGYDVIVVDNMSTGKKENIKKARFYNVDITD-NDLYKVFEDEKIDYVIHHAAQIDIQRSINDPVFDAKVNIIGTINLLECCRKFDVKKIVYASSAAVYGDPEYLGVDEKHRVNPISYYGISKHTPEHYIKVYNELYGLKYTILRYANVYGIRQD------PKGEGGVISIFIDKMLSGKNP-------VIFGDGNQTRDFIYVKDVAKANLLALE----NGDNEIINISTNKATTINELVNIMNKIMNASLKPIYAEPRKGDIVHSYLDNKKAKDVLGWKPDYELEDGLKETVEYYLKYAN------- 306817446 ----KVMVVGGAGYIGAHVVRLLETRGDEIVVVDDLSYGSPERIGGAELVKLDCADASRYPQLLAAMRVDAVIHFAARKQVGESVQKPAWYYQQNIGGLALVLQAMGEAGVGKMIFSSSAAVYGMPDTEIVPEDIEKRPINPYGETKLFGETMMAACQRAFGLRWIGLRYFNVAGAGAS----DLGDPAILNLIPMVFERIVAGENPLIFGDDYPTPDGTCVRDYVHVQDLAQAHLEALDYMSRAEEYHVFNVGTSTGYSVKEVVDVVAKVTGIDFTPEVCARRAGDPPRLIADSTRIRQIMGFEPRYDLEQIVRSAWEWQVK---------- 296117740 ----KLLVTGGAGYVGSVCAATLVEAGHEVTIIDNFSTGNREAVPQATLVEGDVANV--VGDVLGQGGFDGVVHFAARSLVGESVAMPAEYWQHNVVTTLTLLEAMRANDVSNLVFSSTAATYGEPEEVPITESMPTQPTNPYGASKLAIDYMITSYAKAYGFGATSLRYFNVAGA--YGEIGENREVETHLIPIILQVALGHRDKIFIFGDDWDTVDGTAVRDYIHVRDLADAHLLALEANV-SGEHRIFNLGSGDGYSVKQVIETCREVTGHPIPAEVAPRRAGDPATLIASSAKIKSQLGWNPRTDLHTIVSDAWAF------------- 219117381 ---KKVLVTGGAGFIGSHVAEYLLARGDDVVIIDEMNDYYDIRIKQANLEHGDICDEALMEQIFQDERPQWVCHMAARAGVRPSIQDPYVYIHSNIKGTTHLMELSAKFNVKNFVFASSSSVYGGSKSTFFSEEEVDNPVSPYAASKKACELLAYTYHHLYQLNISALRFFTVYGPR--------GRPDMAPFKFIDRVSRG--------VEIQQFGDGSSSRDYTYISDIVDGVVRAIDRPH---KYEVFNLGKGSGTSLKEFIDLVQKHTDRKAVIRVMPDQPGDVPYTCADVSKADRLLGYKSKISFEEGIRRTAHWYQK---------- 194337308 ----RILVIGGAGYIGSHVARAFLDRGYEVTVFDNLQSGLRENLFGDRFVYGDIMRPEQLRAVMA-EGFDGCVHLAALKAAGQSMLNPEAYAEANIAGTINILNQASEAGLSPIIFSSSAAVYGSPQYLPIDEVHPKEPENFYGFTKLEIERLLGWYDQLKGMRFAAIRYFNAAGYDVEGRVKGLELNPENLLPIVMEVAAGIRPKLSIYGTDYPTRDGSCIRDYVHVSDLAEAHVTAFEYIRTHDKSLTVNLGSQIGVSVLEMVERARAITGSAVPAEMAPRRPGDPAELVASSGKALELLGWVPKYSVDTLVSSTWKMYEKY--------- 223947801 ----HVLVTGGAGYIGSHATLRLLSDKYRVTIVDNLSRGNIGAVRRLQFIYADLGDAKAVNKIFSENAFDAVMHFAAVAYVGESTMEPLRYYHNITSNTLTVLEAMAAHNVNTLIYSSTCATYGEPDTMPIVETTPQNPINPYGKAKKMAEDIILDFKKQSNMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRCFDAALGIIPGLKVRGTDYSTADGTCVRDYIDVTDLVDAHVKALGK-AQPGKVGIYNVGTGQGRSVTEFVEACKKATGASIKVEYLARRPGDYAEVYSDPSKIHMELNWTAQYDLGQSLAQAWKWQKAHPNGYG---- 142157700 ----RLLITGGAGFIGSHTSVVLLEAGHDLVVLDDFSNSSPVALERVQSVRGDLRDPVVLERLFAGARIEAVIHFAGLKAVGESVAQPLRYWDVNVAGSRALVSVMDAHGCRTLVFSSSATLYGYPEAVPIPETAAVQPINPYGHTKAAVERMLLAASAPDAWRIACLRYFNPVGAHPSGRIGEDPNGPNNLFPFVSQVAIGRRQRLSVFGGDWPTPDGSGVRDYIHVMDLAEGHRAALDALLADPQLLTLNLGSGVGASVLEVVRAFEAASGRPVPYEVVARRPGDAAITVADPGLAAERLGWRTQRSLADMCRDGWAWQSANPQGY----- 310762344 MPDLHVLVTGGAGYIGTHTLVAMLAAGQRPLVLDDFSNGSREAVRRIPLVEGDIRSPGLVESVLAGAPVRAVLHLAGSKAVGESVADPLKYYDNNVGGSVALLRAMREAGVTRMVFSSSATVYGEPQYLPFTEAHPLAPTNPYGRTKLMVEEVLRDVCRADPFSAVTLRYFNPIGAHHSGQIGESPRDPNNLFPFITQVAVGRQPYLRVFGDDYDTADGTGVRDYLHVMDLAQGHVQALAYSESHPGFVAVNLGTGQGTSVLELVHAFERVNGCRIPVRAQARRPGDIERMWADPALAASLLGWRSTHGVDAMCADGWRWQQGNPQGYDQD-- 212691459 --KERILVTGGTGYIGSHTVVELQNSGYDVVIVDNLSNSSADVVIRPAFEKLDCLDFEGMDKLFTKYGIKGIIHFAASKAVGESVQKPLLYYRNNLVSLINLLELMPKHGVEGIIFSSSCTVYGQPDVLPVTEEAPIKAESPYGNTKQINEEIIRDTVASSPINAIMLRYFNPIGAHPTALIGELPNGPQNLLPYVTQTAMGIREKLSVFGDDYDTPDGSCIRDYIYVVDLAKAHVIAMDRILNKEKVEVFNIGTGKGVSVLELINTFEKATGVKLNYQIVGRRAGDIEKVWANPDFANKELGWKAEANLEDTLRSAWNWQLK---------- 50418541 MSKEYIIVTGGAGYIGSHTVIELIENGYEVIIIDNLCNSSYDSVARINFFDIDLRDHEKLTNVFKTFKIKGVIHFAALKAVGESTKIPLEYYDNNINGTINLLKVMKENEVKTIVFSSSATVYGDATRIPIPEHCPNDPTNPYGKTKYMIENIIKDHTSDKFWRAAILRYFNPIGAHPSGLIGEDPLGPNNLLPYLAQVAIGRREKLSIFGSDYDSHDGTPIRDYIHVVDLAKGHIAALNYNSNEGLFREWNLGTGRGSTVFDVYHAFCKAVGKELPYEVVGRRDGDVLDLTANATRANNELKWKTNFTIEDACKDLWKWTTENPFGF----- 159474190 ----HIVVTGGAGFIGSHAAMVLMQAGHAVTVLDNLSRGNAGALRRFRFLRLDLGERGALCGALQRARPDLVMHFAAVAYVGESMRDPLQYYKNVTVNTVNVLDCMAAAGIKQLVYSSTCAVYGNPESLPVTEATPPKPINPYGQSKLMAEEVIRWYARQPDFKSIILRYFNVYGSDPQGRLGEYPRPELRAQARCMDAALGLVPSLTVKGTKHPTKDGTCVRDYIHVMDLIAAHVAAMAHPANPPP--LYNIGTGRGVSVKEFVDACKKVTGRDIQVVYEEARPGDYAEVWSDVAKINNELGWRANYTIEEGLRHAWNWRLEHPDGY----- 301118530 ----RIFVTGAAGFIGFHTAKALLARGDDVVIVDEMNDYYDVKLKQSNVYIGDLCDEQLVRRVLKETKPDAMVHLAARAGVRPSIDNPLLYIQANVVATMLLLDACREFGIKKFVYASSSSVYGGSMKESFSEEDIVDPVSPYAATKKSCELLAHTYHHLYGMDTIGLRFFTVYGPR----------------GRPDMAPFKFMDRIARDVAIDQYGDGSSSRDYTFIDDIVQGVLLSLDR---GHGCEVFNLGRGTPVLLTDFIAIIEGLVGKTAKINILPDQPGDVPRTSADISKAERLLGYKPTTPLEQGLAKTWEWYSEFYNA------ 91214633 ----KILVTGGLGFIGSHTVVALQNKGYEVIVIDNLSNSSLKVIITPDFYKLDLRDKLDVNEFFKSHQDISVIHFAASKAVGESVKNPLLYYENNLAALTYLLQNIVKLPHQNIIFSSSCTVYGEADQLPISEDASIKSISPYGNTKQIGEEIILDTCKAFGLKAISLRYFNPIGAHPSAEIGELPLGPQNLVPYITQTAIGMRDHLSVFGDDYPTNDGTCIRDYIHVMDLAEAHVVALERLMSSKNFEIFNLGTGIGSSVLEVVNAFENASGEKLNYSIVDRRAGDVVAAYADTEKANKIMGWKAKRSLEQALKDAWAWEK----------- 135104853 ----KLLVTGGAGFIGSAVVRLAVARGHQVVNLDALTYANVAPVADSPFQQADIRDRAALDAAFATHQPDIVLHLAAESHVDRSIGGPADFIDTNITGTFNMLEAARKYWQFRFHHVSTDEVFGADPSVQFTEATAYDPRSPYSASKAASDHLVRAWFETYGLPVVLSNCSNNYGP--------YHFPEKLIPVVILNALAGRALP--------IYGDGSNIRDWLYVEDHADAL---LLVATKGALGRSYNIGGENERTNLQLVHTICAILDRADLITFVTDRPGHDARYAIDPTRIRAELGWRPSVTVEEGLERTVRWYLENEAW------ 238916117 -----ILVTGGAGFIGSHTVVELQNAGYDVVVVDNLVNSSRKSLKKATFYEADINDAAALNEIFEKESIDSVIHFAGLKAVGESVAKPLEYYMNNISGSLTLFDVMRNHGVKNIIFSSSATVYGDPAFVPITEECPKGEINPYGKTKGMLEEILTDIQKADPWNVILLRYFNPIGAHESGTIGENPNGPNNLMPYITQVAVGKLKELGVFGNDYDTHDGTGVRDYIHVVDLALGHVKAIEKLNDNPGIAIYNLGTGNGYSVLDIVKNFEAATGIHIPYVIKARRPGDIATCYCDAGKAERELHWKAERDLKTMCADSWRWQKNNPNGYDD--- 284992781 ----RVLVAGGAGYIGSVVTAALLEGGHEVTVLDDLSTGHADAVPSGRFVQASLHDSA---PVLADVRPEAVLHFAAKSLVGESQVKPEIYWDTNVSGTLALLEAMRAADCRRIVFSSTAATYGEPEQVPIREDAPTRPTNTYGATKLAVDAMLTSYAAAYDFAAVSLRYFNVAGAAYG--LGERHTTETHLIPIALQVVAGRREHLTIYGEDYPTEDGTCIRDYIHVEDLSDAHLLALTA-PSPGEHRIYNLGNGTGFSVQQVIDAVREVTGHPVPVEVGQRRAGDPAQLVASSDRIRADLGWTPKTDLAGIVRDAWE-------------- 256375600 ----KLLVTGGAGYVGSVTAARLVESGHEVVVLDDLSTGHADAVPEGEFVQADIDD--AIGDVLAG-GFDGIVHCAAKSLVGESMVDPAKYWQGNVVTSLKLLDAMRAHGTPRLVFSSTAATYGEPEQVPILETAPTRPTNTYGASKLAIDHAITSYAAAHGLAAVSLRYFNVAGA--YGRFGERHAVETHLIPLVLQVALGKRESIKVFGDDWPTDDGTCVRDYIHVLDLADAHMKALEH-ATAGEHRIYNLGNGLGFSVNQVIEACREVTGHAIPAVVDGRRAGDPAVLIASSERARTEMGWKPERDLSGIVRDAWEF------------- 194364273 ----RVLVTGGAGYLGSHVCAELLLGGHEVTVVDDFSNARPDVGERLNMITGDIRDRAILDRAFLQQRPEAVMHFAALKAVGESAVVPLAYYDVNVAGTINLLQCMQRNACHKLVFSSSATVYGNSSHCPINEGAPTSATSPYGRTKLFVEQIINDAKLADPLQAINLRYFNPVGAHESGLLGEEPSGPNNLMPYIAQVAAGLRSHVSVFGADYATTDGTGIRDYIHVCDLATAHIRTLEALPNLSGLNALNLGTGIPYSVLDVIRAFSEASGRPVPYEIVGRRPGDIGECWADPSLAECRLGWSAKLDLAKMCRDTWRWQQ----------- 307110256 MSAGNIFVTGGVGFIGSHTVLVLLEHDFRVVILDNLDNAYDRMVELAKFIQGDLRHMEDLEKVFEADKFECVIHFAGRKYVNESVENPLRYYDHNVLGTINLCKAMNKYGCKNIVFSSSCTVYGNPQYVPIDEAHPLKAVSPYGNTKLIIEDILRDVSASDPWRIILLRYFNPVGAHPSGKIGEHQVMLNNLMPWVQNVALGHRPVLNVYGTDYDTRDGTCVRDYIHVQDLGEGHVAAVKKVLGTPDCVPYNLGTGTGTTVLEMVHAFEEASGLKVPVNLTGRRPGDAKAVWAATETAERELGWRVKLTVKDMCRDQWAWASQNPAGY----- 269216559 ---QTILVTGGAGFIGSHTCVELLDAGFEVVVVDDLSNSSEVAIDRIHFIRATLLDAQAMRSVFERFPIDAVIHFAGFKAVGESVSKPLEYYGNNLGGTMELLRAMNEAGCRSIVFSSSATVYGGNPVPYEETMPKGSPSSPYGWTKWMIEQMIADLCASDGWSAVLLRYFNPVGAHPSGLIGEDPKGPNNLMPYITQVAIGKRDVLHVFGDDYPTPDGTCQRDYIHVVDLAQGHVEALRWMEGRHGIEAFNLGSGEGTSVLELVHAFSRACGHDIPYIVDGRRDGDLPAFWADPAKAKREMGWQTKLGIDRMCEDSWRWQSMNPDGFE---- 54025750 ----KLLVTGGAGYVGGVCALVLLEQGHEVVVVDDLTTGNADGVPAGRFVEGDVAEVAP--ALLRAETFDGVLHFAAQSLVGESVERPEKYWHGNVVKTLELLEAMRHTGTGRLVFSSTAAVYGEPEQVPITEDAPTRPTNPYGATKLAIDHAITSYAIAHGLAATSLRYFNVAGA--YGGLGENRVVETHLIPLVLQVALGHRESISVYGTDWPTPDGTAIRDYIHIRDLADAHLLAL-TSAEPGSHRIYNLGSGTGFSVREVISACERVTGLPIAAQDAPRRAGDPAVLIASSERAVAELGWRPQHTLDEIVADAWEF------------- 255264193 ----KILVTGGAGFIGSAVVRLAMARGHEVVNLDALTYASVAKMPGYAFVQADIRDYAQLEGVFNTHQPDVVMHLAAESHVDRSIDGPGAFIETNVMGTYNMLQATRAYWNFRFHHISTDEVFGLGETGMFTEDTPYDPRSPYSASKASSDHLVRAWHETYGLPVVLTNCSNNYGP--------FHFPEKLVPVVILNALAGKRIP--------VYGQGLNVRDWLYVEDHADALLLVLER---GALGRSYNIGGENEARNIDLVTTICATLDRGDLITYVTDRPGHDMRYAIDPRRIRNELGWRPSVTLEEGLEKTVLWYLENEDW------ 78186308 -----ILVIGGAGYIGSHVVRAFLDNGHEVTVFDNLQTGLRENLFRDAFIHGDIMNPLQLRAAMAS-GFDGCVHLAALKAAGQSMLEPEAYAESNLTGTINILAEASRAGIGRIIFSSSAAVYGSPAYLPIDEEHPKNPENFYGFTKLEIERLLEWFDRLKGMRYAAIRYFNAAGYDTDGRIGGLELQPENLLPIVMEVAAGMRPELSIYGNDYPTRDGSCIRDYVHVSDLADAHVSAFDYIGRHERSLSVNLGSERGVTVQEMIERARAITGRPIPAVIAGRRAGDPAELVASSVKARELLGWVPRFSVDTLISSTWKMYER---------- 304320806 ------LVTGGAGFIGSHMVLALLDRGEKVVVVDDLSTGSRQLVIAAPLVRATIADIGAMRQVIRDYGVTDVIHFAGSISVPESIRDPLDYYANNTVGTQRLAGICREMGVARLIFSSTAAVYAADRGAPVAETAATDPASPYGRSKLMAEDILRDSASAYGLSVAVLRYFNVAGADPHARAGQINPNGTHLLKVALDAALGRRGSVSIYGTDYPTADGTGVRDYIHVSDLIEAHLLMLDHLRRHDGVHLYNCGYGQGVTVRQLIEAVEMVVGRPVPKIDAPRREGDLAQVVADASRLVADLGWRPRYDVEHIVAHALQWEMAQERA------ 159126701 MSAGSVLVTGGTGYIGSFTTLALLEAGYKVVVTDNLYNSSVEALKKAEFVQLDVTDEAGFDKVFEAHDIDSVIHFAALKAVGESGERPLDYYMVNVYGTLCLLRSMVRHNVTNIVFSSSATVYGDPNMIPIPEECPLGPTNPYGNTKFAVETAITDADEAEKWNAALLRYFNPAGAHPSGIMGEDPQGPYNLLPLLAQVATGKREKLLVFGDDYASHDGTAIRDYIHILDLADGHLKALNYRANNPGVRAWNLGTGKGSTVYEMIRAFSAAIGRDLPYEVAPRRAGDVLNLTSNPTRANKELGWKAQRTLEQACEDLWRWTKNNPQGYRQQ-- 224373686 ----NILVTGGAGYIGSHVVKLLLENTYSVTVIDSLVTGFEKKIRNFDFIKADLSKWNEIEKIFKANKFDAIIHFAASLIVPESVEKPLKYYLNNTANTANLVKLANEYGVNKFIFSSTAAVYGEPDGSGIKEDFPANPINPYGQSKLFSEKIIQDTAKANSFKYVIFRYFNVAGAAPDLSIGQKTKNATHLIKVASECAVGKRDGMYIFGTDYPTPDGTCIRDYIHVMDLADAHIKAVDY-LDENESDVFNIGYGKGASVKEVIDTVKKVSGVDFKVETAPRRAGDPAMLIADSSKIKEKMKWQPKYDLETICKTAYEWEKK---------- 297826957 ----HVLVTGGAGYIGSHTALRLLKDSYRVTIVDNLSRGNLGAIKRLQFIYADLGDAKAVNKIFSENAFDAVMHFAAVAYVGESTQFPLKYYHNITSNTLVVLETMAAYGVKTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDIILDFSKNSNMAVMIRRYFNVIGSDPEGRLGEAPRPELREHGRCFDAARGIIPGLQIKGTDYKTVDGTCVRDYIDVTDLDDAHVKALEKAKPR-KVGIFNVGTGKGSTVKEFVEACKKATGVDIKVDYLERRAGDYAEVYSDPSKIREELNWTAKHTLQESLKTAWRWQKLHHNGYGS--- 140407271 --KMKILVTGGAGYIGSHITRQAQRQSHDVCVLDDFSTGNEWAVKDCETLRVNLLDKDLLKKCLRGRNFDGVIHLAAKSLVGESIINPYAYYENNIVGSLNLIHEMLQNDIHRIVFSSTAAIFGNPNTNIIREDHPKNPINPYGRTKLVVENFLNDLSISQKLSAVCLRYFNAAGADPDGELGEAHDPETHLIPTILNSYDRAKQPFRVFGNDYPTPDGTCIRDYVHVNDLADAHLLALQKIQNTDGFSEYNLGSSVKNSVMEVIKSCESVTGRPLIYEIDEHRSGDPAVLVADNTKALTELGWQ---------------------------- 86134344 ---KKILVTGGLGFIGSHTVVELQNEGFEVVIIDDLSNTTLSVLVKPTFHKADVRIKSDIKAVFDAHDIDGVIHFAAFKAVGESIAKPLDYYENNLSSLIYMLQELKDRKLDNFIFSSSATVYGEPNHLPITENAPKKAESVYGNTKKIGEEIIRDSSKAYGINAIALRYFNPIGAHESAKIGELPLGPQNLIPFVTQTAAGIREQLSVFGDDYPTEDGTAIRDYIHVVDLAKAHIAALKRKNNKESFEFFNIGTGKGTSVLEVINTFEKVNDLKLNYKIVGRRDGDVMSCYADTTIANKELNWKTEIGLDEALRSAWKWQQK---------- 145295826 ----KLLVTGGAGYVGSVAAAVLLEHGHDVTIIDNFSTGNREAVPADARIEGDVSDI--VEEVLSEGGFEGVVHFAARSLVGESVEKPNEYWHDNVVTALTLLDAMRAHGVNNLVFSSTAATYGEPDVVPITEDMPTQPTNAYGATKLSIDYAITSYAAAFGLAATSLRYFNVAGA--YGNIGENREVETHLIPLVLQVATGHREKIFMFGDDWPTPDGTAVRDYIHILDLAKAHVLALESN-EAGKHRIFNLGSGDGYSVKQVVEMCREVTGHPIPAEVAPRRAGDPATLIASSEKAKQELGWTPETDLRTIVEDAWAF------------- 301118532 -ARRRIFVTGAAGFIGFHTAKALLARGDDVVIVDKLKQSNLDWLTTNYGDRVDLCDERLVRRVLKETKPDAMVHLAARAGVRPSIDNPLLYIQANVVATMLLLDACREFGIKKLVYASSSSVYGGSMKESFSEEDIVDPVSPYAATKKSCELLAHTYHHLYGMDTIGLRFFTVYGPR----------------GRPDMAPFKFMDRIARDVAIDQYGDGSSSRDYTFIDDIVQGVLLSLDR---GHGCEVFNLGRGTPVLLTDFIAIIEGLVGKTAKINILPDQPGDVPRTSADISKAERLLGYKPTTPLEQGLAKTWEWYSEFYNA------ 143726550 ----NILVTGGAGYIGSHIIELLIKNKIKVIIYDNLSTGYKRLIKKAKFIKGDIRNLKKLSRVIIKYKINSVIHLAAFLNITESEKNKKKYYRNNIGGTLNLVKACRNSMVKNIIFSSSCSVYGNV-NGSVSEKKKLNPKNYYAFTKYKSEKILKKYSKIYNYKYGILRYFNVAGASASGKIGEIEKSHGHLFKNLAVESLKSKPKIYIYGNNYNTKDRTCVRDYIHVSDLAEIHIKTLAKINDTNKSLIFNCGYGKGYSVKEIVNLFLSI-KKNTKIIYTHRRPGDVDQVFANTEKIKKILKWKPKFNIRNILLSSIRWEKK---------- 14591500 MKNKLIVITGGAGFIGSHLAEALKDEN-DVIIIDNLYSGRIENIPEGKFIRADVRDYESIAEVISEA--DYVFHEAAQISVKESIEDPVFTEEVNVIGTINVLRA-LSQGDGKLIFASSAAVYGEPKELPITEDTLTNPISPYGITKLAAEHYCRVYQSLYGIPVVILRYFNVYGPRQSSAYAG--------VISIFLERAIKGEPLIIFGDGKQT------RDFIYVKDVVEAN--ILVAKKRSANGRIFNVATGKETTILELAMKIIDMTSSSSSILFYPPRPGDIRRSVAKIERIKK-LGFKPRYSLEEGLKETFKWF------------ 255009550 --KERILVTGGTGYIGSHTVVELQNSGYEVIIIDNLSNSNADVVIRPVFEKLDCLDFNGLDAVFNKYKIKAIIHFAASKAVGESVEKPLLYYRNNLVSLINLLELMPKHGVEGIVFSSSCTVYGEPDELPVTENAPIKATSPYGNTKQINEEIVRDVTSGAPINAILLRYFNPIGAHPTALLGELPNGPQNLVPYLTQTAIGIREKLSVFGDDYDTPDGSCIRDFINVVDLAKAHVIAIARILEKDKVETFNIGTGRGVSVLELINGFEKATGVKLNYQIVGRRAGDIEKVWANPDYANNELGWKAQETLEDTLRSAWAWQLK---------- 254384395 ----RYLVTGGAGYIGSVVVARLLEAGHRVTVLDDLSTGAPGAVPAGEFVRGGLGQAAAL----LSADYAAVLHFAASSQVAESVRDPDKYWRNNVTGSLELMAAMRNADVRTLVFSSTAAVYGEPERLPIAEDARTAPTSPYGATKLAVDHLISGEAAAHGLAAVSLRYFNVAGAHAGH--GERHEPESHLIPLILQVALGRRPHIDVHGDDYPTRDGTCVRDYIHVADLAEAHLLALEA-ARPGEHLICNLGNGEGFTVREVIDSVRRVTGHAIPEIVRPRRPGDPAVLVASAARARERLGWRPRRGLDSIVADAWAFARE---------- 294155529 ----KILVCGGAGYIGSHTVWALYEKGYEIVVFDNLSTGNKESIPSKIEVIGDITNKNDLDNLFKKHKFDCIMDFAAKIVVPESVSKPLEYFYNNTEGVRLLIEQMKLHKIKNFVFSSTAAVYGKIDGGICNEDTILKPINPYGESKLSAEKIIQWCSHSYDFNYAILRYFNVAGADQKLRTGLKGNKLTHIIPIMTNSMLSQEM-FNIFGNDYNTPDGTCIRDYVHVSDVAEAHVLALEYLSKQNKSLIVNLGSNSGFSVKEVID--EGLKFKNFNFKYSPRRDGDPDMLIASNSKIKSLLKWSPKKTLKEMIET----------------- 150024509 ----KILVTGGLGFIGSHTVVELQNEGFEVVIIDNLSNSSEEVLKGIVYEKLDLREKKSVQDFFKKHDINGVIHFAASKAVGESVENPLLYYENNINTLVYILQELQQKGNANFIFSSSCTVYGQAKKMPITEKAPIQPISPYGNTKQIGEEIITHVCAISNINAILLRYFNPIGAHSSAEIGELPIGPQNLVPYITQTAFGLRKELSIYGNNYPTPDGTCIRDYIHVVDLAKAHVLALQRLINKNNIETYNLGTGTGTSVLEVVHAFEKISGKKMPYTIVNRREGDVVSAYADTKKANVVLGWKAQFTLEEALSSAWKWEQK---------- 297531515 ----TILVTGAAGFIGMHFSKRLLEEGYEVVGIDNLNDYYDPKLKEDRFVQLDLADREGLFALFRNYAFTHVVHLAAQAGVRYSLQNPYAYVDSNIVGFVNILEACRHNPVNHLIYASSSSVYGANAKMPFTSDNVDHPVSLYAATKKANELMAHTYSHLYGIPTTGLRFFTVYGP----------WGRPDMAYFSFTKNILEGKPIKVFNHGNMKRDFTYIDDIVEGMARLQWDRFHPDPSSSYAPYKIYNIGHNQPVKLLDFIQTLESLLGVEAKKEFLPMQPGDVEATYADIDDLHQAVGFQPSTSIQDGLKRFVDWYKAYYQ------- 46200739 MADPRVLIAGGAGYIGSHVALALADAGRPVVILDDLSTGRQALVPEGVFVRGDMGDRALVRRLLAEHGCSGVMMFAGSIIVSESFREPLPYWRNNAGSGLAFVETCVEAGIRHFVYSSTAAVYGTPDTLPISESAALRPISPYGRSKLAVEWALSDICETAPTRFAALRYFNVAGADHRGRSGQVSPVATHLIKIAVEAALGRREGMAIYGDDYPTADGTCIRDYIHVTDLAEAHVLALAHLERGGESLIANCGYGHGFSVREVIDVVRRVSGRDFPVHSSERRRGDPPALVADSGLLKAKLGWVARRDLELMVRSALEWEAK---------- 297563819 ----RLLVTGGAGYVGSVVTALLLRAGHAVTVLDDLSTGHADAVPEGA--RLVVADVREAADVLDP-SVDAVLHFAAKSLVGESVADPGKYWHTNVSGTLALLEAVRRHGVGRVVFSSSAAVYGDPGDAPIRETDPAVPTSPYGASKLAVDHMLESWAAAHGVGAVSLRYFNVAGAHLG--FGERHETETHLVPNLLRVAAEGDGHASVFGTDYPTRDGTAVRDYLHVADLADAHLRALE-SVRPGVFRVHNLGTGGGHSVREVIEAVRRVTGRPVPVVDGPRRAGDPAVLVAANDRARQELGWTPGRTLEETVADAWEF------------- 289423149 -----ILLAGGAGYIGSHTAVELINRGYDVIIADNLSNSYELVIKRPKFYKVDTRN-EDFERVFKENNIDILVDFAAYKAVGDSVKNPIKYYDNNLFSLINTLKLMDKYDVKKIVFSSSATVYGVVEELPVKEDYPRTSVNPYGSTKVMGEQILEDYNADDSYSIAILRYFNPIGAHESGLIGEESTGPANIMPYMTKVALGELPYLNVFGDDYDTKDGTGVRDYIHVLDLASGHVNAIEKLLEGGFGQHINLGTGKGYSVYELIEAFSKASGIDIAYKVCPRRPGDAAVSYADSTKASKVLGWTAKYDIYDMCRDSWNWQKQNPNGYE---- 260428689 ----RILVTGGAGFIGSAVVRRAVADGHEVINLDALTYANVAPVADAPFERADIRDREALDRIFATHRPEAVLHLAAESHVDRSIDGPGAFIDTNVTGTYTFWEAQGKPESFRFHHVSTDEVFGTGAPERFTEDTPYAPNSPYSASKAASDHLVRAWHETYGLPVVLTNCSNNYGP--------YHFPEKLIPVVILNALAGKPIP--------IYGKGENVRDWLYVEDHA---VALLTVLTTGKVGRSYNIGGDAERSNLDLVRTICAVLDRAGLITFVTDRPGHDLRYAIDPTRIRDELGWRPSVTLEQGLERTVQWYLDNRDW------ 307265779 ----KVLVAGGAGFIGSHIGDLLIENGYEIVIVDNLSTGKEKFIKKAIFYKKDITD-DDLYEIFRKEKPDYVIHQAAQIDVQKSIDNSVFDAKVNVLGTVNILECCRKSGVKKIIYASSAAVYGNPEYLPIDEGHKINPISSYGISKHTAEHYFEVYSQLYDLKYTILRYANVYGIRQD------PKGEGGVISIFTDKMLKGERP-------VIFGDGNQTRDFVYVKDVAKANLLALER----GDNEVVNVSTNKPTSINELVDMMNKIMNTSLEPIYTEPRKGDIMHSYLDNKKALDVLGWKPEYSLEDGLRETIEYYR----------- 154148706 ----NILVTGGAGYIGSHVVKALLEENHEITIIDNFVKGSERKISEFELIQTDLENISKIEEVFATHKFDAVIHFAAYIEVFESTQKPLKYYLNNTANAMNLIALCEKYGVGKFIFSSTAATYGEPETSQVTEQSLQNPINPYGKSKLMTEWVLKAALANPNFKYAILRYFNVAGASSDGLLGQNYPNATHLIKVATQTILGKREKMVIFGDDYDTKDGSCIRDYIHIEDLASAHLSVLRYLQNN-DSNIFNVGYGTGFSVKEVVAAAKEVSGVDFKVEIGARREGDPACLIANSDKLKTLTDWKPKNDLKLIIKSALEWEKK---------- 219116725 ---KKVLVTGGAGFIGSHVADVLLERGDDVVIIDEMNDYYSLDIKQSKIYFGDVCDEELVTNIFETEHPTWVCHMAARAGVRPSIQDPYVYIHSNIKGTTRLMELSAKYGVQNFVFASSSSVYGGSKSTFFSEDEVDNPVSPYAASKKACELLAYTYHHLYNLNTTGLRFFTVYGPR--------GRPDMAPFKFIDRVSRG--------VEIQQFGDGSSSRDYTYISDIVDGVVRAIDRPYR---YQIFNLGKGSGTSLREFIDLVQKHVGQKAKIKILPDQPGDVPYTCADVSKAARLLGYESEVSFEDGIRLTAEWYKD---------- 90399327 ----HVLVTGGAGYIGSHATLRLLRDNYRVTIVDNLSRGNMGAVRRLQFIYADLGDAKAVSHLCVENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLTVLEAMAAHNVKTLIYSSTCATYGEPDTMPITEATPQNPINPYGKAKKMAEDIILDFSKRSEMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRCFDAALGIIPGLKVRGTDYPTADGTCIRDYIDVTDLVDAHVKALDK-AQPGKVGIYNVGTGHGRSVKEFVEACKSATGASIKVSFLTRRPGDYAEVYSDPSKIHDELNWTARYDLRESLSTAWKWQKAHPNGYGS--- 194335038 ----KILVIGGAGYIGSHVARAFLDSGYSVTVFDNLATGARENLFEAQFIHGDIMQPDHLRKAMA-HGFDACVHLAALKAAGQSMTDPGSYATANICGTVNILNALVEAGIQTLIFSSSAAVFGSPSFLPIDEDHPKNPENFYGFTKLEIERLLAWYDRLKGLKYGAIRYFNAAGYDVAGRITGREQNPENLLPVVMEVAAGIRPRLSIFGDDYPTRDGSCIRDYVHVNDLARAHVLAFEYILKQKRSLELNLGSERGVTVLEMIKKAREITGQPIAADIAPRRPGDPAELVASSAKALRLIGWKAEHSVDTLISSTWSVYKK---------- 291241613 -----ILVTGGVGYIGSHIVVELVNAGYTPVIIDIFANARMEKIKQLIYHDIDILNKSALDDLFAKYSFYAVIHLAGLKAMRESINMPIEFYKVNVFGTLNIVECMKEHGVNNLMFSSSGSVYGTPQYLPIDEKHAVGGLTPYGKSKYFIEEILRDVSKEKNWNIILFRYFNPVGSHKSGLIGEDPR-ATNLMSYVAQVAIGRKPHLSVFGGDYDTHDGTGVRDYVHVVDLALGHIAALKQFTTKGGLKIYNLGSGKECSVLDMVRGMEKASGKKIPYKIVDRKDGDVGSSYSDATLIQTELGWKPEKNYEEMFEDLWRWQKNNPEGYE---- 293393871 MALSRILVTGGAGFIGSAVVRHIIDATADVVVVDKLTYGNLESLERYAFEQVDICDRAALDRVFAQYQPDAVMHLAAESHVDRSIDGPAAFIETNVVGTYTLLEAARHYWQFRFHHISTDEVYGDGTDDLFTETTPYAPSSPYSASKASSDHLVRAWLRTYGLPTLITNCSNNYGP--------YHFPEKLIPLVILNAISGKPLP--------VYGDGAQVRDWLYVEDHARALYLVA---TTGKVGETYNIGGHNERKNIDVVQTICDLLEYRDLITYVKDRPGHDMRYAIDAGKIERELGWRPQETFESGIRKTVAWYLANETW------ 256390665 ----RLLVIGGAGYVGSVTSAVLVEAGHEVTVVDDLSTGHRDAVPAGAFRHADVLDQDVLREVAAGGPIDAILHFAARSLVGESVVEPARYFRHNITTTANLLEAMRDHGIGTIVFSSTAACYGEPDATPITEDMPTVPTNPYGASKLAADQMLTFAAPAYGIAAVSLRYFNVAGA--YGPQGERHEVETHLIPRLLDVAAGNAPEASVFGTDYPTPDGTAIRDYIHVRDLAEAHLLALGA-AKQGEHLICNLGTGTGFSVYEVLEAVRKATGAAVPAAEKPRRAGDPARLVASAERARTVLGWSPERTLSETVADAWAFYRR---------- 237734177 ----NVLVCGGTGYIGSHICVELLNAGYEVTVIDDFSNSRPEVLKEVKFYEFNILDEEKTEAVFKENKLDAVIHCAAFKAVGESVEKPIEYYTNNLTTTLIVSKMMKKYHVNQIVFSSSATVYGDPETVPITEDCKLETTNPYGTSKAMMERILTDVQHCPEMSVTLLRYFNPIGAHESGLIGEDPKGPNNLMPYIMKVATGELECLGVFGDDYDTHDGTGVRDYIHVVDLAKGHVKAIEHYANPGVHIC-NLGTGTGYSVLDLVKAFERVNNVKVKYVIKDRRPGDIATCYANPARAKEELDWVATKGIDEMCRDTWNYALKH--------- 294932451 -TKARVLCTGGMGYIGSHTIVQLLKAGYDCAILDNLSNSNPIVLERVPFFKADCCDAEAMEKLFSTEHFDCVIHFAGFKAVGESVEKPLMYYYDNITGTINLMQAMVNNNCKRVVFSSSATVY-QPQERPLVETDALGPINPYGQTKLMTEQIMEDVCADKEMKVELLRYFNPVGAHPSGLIGESPNGPNNLMPYVQQVAVGRRPCVNVFGNDYDTPDGTGVRDYIHVMDLADAHVKAVTYRDDIHGTHIHNLGTGRGASVLEMIHGLEEASGKKIPYKVVARRAGDLGSVICNPALAEKELGWVATRDMKTVMEDSWRWQSENPYGYDKE-- 198421898 ---KTILVAGGAGYIGSHTVVELLNAGYRVVVIDNLSNAQQNPEDDDHFVKENICDIEKLNVVFDTYNIDAVFDFSGRKAVGESTVLPMLYYKYNINGTMNLLKCMRQHEVRDFLFSSSCTVYGAPDQESLPEDSSGCCHCPYAKCKYFIECLLLAKGEPDYWRIMVMRYFNPVGAHESGLMGEDPKGDPLNLPKLAQVATGKRAILDVYGEDYDTKDGSPIRDYVHVMDVAEAHVKAVKHFQSTSGIEIYNIGSGRGKSTWEMVKAFEAACGKPIQFRVCQRRPGDVPAIYCDPSKAERQLGWKAERSLQEMCEDLWRFYVLNPNGYEE--- 257457032 ----RVLVIGGAGYIGSHVVKAMLEAGHSVTVFDNLSTGQLCNIFPGEFIAGDTRHSDDIDAAFSRGFDGAVY-LAAFKAVGESMVNPEKYSVNNISATMNILNAAVKHGCLRFVFSSSAAVYGSPEYLPIDEKHPKNPESYYGFTKLKTEEFLQWYDRLKGLKFASLRYFNAAGYDPSGAVKGLERNPQNLLPIIMEVAAGMRKELQIFGNDYPTRDGTCIRDYVHVSDLAKAHTDALQYIDKTDSSLIVNLGSEVGITVSEMLTAARSITGKEIPARFVGRRPGDPAELYATSQHARETIGWDPQYSIKTLIESTWNMYKN---------- 257461453 ----KILITGGAGYIGSHVAKALLEANHDVVILDNLCKGSMRAIEEFEFVQESLENTPAIEKLFKREKFDAIIHFAAFIEVFESTQNPLKYYLNNTANAMNLIALANKYGVKDFIFSSTAATYGEPDIPQVSEDTPQNPINPYGRSKLMVEWVLKDAAAANNFKYGILRYFNVAGAASDGTIGQNYPNATHLIKVATQTIVGKRDKMSIFGDDYDTKDGTCIRDYIHVEDLASAHLAVLDY-LQTNDSAVFNVGYGTGFSVKEVVNEAKKVSGVDFKVQIAPRRAGDPACLISNADKIRTKTSWQPEHSLDEIILSALNWEKK---------- 227488739 ----KLVVTGGAGYVGSVCTAVLIEAGHDVTIVDNFSTGNRDAVHPDAHVEGDVRDV--IDDVLSADSYDGVLHFAARSLVGESVEKPAEYWNHNMVTTLTLLDAMVAHDVSSLVFSSTAATYGEPETVPITEDFPTQPTNPYGATKLAIDYAISSYCTAYGLAATSLRYFNVAGA--YGSIGENREIETHLIPLILQVPLGARKDIKIFGDNWPTKDGTCVRDYIHIRDLADAHVLAL-TSNQPSTHRIYNLGSGDGYSVKEVIEACREVTGHPIPAEVAPRRAGDPAVLVASSEKIKQELGWNPRTTLHNIVQDAWEFTKN---------- 86742231 ----TVLVTGATGFIGSHTWVDLLAAGHRVVGVDNFVNSSPRVLDRIDFVRLDVRDRAALGDVFRRWKIDSVIHFAALKAVGESVDIPLEYYDTNVNATLGLVRVMAEHGVRRLVFSSSCAIYGAADKVPIAEDTPARPTNPYARTKWMCEQILADLCRDPSWHVTSLRYFNPAGAHESGLLGEDPRGPNNVMPYLAQVAVARRPELSIFGDDYPTPDGTGVRDYIHVVDLAEGHRLALDHLDDQAGHRVINLGTGAGTSVRELLAAFSAACARDLPSRVVARRPGDVAALVADAALARTALGWSARRDVADMCRDAWEFQRLNPGGYDDEEE 134911565 ----KILVTGGAGFIGSAVVRLALKRGHSIVNVDALTYASFDKSSNYYFEHADIRNCDALDAIFENHQPDVVMHLAAESHVDRSIDAPADFIETNVNGTFNMLEAARSYWTFRFHHISTDEVFGSLPNVQFTEQTPYDPRSPYSASKASSDHLVRSWYETYGLPVVLTNSSNNYGP--------YHFPEKLVPVIILNALAAKPLP--------IYGNGSNIRDWLYVEDHAEALLLVLEKGTN---GRSYNISGENERTNLELVQTLCKVLDRKELIKFVQDRPGHDARYAIDPSRIRDELGWRPSVTIEEGLEKTVQWYLDNEDWWK---- 144174200 ----KVLVTGGLGFIGSHTTVELQNAGHEAVIVDDLSNSRLDVLEGPAFIQGDLKDPAVVARIFAEHDLAGVIHFAAFKAVGESTEKPLEYYANNLGSLLNLLTVLREQQHPNLIFSSSCTVYGEAKELPIAEDSPVVPISPYGNTKQVGEEIIQDAVKAHPLKAISLRYFNPIGAHPSAEIGELPLGPLNLVPYITQTAAGVREQLAVFGNDYPTRDGTNIRDYIHVVDIAKAHVSALERLLQSTEYEFYNLGTGEGSTVLEVIEAFEKISGQKLNYRIAARRPGDVVAAYADTTKANRYLGWKAEKTLEDAMADAWRWEKK---------- 136674013 ----KVLVTGGAGFIGSAVIRLAIARGHSVVNADALTYANVASVTGHPFEQVDIRDRAGLDAVFSRHSPDTVMHLAAESHVDRSIDGPADFIETNINGTLNMLEAARSYWIFRFHHVSTDEVFGSNQTVFFTEDTPYDPRSPYSASKASSDHLVRAWHETYGLPIVLTNCSNNYGP--------YHFPEKLIPVVILNALAGKPLP--------IYGNGGNIRDWLYVEDHADALLLVL---KEGEVGRSYNIGGENERTNLELVQTLCATLDRLQPIAFVADRPGHDARYAIDPTRIRDELGWRPSVTIDEGLEKTVSWYLDNENW------ 150377116 -----ILVTGGAGYIGSHMVWCLLDAHEDVVVLDRLSTGFRWAVPEAKFYEGDIGDSELLNRIFASHDIEAIIHFAGSVVVPESVADPLTYYDNNTVKSRALIASAVKAKIKYFVFSSTAAVYGTPDNGPVNEAAPLRPESPYGSSKLMTEIMLKDAAFAHDITYTVLRYFNVAGADVHGRTGQSTAGATHLIKVACEAALGKRNGIDVYGADYPTPDGTCIRDFIHVTDLVNAHLRALERMRAGGSSIVANCGYGRGFSVLDVLHQVKQASGVDFPVRIVERRPGDAVSVVADPMRITRELAWEPCHDLNFIVRTSLDWESRLSR------- 300897476 -----ILVTGGAGYIGSHAILTLLQNGYDVISLDNYCNSSPKCLSKIKKLRGDIRDRHILKNIFSKYNVSTVIHFAGLKSVNESIKKPLEYYDTNLLGTLILLDEMQKANISNLIFSSSATVYGNPHYVPISEKHPVEVINPYGRSKFMVEHILRDFCNANDANITILRYFNPVGAHETGLIGEDPLGPNNLFPYVAQVAIGKLPYLNVFGNKYMTKDGTGVRDYIHVVDLVEGHLAALKNLNRNRGLKVFNLGTGQGYSVLDIIDAFKLETGRDIPFRIVSERNGDVAECWSDPSLANEQLSWVAKRTLNNMVEDAWRWQTMNPNGYKE--- 89068414 ----KILVTGGAGFIGSAVVRLSVSRGHQVVNLDALTYASVADSPLYAFEQADIRDRAALDQVFAKHAPDAVMHLAAESHVDRSIDGPGDFVETNITGTYNMLEAARAWWVFRFHHVSTDEVFGADPEMKFTEETPYDPRSPYSASKAASDHLVRAWHETYELPVVLTNCSNNYGP--------YHFPEKLVPVVILNALAGKELP--------IYGRGDNIRDWLYVEDHADALLTVLER---GALGRSYNIGGENERTNLELVHTLCAILNYADQIAFVADRPGHDGRYAIEPSRIRDELGWRPSVTLEEGLRRTVQWYLDNETW------ 57640939 IKNKLVVVTGGAGFIGSHIAWELIKDN-DVVIIDNLYTGKEENVPPGAKVKADIRDYEAIAELISNA--DYVFHEAAQVSVVESIRDPVFTEEVNVLGTLNIIKALLEGH-GKLIFASSAAVYGDNPNLPLKETERPRPLSPYGVTKATAEEYLRVFHELYGLPVVSLRYFNVFGPRQSTN-------QYAGVISIFINRALKGEPLVIFGDGKQT------RDFIYVKDVVKANLLVAE--SRKANGRVFNVATGRETTILELAMKIIEITGTTSSVVFDKPRPGDIRHSRADISEIRK-LGFEPEWSLEEGLKKTVEWYAKN--------- 255730791 -----ILVTGGAGYIGSHTVIELINHGFKVVIVDNLSNSSYDAVQHVPFYNVDIRNTAELNKVFQEYKFSGVIHFAALKAVGESTEIPLDYYDNNVSGTINLLEVCKSNNVKTIVFSSSATVYGDNSMIPIPEHCPMDPTNPYGRTKFIIESILKDYSSDNLWKVAILRYFNPMGAHPSGLLGEDPLGPNNLLPYLAQVASGRREKLSVFGDDYNSHDGTPIRDYIHVVDLAKGHIAALNYLKNKGLYREWNLGTGKGSTVFDVYHAFCKAVGRELPYEIVGRRAGDVLDLTAKPDRANKELEWKAELNIDDACKDLWKWTTENPYGFKIEN- 239981702 MSVGKYLVTGGAGYVGSVVAQHLLEAGHQVVVLDDLSTGFREGVPAGEFIEGRIQDAA--RWLDPTY--DGVLHFAAFSQVGESVVDPEKYWVNNVGGTTALLAAMREAGVRRLVFSSTAATYGEPVSVPLTETDPTAPTSPYGASKLAVDHMISGECHAHGLAAASLRYFNVAGA--YGGCGERHDPESHLIPLVLQVAQGRREAISVYGEDYPTPDGTCVRDYIHVADLAEAHLLALDA-VTPGDHLVCNLGNGNGFSVREVIETVRKVTGHPVPEVAAARRAGDPAVLVASADAARTRLGWTPTRPLDRIVQDAWDF------------- 85707417 ----RLLVTGGAGFIGSAVVRHAVARGYEVVNLDALTYASVAQSPRYSFVHADIRDRAALDRVFAEHAPEAVLHLAAESHVDRSIDGPGAFVETNITGTYNLLEAARGHWQFRFQHISTDEVYGSLPADPFTENTPYDPRSPYSASKAASDHLVRAWHETYGLPVLITNCSNNYGP--------FHFPEKLIPVIILKALAGKPLP--------IYGDGRHVRDWLYVEDHAEALLKVLEQGV---PGRSYNIGGENERSNLDLVRTLCTILDALCQITFVTDRPGHDARYAIDPSRIRDELGWRPSVTVEEGLRRTVAWYLENESW------ 294881213 MTGKRVLCTGGMGYIGSHTIVMLLEAGYDCAIVDNLSNSSVEVLNRVPFFNADVGDVDAMEKLFRDEKFDCVIHFAAFKAVGESVEKPLMYYRNNIGGTITMLEAMLKYNCKKVVFSSSATVYQASEK-PLTEDWPLGPINPYGQTKRMMEQILEDCCVPDKMKVELLRYFNPVGAHPSGLIGEAPSGYPNNMPFIQQVGIGRRSHLNVFGNDYDTPDGTGVRDYIHVMDLADAHVKAVTYRDDIHGAHIHNLGTGRGASVLEMVKAFEEASGKKIPYKVVARRAGDLGSVICNPALAEKELGWVATRDMKTVMEDSWRWQSENPYGYDKE-- 256676528 ------LVTGGAGYIGAHVVRVLAGAGVPVVVFDDLSTGEAARLPEGPLETGSVLDRARLDAVFGEHRVTGVLHIAGKKQVAESVERPLHYYRENVEGLRVLLEAMRAAGVDRLVFSSSASVYGVPEPELVTEDTPCLPISPYGETKLIGEWLLRDASVAYGLRTIALRYFNVVGAGLPGLADKG----AANLVPLIFERVDAGRPPLVFGDDYDTPDGTCVRDYVHVQDIAEAHLAAARRLDEAPEGIRLNIGRGEGSSVLEMIERVLKTTGRTDLVEVVPRRPGDAARCVASADAIRAELGWSARYGLDEMIESAWQW------------- 135793761 ----RIFVTGGAGYIGSHTGVALLEAGHDVCVIDNFANSSAAVLEQVEHHQADVTDLPYLSETLADFKPDMVVHFAGRKSVTESVERPDFYHQQNVGGTLNLLTAMDKCGCKHIIFSSSATVYGAPDHLPIPENHKLSPFNPYGRSKLTAEKEISKWVDMASDRSAVLRYFNPVGAHASGRIGENPKGPDNLFPFITQVAAGIRPHLEIYGDDYDTPDGTGVRDYIHITDLVDGHIAALHFAENNRGVEIFNLGTGRGYSVAEVVAAFEAATGQRVRYKIKERRAGDIASCYADPAKAQAKLGWKAEKTLEQMCRDGWRWQS----------- 21221575 MSGK-YLVTGGAGYVGSVVAQHLVEAGHEVVVLDNLSTGFREGVPAGAFVEGDIRDAAKWLD----GSFDGVLHFAAFSQVGESVVKPEKYWDNNVGGTMALLEAMRGAGVRRLVFSSTAATYGEPEQVPIVESAPTRPTNPYGASKLAVDHMITGEAAAHGLGAVSLRYFNVAGA--YGEYGERHDPESHLIPLVLQVAQGRREAISVYGDDYPTPDGTCVRDYIHVADLAEA-HLLAVAAAAPGEHLICNLGNGNGFSVREVVETVRRVTGHPIPEIMAPRRGGDPAVLVASAGTAREKLGWNPSRDLAGIVSDAWEF------------- 145349820 MDDVRVLVTGGAGYIGTHACVQLLLAGASVVAIDNFDNSCAEAVERVRFRECDCRDAEALEDVFATCGVRAVIHFAGLKAVGESVAKPLLYYENNIRSTLTLCETMARHGCKTLCFSSSATVYGEPASVPCTEDFPTAALNPYGRTKLFIEHILSDLQKSDGWRVALLRYFNPVGAHESGTLGEDPKGPNNLMPFVQQVAVGRRAELSVFGNDYPTKDGTGRRDYIHVVDLADGHVAAVKKLTTDPNAGLITVGTGTSTSVLELVAAFEKASGKKIPCKMVARREGDAAEVYGATQKAFEVLGWRAERTIEDCCKDQWKWASANPYGY----- 170596036 ----RILLTGAAGFIGSHVALELLEAGYDVICIDNFSNSVQDNNKEVPFVFADCKNEKQLEAIFEKYSVSGVIHLAGWKAVGESVKNPLDYYSNNLIATLVLLKLCGKYNVKNFIFSSSATVYGPPDSLPIKETDPYKITNPYGHTKYMIERILMDAHADMSWNIIILRYFNPVGAHPSGLIGEDPKGPNNLMPYMSQVAIGKLPVLYIFGTEFDTADGTGVRDYIHVVDLARGHVAAFNHLNKQQNCGCYNLGTGKGYSVLEMIAAFEKASGQQIKTENTFPRTGDVACIYCDPLLAAQKLGWKCEYGLEEMCLDLWNWQVKNPNGY----- 240948929 --TKKILVTGGAGFIGSAVVRHIINDTQDVINVDKLTYAGNENNPRYAFEQVDICDKAALERVFHQYQPDAVMHLAAESHVDRSIDGPAAFIETNIVGTYTLLEVARGYWAFRFHHISTDEVYGDGTDDLFTETTPYAPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------FHFPEKLIPLMILNALEGKKLP--------VYGNGMQIRDWLFVEDHARALYKVV---TEGVVGETYNIGGHNEKANIEVVRTICGLLEYEDLITYVTDRPGHDVRYAIDATKIGNELGWKPQETFETGIRKTVEWYLNNKKWWSR--- 144136996 ---QKILVTGGTGYIGSHTIVDLIQNGFEVISIDNLLNSTEDCLQRVRNYRVDLKDLEATRQVFRAEGIDGIIHFAALKLVGESVEQPLRYFQNNLNSLLNIIQCMDEFAVPHLIFSSSCSVYGNAKALPVTETTPLQAASPYARTKQMGEQICQDAQARQGQNFILLRYFNPAGAHESGLIGESPSNPSNLVPVITETAIGRRAQMTVFGADYDTRDGSCVRDYIHVMDLANAHTRALQYGKNKQNLELFNLGIGEGVTVLEAIHAFEAVSNQSLNYTIGSRRPGDVIAVYADPGRAKAILDWQPRRTIEDIMRTAWTWQ------------ 308323913 ---QKVLVTGGGGYIGSHCVVELIEAGYQPVVIDNFSNARIETFLNTKIEELDLLDKSGLEKIFSQNSFYAVMHFAGLKAVGESVEQPLRYYRVNLTGTINLLEVMQAHGVHNIVFSSSATVYGDPQKLPIDEQHPAGGTNPYGKTKFFIEEMIRDQCNAEKDWVLFSTSTPLVLTSRARLVKILRESQTTFYHMLPGLPSGDESISTCLGNDYKTLDGTGVRDYIHVVDLAKGHIAALKKLKDGCGCKVYNLGTGTGYSVLQMVKAMEKASGREIAYEIAPRRSGDIASCYADPRLAEEELGWKADFGLERMCEDLWRWQSQNPTGFSN--- 19703720 ---QTILVTGGAGYIGSHAVVELLDNNYNVVVIDTLENGFKEFVKRAKFYQGNVQDYELMSRIFQENKIEAVMHFAGYIRVPESVDDPNKYYLNNTYTTMCLIQSMVKHNIKNIIFSSTAAVYGITEDNPIDEKHSTIPINPYGASKLMSERIIRDCAKAYGLNYSIFRYFNVAGAHEKYPIGQKGAGVTSLITLTLQAAKDSNRILEVFGDDFPTKDGTGIRDYIHVVDLVKAHVLSL-KLLFKNESNIFNLGNGNGFSVLETVEAARKVTNKEIICKIAARRKGDPACVIASSEKAKKILGWKAQYTVEKIIETGWHF------------- 224139592 ----HVLVTGGAGYIGSHAALRLLKDSYRVTKVDNLSRGNLGAVKRLQFIYADLGDAKAVNKIFAENAFDAVMHFAAVAYVGESTMEPLRYYHNITSNTLVVLEAMAAHKVKTLIYSSTCATYGEPVKMPITEQTPQLPINPYGKAKKMAEDIIIDFSKTTDMAVMILRYFNVIGSDPEGRLGEAPQPELREHGRISGACFGLKQRITIQWIDYKTADGTCVRDYIDVTDLVDAHVKAL-AHAKPRKVGIYNVGTGKGRSVKEFVEACKKATGVDIKVEYLNRRPGDYAEVFSDPSKIKQELNWKAQYDLKKSLQIAWKWQKSHLNGYS---- 150864513 -----ILITGGAGYIGSHTVIELVNNGYKVVVVDSLVNSSYDAIARIPFYQVDIRDGEKLNEVFQKHKITGVIHFAALKAVGESTEIPLEYYDNNVNGTISLLQVMNKNNVKSIVFSSSATVYGDNSMIPIPEHCPTDPTNPYGRTKLVIENILRDVHSSSSWKVAVLRYFNPIGAHPSGLIGEDPLGPNNLLPYLAQVAIGRREKLSVFGSDYASHDGTPIRDYIHVVDLAKGHLAALSFKTNEGSFREWNLGTGKGSTVFDVYHAFSKAVGRDLPYEVVGRRAGDVLDLTANPTRANKELEWKAELTIEDACKDLWKWTTGNPTGFKIE-- 297190563 ------LITGGAGYIGAHVVRAMTAAGERVVVLDDLSAGFPERLPAGPLVRGSVLDRDVVDRTLAEHRVTGVVHLAAKKQVGESVEQPLMYYRHNVHGLTVLLEAVVAAGVRSFLFSSSAAVYGVPDTGSVPESAACRPINPYGETKLTGEWLVRAAGKAHGLSTACLRYFNVAGAAA----PELADVGVFNIIPMFFDRITRQEAPRIFGADYPTPDGTCIRDYIHVADLADAHLAVARRGQDGGGDLTVNIGRGVGVSVRELASLVGEITGRRVDPVVEPRRPGDPATAVASVELIGKELGWSASHGVRDMVASAWAWLARHPEAAS---- 296283544 MDKSPALVTGGAGYIGSHAVHALRDAGRPVVVIDNLSTGFRFAVPEDPFYEGDIADRDLLARVFAEQGMGAVMHFAGSIIVPESVAKPLWYYENNTVKSRGLIEAAVAAGVPHILFSSTAATYGVPDVSPVTEQTPTVPINPYGWSKLMTEQMLADTAHAHPINFCALRYFNVAGADPQARTGQSTAGATHLIKVAVEAALGKRDAVSVFGTDYDTPDGTGVRDYIHVSDLAAAHVLALDALIAEPESMTMNCGYGRGFSVLEVLDAVDRVTGGQIERVFGERRAGDPAMLVADSSLLRATVPWTPRYDLDKIIEHALMWEKR---------- 146412257 -----IVVTGGAGYIGSHTVVELIQHGYKVIIVDNLVNSSYDAVARIPFFNVDLQNYDELLKVFQSYNIKGVIHFAALKAVGESTQIPLEYYENNVGGTMSLLKVMKKVGVKTIVFSSSATVYGDATRIPIPEHCPNDPTNPYGKTKYMIENILKDMQADSSWRTAILRYFNPIGAHPSGLIGEDPLGPNNLLPYLAQVAIGRREKLSVFGNDYKSHDGTPIRDYIHVVDLAKGHIAALNYMQQKGLYREWNLGTGKGSTVFDVYNAFCKAVGRQLPYEVVGRRAGDVVDLTANPTRANTELKWKAELSIEDSCRDLWRWTTENPFGFQND-- 189466143 MKEK-ILVTGGTGYIGSHTVVELQNAGYEVVIIDNLSNSSADVVIRPAFEKLDCLDFAGLDAVFTKYKIKAIIHFAASKAVGESVQKPLLYYRNNLVSLINLLELMPKHGVEGIVFSSSCTVYGQPDELPVTEKAPIKAESPYGNTKQINEEIVRDTVASAPINAILLRYFNPIGAHPTALLGELPNGPQNLIPYLTQTAIGIREKLSVFGDDYDTPDGSCIRDFINVVDLAKAHVIAIRRILEKKQVEVFNIGTGRGLSVLELINAFEKATGVKLNYQIVGRRAGDIEKVWADPKFANEELGWKAIETIEDTLRSAWNWQLK---------- 144125161 ----KLLVTGGAGFIGSAVVRLAVSRGHDVVNLDALTYANVASVAGSPHEQADIRDRAALDGIFARHAPDAVLHLAAESHVDRSIDGPDTFISTNVMGTFHLLEAARAYWIFRFHHISTDEVFGLGPTGQFTEQTPYDPRSPYSASKAGSDHLVRAWHETYGLPVVLTNCSNNYGP--------YHFPEKLIPVVILNALAGKPLP--------IYGDGSNVRDWLYVEDHADAL---LLVVQQGAVGRSYNIGGENERSNLELVKTLCAILDEKRQITFVTDRPGHDARYAIDPTRIREELGWRPSVTVEEGLARTVDWYLANERALQDRH- 303278096 LERATVLVTGGAGYIGSHTVTQLLTAGCVVHVVDNLDNSSEESLRRVRFHECDILDAVKMDKAFAAAKVDAVIHFAGLKAVGESVAKPMRYYRNNIVGTMALVDVMERHGCRKIIFSSSATVYGEPATVPCTEEFPTAALNPYGRTKLFVEHILTDMQAASPWKVILLRYFNPVGAHPSGRIGEDPKGPNNLMPFIQQVAVGRRPVLSVFGDDYKTADGTGRRDYIHVMDVADGHAAALTKIVDDAGCVTVNLGTGKSTSVLELVAAFAKASGKEIPTKIVARRPGDAAEVYAQTEKAKEVFGWEAKLDIERCCVDQWKWASANPYGYGTEAD 89095728 ----KVLVTGGAGYIGSHTCVELLASGYDVVIVDNLSNSSRNVIDRIEFIQADVTIEPVVQALFEQHDFVGVIHFAGYKAVGESVQQPVMYYQNNLVSTMLLVKYCALYGVNKFVFSSSATVYGDNQVPFHEGLPLLPTTNPYGETKAMSERILTDANVTPDFGVSILRYFNPVGAHESGEIGEAPNGPNNLMPFVTQVAKGKREKLMVFGNDYNTVDGTGVRDYIHVVDLAKGHVAALEKLT--PGVHVYNLGTGKGTSVLELVHAFEEATGVAIPYEIVDRRPGDIAECYADVTKAERELGWRAEKEVYEMCKDAWRFER----------- 172040572 --GSNVLVTGGAGYVGSVCTAVLIENGFHVTVVDNLSTGNRSAIPKANFVEGDIADVAS--EVLADGDFAAVFHFAARSLVGESVEQPDAYWHHNVVTTLTLLDAMREAGVKNIVFSSTAACYGEPEQVPITEDMPTAPTNPYGASKLTIDYMLTSYAKAYDLAATSLRYFNVAGA--YQQFGENREVETHLIPIVLQVALGHRESISIFGSDWPTKDGTAVRDYIHIKDLADAHVLAATSNVA-GEHRIFNLGSGDGFSVREVIDTCREVTGAEIPAIEAPRRAGDPAVLIASSAKAIAELGWEPKLSLTTVVSDAWRF------------- 197334246 ----KILVTGGAGFIGSAVVRHIISNTQDVINLDKLTYGNLESLERYVFEQIDICDRAELDRIFSEHKPDAVMHLAAESHVDRSIDGPAAFIETNIVGTYTLLEATRSYWAFRFHHISTDEVYGDGTDDLFTETTSYEPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLMILNALDGKPLP--------VYGNGMQIRDWLFVEDHARALYKVV---TEGVVGETYNIGGHNEKANIDVVKTICSLLEELVPITYVTDRPGHDVRYAIDASKIERELGWKPEETFESGIRKTVEWYLDNKQWWSR--- 295134453 MSGK-ILVTGGLGFIGSHTVVALQQKGYDVVIIDNLSNSSIDVLKTPEFEKLDLREKSAVRDFFEKYDIEGVIHFAASKAVGESVQNPLLYYENNLASLIYLLQELSKKDKANFIFSSSCTVYGQADELPIKEESPKKAESPYGNTKQIGEEIIIDSCKVEGLKAISLRYFNPIGAHHSAEIGELPLGPQNLVPFITQTAIGKRKELSIFGDDYPTEDGTCIRDYIHVMDLAEAHVAALTRNEEAPKYEVFNLGTGKGSSVLEVVHSFENATDEKLNYKIAPRREGDVIAAYADTTKANKILGWKADTDLDTALASAWKWEKR---------- 224086623 ----HVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNLGAVKRLQFIYADLGDAKAVNKIFAENAFDAVMHFAAVAYVGESTIEPLRYYHNITSNTLVVLEAMAAHNVKTLIYSSTCATYGEPIKMPIREETPQLPINPYGKAKKMAEDIIIDFSNTTDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRCFDAARGITPGLKVKGTDYKTADGTCVRDYIDVTDLVDAHVKAL-AHAKPRKVGIYNVGTGKGRSVKEFVDACKKATGVDIKVEYLDRRPGDYAEVFSDPSKIKQELSWTAQYDLQKSLQIAWKWQKSHLNGY----- 136860068 ----KILLTGGAGFIGSHTAVALIENGFEPIIVDDFRNSESFVIENIEKIVGNIGNKKEVKEILQKENPIGVIHFAADKAVNESVVNPMKYYQNNVLNLINFLEVVDSIKIESFVFSSSCTVYGVPDKTPVKETASIKPFSPYGYTKQVGERILNDFFKSLPSSSLTLRYFNPIGAHPSGLIGELPIGPSNLVPYITQTAIGKRKKLTVFGKDYDTPDGTCIRDYIHVVDLANAHVSALEKGLKRKDSIKLNVGTGKGASVLDVITAFEKVSKKELNYGFGPRRAGDVPKIYSDNTLIKNELEWDAKFSLEDCLEHSWNWEKK---------- 159903824 ---RTILITGGAGFIGSHTCLTLLDHGYDLLVLDSYVNSSPIAIKKVIILHGDIRDYKFLKKLFFESKIEAVIHFAGLKAVEESVENPLLYWDVNVGGSINLLRVMDEFRCRTIVFSSSATIYAPKTDELLKEDSDIAPLNPYGQTKAAIEQILSDLFQHTDWRIANLRYFNPIGAHQSGEIGESPLGPNNLFPYISQVAVGARDILNIFGNDWPTPDGTGIRDYIHVMDLAEAHHAALEYLDNESILTNLNIGTGKGTSVLELINTFINVNDCKVPYTFCNRRLGDVPVSVANNELAIKTLNWHPKRSLEDICRDGWKWQMLNPQGYLNK-- 254489386 ----KLLVTGGAGFIGSAVVRLAVAQGHGVVNLDALTYASVADSPLYAFEQADICDRAALDRIFADHQPDAVMHLAAESHVDRSIDGPGAFIQTNITGTYTLLEAARAYWQFRFHHISTDEVFGLGPTGQFTEDTPYDPRSPYSASKAASDHLVRAWGETYGLPIIMTNCSNNYGP--------FHFPEKLVPVVILKALAGQPIP--------VYGDGANVRDWLFVEDHADALLTVL---TKGKLGRSYNIGGENEVSNLELVKMICALLDDRHPITFVTDRPGHDQRYAIDPARIRSELNWKPSVTVEEGLARTVDWYLENEDW------ 119384853 ----KILVTGGAGFIGSAVVRLAVARGHRVVNLDSLTYANLENVPLYAFEQADIRDRAALDRILAEHRPDAIMHLAAESHVDRSIDGPGAFIETNVTGTYNLLEAARAWWTFRFHHISTDEVFGLGETGQFTEDTPYDPRSPYSASKAASDHLVRAWHETYGLPVVLTNCSNNYGP--------FHFPEKLVPVVILNALHGRPIP--------VYGDGGNVRDWLYVEDHADALLLVLEKGRI---GRSYNIGGENEARNIDLVRTICGHMDRDRLITFVTDRPGHDRRYAIDPGRVRSELGWRPSVTVEEGLRRTVEWYLANEDW------ 227487231 ----RALVTGGAGFIGSHLVELLVSEGHEPVVVDNLSHGKRENVPAGPLVEADLL-KIDVDELFDEYAPEVVFHLAAQIDVRKSVASPIFDAQTNILTTIRLAEAARSHDVRKIVFTSSGAIYGAPTQFPVSEETPVDPHSQYAASKVSGEIYLNTYRHLYGLECSHIAPANVYGPRQDP-------YGEAGVVAIFSQHLLNGLPTKVFGSGSNT------RDYVYVGDVARAFYL---ASGNKGGGMRFNIGTGVETSDRDLHSLVAAAAGATDDPEFAPARLGDLERSSLDSTRAREVLGWEPQVTLKEGIAKTVEYFR----------- 213967233 ----KILVTGGAGFIGSAVIRHIIANTDSVVNVDKLTYASADQSERYAFEHVDICNREDLDRVFKEHQPDAVMHLAAESHVDRSITGPSEFIQTNIIGTYVLLEAARSYWNFRFHHISTDEVYGDGPEDLFTETTPYQPSSPYSASKASSDHLVRAWSRTYGLPTLVTNCSNNYGP--------CHFPEKLIPLIILNALEGKPLP--------IYGKGDQVRDWLYVEDHARA----LYKVVTEGEGETYNIGGHNEKQNLEVVNTVCALLDHANLITYVQDRPGHDLRYAIDASKIQRELGWVPEESFESGIRKTVQWYLDNPEWVA---- 296159391 ----TILVTGGAGFIGSHTCVELLNGGYDVVVVDNLVNSNRESLKRVEFYETDSRDEAALQHIFDAHPVTGAIHFAALKAVGESVSRPIEYYDNNLGSLLTLLAVMRARNVKQFVFSSSATVYGVPKTSPIDESFPLSATNPYGQTKLIAEQVLRDLEVSDPWRIATLRYFNPVGAHESGLIGEDPGGPNNLMPYVAQVAVGKLAKLRVFGGDYDTPDGTGVRDYIHVVDLARGHLAALDALVRRDASFVVNLGTGQGYSVLDVVRSFEKTSARPVPYEIVARRPGDVASCFADPSAAEKLLGWRAQYGIERMCADHWRWQSTNPAGYA---- 294675733 ----KILVTGGAGFIGSAVVRLAIARGHAVVNVDALTYANVASVAGSPFEQADIRDGAAILAILDRHQPDAVMHLAAESHVDRSIDGPGVFIETNVMGTYQMLQAARQHWTFRFHHISTDEVFGSLPHDPFTEDTPYDPRSPYSASKAGSDHLVRAWHETYGLPVLLTNCSNNYGP--------FHFPEKLIPVIILNALAGKALP--------IYGDGSNIRDWLYVEDHADALLTVVEK---GAVGRSYNIGGENERTNLELVRTLCEILDRKRQITFVTDRPGHDARYAIDPTRIRTELGWRPSVTVEEGLERTVDWYLANESW------ 256775151 ------LITGGAGYIGSHVVRAMARAGERVAVLDDISSGIPERLPEDPLVRGSTLDRELLDRTFAELNITGVVHLAAKKQVGESVEQPLRYYRENVHGLTVLLEAVAAAGIGSFLFSSSAAVYGMPDVELVTEDTPCVPMNPYGETKLAGEWLVRAVGAAHSISTACLRYFNVAGATR----PELSDTGVFNIIPMMFERITHGEAPRIFGDDYPTPDGTCIRDYIHVEDLASAHLAVARRLAARPGAGDVNIGTGQGVSVREMADLIAEVTGREPAPVVEPRRPGDPARVVGSTDLIAKELGWSARHDVRAMVESAWEWCLRHPEA------ 168333524 ----KILVTGGTGYVGSHTTVLLIQEGYEVVILDNLCNSKVEVLVRPEFYNLDLLNYEDVNKIFDKHVIEGVVHFANLKAVGESVRMPLLYYNNNITGTINLLQIMKKNNVKNIIFSSSATVYGINNTSPLVEAMPLCATNPYGTTKIIIENILQDYASDDAWSVVSLRYFNPIGAHESAILGENPNGPSNLLPYIALVATEKLDHLSVFGGDYDTADGTGVRDYVHIMDLARGHIKALEYVLANRGVEAINLGTGNGTSVLEVIAAFEKASGKKIXYKICPRREGDVATSFADVAKAKXILGWEATKDINDMCMDLWKF------------- 135154411 --------------IGSHTCLELLRSGHEVCIVDSLYNGNVEALRFIDFIECDVRDRAALDQAFERFQPEAVIHFAGLKAVGESVSEPARYYDVNVGGTAVLLSAMERAGCNNIVFSSSATVYGDPQYLPCDENHPLAPINPYGRTKLMGENLLQDWSEKQGRHAVALRYFNPVGADASGLIGEDPNGPNNLMPFISQVAVGRRKCLQVFGDDYETVDGTGVRDYIHVVDLALAHVAAVEQMSSLATFEAINIGTGEGLSVLHMVHEFEKQSGKTVKFQIGPRRPGDAPAVWADASKAAEKIGFTAKRGVAEMCRDTWTWQSTNPHGYTE--- 94499405 --SKKILVTGGAGFIGSAVVRHILNDTHDVVNLDKLTYGNLESLERYAFEQVDICDRPALERVFAEHKPDAIMHLAAESHVDRSIDGPAAFIETNIVGTYTLLEVARAYWNFRFHHISTDEVYGDLENPLFTEETAYAPSSPYSASKASSDHLVRAWKRTYGFPTIITNCSNNYGP--------YHFPEKLIPLVILNALEGKELP--------IYGKGDQVRDWLYVEDHARALYKVV---TEGQIGETYNIGGHNEKQNIEVVQTICELLGFKGLIAYVEDRPGHDLRYAIDASKIQKELGWTPLETFESGIRKTVEWYLSNKEWV----- 296454800 ----TVLVTGGAGFIATHTDIELLNKGYDVISVDNYGNSRVEQITGKPRYDGDVRDEALMERVFTENNIDWVIHFAGLKAVGESVAKPIEYYDNNLYSTLVLLKVMKKHNVKKIIFSSSATVYGTPKELPITEETPTGGTNPYGTSKLFQEQILRDHVADPSWTIVLLRYFNPVGAHESGLLGEDPKGPANLTPYVAKVAVGELKEVQVYGDDYDTPDGTGVRDYIHVVDLAKGHVAVIDHIDKEG-VFVYNLGTGHGYSVLEVIKAYEKAAGHPIPYTIKPRRPGDIAACYADASKAEKELGWKAELTIDDMAASSLNWQTKNPNGFRD--- 116671356 ----RILVTGGTGYIGSHTVLSLQEAGHEVVVIDNLVNSSEESLKEAVFHNVDLVDEAAVNAVFAQDRIDAVIHFAGLKAVGESVREPLKYYYNNLVGTLNLIRVMDRHDVRSLVFSSSATVYGEHNPIPYVEKMEIGANNPYGRTKEQIEDILSDLGAADRWHIALLRYFNPVGAHPSGRIGEDPQGPNNLVPFIAQVAVGRREKLMVFGGDYDTPDGTCLRDYIHVVDLAEGHVAALNHVADRTGVFRWNLGSGKGSSVLEVLRSFEKAVGHELPYEITGRRAGDLPAFWADATSALADLSWSTTKTVDQMCEDHWRWQKNNPLGYNS--- 298353030 --TKTILVTGGTGYIGSHTVITLIEHNYNVVILDNLSNSSSIVLERIKKITGDVRDSNLLKEIFNRYNIFAVIHFAGLKSVSESISNPLAYYQNNLSGTLSLLEQMQRANVNNLIFSSSATVYGNPERIPLDESCHVGTTNPYGTSKYFSELILKDASAANELKVVILRYFNPAGAHPSGALGECPDGPNNLIPYLLKVANGSLEYLSVYGSDYPTKDGTGVRDYIHVMDLANGHLAALNSLIEKKEYRVYNLGTGHAYSVLDVIKSFEKQNKINIKYKFSSRRHGDVAECWSDPSLAEKELSWHADLSLDDMMVDGWRWQTLNPKGFDSNGE 198419586 ---KYVLVTGGAGYIGSHTVVELIQEGYTPVVADNCCNATKGKDQRLPFYEYDLLDKEKLESLFQKYKFFAAIHFAGLKSVTESIQKPMEYYDVNLGATINLIECMKEYGVTRVVFSSSATVYGPPQKLPVDENHQVGKTNPYGKTKFFIEEIFRDLAKETGWHIALLRYFNPVGSHITGLIGEDPQGPNNLMPYVSQVAIGRLPYLNVYGNDYETHDGTGVRDFIHVVDLAKGHIAALNKMDQTDGCQAFNLGTGTGYSVLEAVAAFEKASGKKVPYKFAPRRPGDLGNVHANPNLALKFLEWRADKTLEDMCVDLWRWQSMNPKGYE---- 261365322 ---KKILVTGGAGFIGSAVVRHIIKNTQDVVNLDKLTYGNLESLLRYAFEQVDICDRAELGRVFAQHQPDAVMHLAAESHVDRSIDSAGEFIQTNIVGTFNLLEAARTYWAFRFHHISTDEVYGDGTDDLFTETTPYAPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLMILNALDGKPLP--------VYGDGMQIRDWLFVEDHARALYQVV---TEGVVGETYNIGGHNEKANIEVVKTICALLEYEDLITFVQDRPGHDVRYAIDAAKIGRELGWKPQETFESGIRKTVQWYLDNKTWWQN--- 256802433 MSGK-YLVTGGAGYVGSVVAQHLLEAGHEAVVLDNLSTGFREGVPAGTFIEGDIRDAAKWLDSSY----DGVLHFAAFSQVGESVAKPEKYWDNNVAGTMALLAAMREADVRTLVFSSTAATYGEPDEVPIVESAPTSPTNPYGATKLAVDHMITGEAAAHGLGAVSLRYFNVAGA--YGEHGERHDPESHLIPLVLQVAQGRRDSISVFGEDYATPDGTCVRDYIHVADLAEAHLLALKA-ATPGEHLICNLGNGNGFSVREVIETVRRVTGHPIPEVVAPRRGGDPAVLVASAATAREKLGWNPSRDLAGIVADAWDF------------- 145294728 -----VLVTGGTGFIGSHTVVELLNAGKQVVVIDDLSNSTIDVLAKPPLEIGDIRDRAFVDSVLAQYQPSAAIHFAAKKAVGESVEQPTMYLNINIGGTATLLDALHHAGVRDIVFSSSCSVHGETTHSPLNEDSPTQPANPYAFTKLTGEKMLSQLVEADSWSAISLRYFNPIGAHPSGKLGESGLGPRNIMPWLLDVAAGRKQSLEVFGDDWPTPDGTCIRDYLHVVDVARVHVRALEHFKT-GQAEVFNIGTGVGTSVLELINTMEEATGREIPYEISARRSGDVSALVADAQRVATQWGWVPEFSVFQMCADAWRFDQK---------- 223040304 ----KILITGGAGYIGSHVLKVLLKQGHEITVVDNLCKGTTEKIGKFKFVKANLED--DLSGIFAEGKFDAIIHFAAFIEVFESTQDPLKYYLNNTANVAKILTYCKKYGVNKFIFSSTAAVYGEPQDGEVDEQTAANPINPYGRSKLMSEWIIKDYAASNNFKFAILRYFNVAGADEEGLIGQNYPNATHLIKVATQTALGKQESMGIFGSDYPTADGTCVRDYIHVSDLAEAHLSALDYLNEHEKSETFNVGYGRGFSVKEVIETAKKVSGIDFKVVSAPRREGDPARLIAKPEKIRNLTNWRPKRDLALIIKTALEWEKR---------- 159046644 ----KLLVTGGAGFIGSAVVRQAIRDGHQVVNLDALTYASVADSPAYAFEHVDIRDRAALDRVFATHAPDAVMHLAAESHVDRSIDGPGDFIETNITGTYNMLEAARSHWVFRFHHISTDEVFGLGPTGQFTEETPYDPRSPYSASKAASDHLVRAWHETYGLPVVMTNCSNNYGP--------FHFPEKLVPVIILKALAGEPLP--------IYGDGSNVRDWLYVEDHADALLLVVQKGV---VGRSYNIGGENERTNLQLVQTLCGILDYAEQISFVTDRPGHDARYAIDPTRIATELGWRPSVTVEEGLRRTVQWYLDNEAW------ 296134321 ----KVLVTGGAGFIGSHIVDRLILEGYEVVVADNLSTGSPANIAESRFYQVDIT-GDDLQDLFAKEKPEVVIHHAAQADVQVAQREPVFDSMTNILGTVNLLQCCITYNAKKLIYASSAAVYGAPCYLPVDENHPVNPISNYGISKYVPELYIKAFHKNFNLNYTILRYANVYGPRQGL------KGEGGVVFLFARRFLAGEPP-------LIYGDGEQTRDFVFVEDIVNANLLALE----QGDGMVINIGTGAQVSVNDLCSRFREIFKSDLRAEYLEPRPGDIKKSVFDISLAKNVLGWQPLVSLETGLRQTIEFYRD---------- 142190761 ------LITGGAGFIGSHTSVVLLDAGHDLIVLDNFSNSTPRAVERVAEVEGDLRDAAGLDSLFAHAPIEAVIHFAGLKAVGESVAHPLRYWDVNVAGSRTLLAAMQTHHCRTLVFSSSATLYGRPDQVPIPETAPIQPINPYGASKAAVEALLADHASPNGWRIARLRYFNPVGAHPSGRIGEDPNGPNNLFPFVSQVAVGRRQELQVFGDDWPTPDGTGVRDYIHVMDLAEGHGAALNGLLAQAPRLTLNLGSGQGASVLEVIQAFEQASGRSVPYRFAPRRDGDAAITVADPGLAHTVLGWRSRRTLTDICRDGWAWQAANPHGYGE--- 115345655 ----KLLVTGGAGFIGSAVVRQAIAQGHHVVNLDALTYASVADNPAYVFEHADIRDRAALDRVFASHKPDAVMHLAAESHVDRSIDGPGDFIETNITGTYHLLEAARSFWTFRFHHISTDEVFGLGATGQFTETTPYDPRSPYSASKAASDHLVRAWAETYGLPIVMTNCSNNYGP--------YHFPEKLIPVVILNALAGKDIP--------VYGVGENVRDWLYVEDHADALLTVL---AKGAVGRSYNIGGENEAKNIDIVRMICAILDTKDQITFVTDRPGHDLRYAIDPTRIATELGWRPSVTLEEGLEKTVQWYLDNEDW------ 260949072 MQ-KYILVTGGAGYIGSHTVVELIQHGYKVVIVDNLVNSSYDAVSRIPFFNVDIGDYEGLLKVFQSYDIGGVIHFAALKAVGESTKIPLEYYENNVGGTITLLKVMKKANVKTIVFSSSATVYGDATRIPIPEHCPNDPTNPYGKTKYIIENILKDVTADPTWRAAILRYFNPIGAHPSGLIGEDPLGPNNLLPYLAQVAIGRREKLSIFGNDYNSHDGTPIRDYIHVVDLAKGHIAALSYEEDKGLYRAWNLGTGKGSTVFDVYHAFCKAVGRELPYEVVGRRAGDVLDLTANPTRANTELQWSTTLSIEDSCRDLWNWTTKNPFGYHTE-- 142169678 ----KLLVTGGAGFIGSAVVRLAVSRGIEVVNLDALTYAACLENPLYRFEKADIRDRAALDRVFADHAPDAVMHLAAESHVDRSIDGPGAFVETNVTGTFNLLEAARGHWRFRFHHVSTDEVYGTGDTGQFTEDTPYAPNSPYSATKAGSDHLVRAWHETYGLPVILTNCSNNYGP--------YQFPEKLIPVVILKALAGDPIP--------VYGKGENVRDWLYVEDHADALLCALDR---GAPGRSYNIGGENEARNIDLVRMICAILDYADQITFVTDRPGHDLRYAIDPTRMRRELGWRPSLTLQEGLRRTVRWYLDN--------- 268592928 MALKRILITGGAGFIGSAVVRHIIENTDDAVVLDCLTYGNLESLPRYAFEQVNICDRAALDAVFEKYQPDAVMHLAAESHVDRSIDGPAAFIETNIVGTYTLLEAARHYWAFRFHHISTDEVYGDGPDGFFTETTPYAPSSPYSASKASSDHLVRAWQRTYGLPTMITNCSNNYGP--------YHFPEKLIPLIILNALAGKPLP--------VYGKGEQIRDWLFVEDHARALHLVATTAQ---PGTTYNIGGHNERRNIDVVETICELLEELHPITFVKDRPGHDMRYAIDAAKIERELGWTPQETFESGIRKTVQWYLNNETW------ 135039140 ----KILVTGGAGFIGSAVVRLAIGRGHSIVNVDALTYASVSKSPQYFFEHADIRDCNALNTIFEKHQPDAVMHLAAESHVDRSIDGPADFIETNVNGTLNMLEAARTYWTFRFHHISTDEVFGSLPRDPFTEETPYDPRSPYSASKASSDHLVRAWYETYGLPVVLTNCSNNYGP--------YHFPEKLIPVIILNALAGKPLP--------IYGNGSNIRDWLYVEDHADALLLVLEKGVI---GRSYNIGGENERTNLELVQTLCKVLDRADLITFVQDRPGHDARYAIDPGRIRDELGWRPSVTVEEGLEKTVQWYLDNEDWWK---- 261400016 --KKTILVTGGAGFIGSAVVRHIIQNTQDVVNVDKLTYGNLESLPRYAFEQVNICDRAELDRVFAQYRPDAVMHLAAESHVDRSIDSAGEFIQTNIVGTFNLLEAARAYRAFRFHHISTDEVYGDGTNDLFTETAPYAPSSPYAASKASSDHLVRAWQRTYGLPAIVSNCSNNYGPR--------QFPEKLIPLTILNALSGKPLP--------VYGDGMQIRDWLFVEDHARALYQLVSKGVA---GETYNIGGHNEKANIEVVKTICALLEYEDLITFVQDRPGHDVRYAVDAAKIGRELGWKPQETFESGIRKTVQWYLDN--------- 85813787 ----RLLVTGGAGYIGSVVARRLLDEGHRVVVVDDLSSGFADAVPEGQFVRGDMAVAARILA--GPDRFDGVVHLAARSLIDDSVRRPERYWRGNTQQSLVLLDGMLAAGVGRIVFSSTAATYGQPERVPIPEDAPTRPTNPYGASKLAVDVALADYARAHGLAAVSLRYFNVAGAV--GRHGERHEPETHLLPLALAAALGRGPELRLNGDDYPTRDGTCVRDFIHVADVADAHLAAL-SGAVSGTHRIYNLGNGRGFTVLEVLEAVARVTGRRVPFRRAERRPGDPATLVASAERILAELGWKPRPTLEEMIEDARAFH------------ 240274874 MAVGSVLVTGGTGYIGSFTALALLEAGYKVVIADNLYNSSDEAIRRPGFVKIDITNEADLDRAFEQYDIDSVIHFAALKAVGESGEKPLDYYYVNVYGSICLLRAMKRHNITNIVFSSSATVYGDPNMIPIPEECPLGPTNPYGNTKFVVETAITDFQEAEKWNGALLRYFNPAGAHPSGIMGEDPQGPYNLLPLLAQVATGKREKLLVYGDDYASHDGTAIRDYIHILDLAAGHLQALNYRANHPGVRAWNLGTGKGSTVFHMIKAFSAAVGRDLPYEVVGRRAGDVLDLTGNPSRANRELGWKATRTLEEACEDLWRWTKNNPAGYRQQ-- 115470521 ----HVLVTGGAGYIGSHAALRLLKDSFRVTIVDNLSRGNMGAIKRLQFIYADLGDPKAVNRIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLVVLEAMAAHNVRTLIYSSTCATYGEPEKMPITEGTPQFPINPYGKAKKMAEDIILDFSKKADMAVMILRYFNVIGSDPEGRLGEAPKPELREHGRCFDAALGIIPGLKVKGTDYETPDGTCVRDYIDVTDLVDAHVKALNK-AERGKVGIYNVGTGKGRSVKEFVEACKKATGVDIKVDYFPRRPGDYAEVYSDPAKINSELNWTAQTDLLESLRVAWTWQKKHRSGYG---- 183219774 ----RVLVTGGAGYIGSHIVLELMELGHEIIIVDDMEKGNEANLPENEFIKGEIQDPEILKKAFAK-KVDAVFHFAAWKAAGESMTDPLKYTMNNLNGTFTLLNAMIQYGCQYIVFSSSAAVYGAPKYLPIDENHPLQPENYYGYTKLCIEENLEWFDKLKGLKSARLRYFNAAGYDPKGRIKGIEKTPANLLPIIMEAASGIRNGFQIYGNDYETEDGTCVRDYIHVSDLAKAHVLALNYIMANNQSLTVNLGSESGYSVKEMTDLSEKVVGKPISHVTGPRRLGDPAKLLASSKKARELLNWKPIYSAETLLSSMWNLYKN---------- 83944594 ----KLLVTGGAGFIGSAVVRLAIARGYSVLNLDALTYASVADSPLYAFEQANICDREALDRIFEAHKPDAVMHLAAESHVDRSIDGPGAFIQTNVTGTYTLLEAARAYWQFRFHHISTDEVYGLGSTGQFTEETPYDPRSPYSASKAASDHLVRAWGETYGLPIVLTNCSNNYGP--------YHFPEKLVPVVILKALAGERIP--------VYGDGANVRDWLFVEDHADALLTVL---TKGELGRSYNIGGENEVSNLELVKMICALLDDRHPITFVADRPGHDQRYAIDPTRIRTELGWRPSVTVEEGLARTVDWYLENEDW------ 143388819 ----RILVTGAAGYIGSAFCKEGLERGFNIIGIDNFSNSSDQSINFLMFSEVDLCDLESLREIFANNDINYVVHFAGFKSVIESQMHPKMYWDNNLESTKNLTRIMLEYGVKNILFSSSASVYGKNNKQPVSEACKLKPMSVYGATKEANEKFLEDLSTKGNLKTISLRYFNPVGNIQMMPVNSPRQDSKNLMDNIIDVCIGETKELNIFGDDYKTSDGTAERDFIHIQDLIDAHFESLKYFEQLNGYEVFNIGTGNSVSVKNIIKTFKEVNSIEFVVKISDRREGDVPICYADNSKAKNILKWEAKKDLREMCLDAWRPYKK---------- 309274609 ----KILVTGGAGFIGSAVVRHIINDTQDVVNLDKLTYGNLESLARYAFEQVDICDRAGLERVFAVHQPDAVMHLAAESHVDRSIDGPSAFIETNIVGTYTLLEAARSYWAFRFHHISTDEVYGDGTDDLFTETTSYAPSSPYSASKASSDHLVRAWLRTYGLPTVVTNCSNNYGP--------YHFPEKLIPLIILNALEGKALP--------VYGDGMQIRDWLFVEDHARALYKVV---TEGVVGETYNIGGHNEKANIEVVKTICSLLEYQNLITYVKDRPGHDVRYAIDASKIERELGWKPQETFESGIRKTVEWYLNNQEWWSR--- 18978160 MKNKLVVVTGGAGFIGSHIAEALVEEN-EVIVIDNLYSGKIENIPQGKFIEADIRDYSSIAEIIR--EADYVFHEAAQISVEESVRDPIFTDEVNVIGTLNILKA-LSEGSGKIIFASSAAVYGENKNLPLKEDYLPKPISPYGVSKLAGEHYVRVFYELYGVPGVILRYFNVYGPRQSSAYAG--------VISIFMKNALKNEPLVIFGDGKQT------RDFIYVKDVVQANLLVAEKERANGK--IFNVATGKETSILELALKIIDLTSSSSQILFAPERPGDIKRSVADINEIRK-LGFEPSYSLEEGLKETLEWFKKW--------- 84515471 ----KLLITGGAGFIGSAVVRLAIARGHSVVNLDALTYASVASNPDYAFEQADIRDRAALDRIFAAHRPGAVMHLAAESHVDRSIDGPADFIATNITGTFNMLEAARAYWTFRFHHISTDEVFGADKAVKFTETTPYDPRSPYSASKAGSDHLVRAWHETYGLPVVLTNCSNNYGP--------YHFPEKLVPVIILNALAGKALP--------IYGDGSNVRDWLYVEDHADALLLVLEKGVL---GRSYNIGGENERSNLQLVRTLCAILDYADQITFVTDRPGHDARYAIDPSRIRDELGWRPSVTVEQGLRLTVQWYLDHAAW------ 227357175 MALRRILVTGGAGFIGSAVVRHIIDNNDSVVVVDKLTYGNLESLERYAFEQVDICDRATLDSLFAQYQPDIVMHLAAESHVDRSIDGPAAFIETNIVGTYTLLEAARHFWAFRFHHISTDEVYGDGTDDFFTETTSYAPSSPYSASKASSDHLVRAWLRTYGLPTLITNCSNNYGP--------YHFPEKLIPLIILNAISGKPLP--------VYGKGEQIRDWLYVEDHARALYLVATTAQ---PGKTYNIGGHNERRNIDVVTTICELLEELHPITHVKDRPGHDLRYAIDAAKIAAELGWKPQETFESGIRKTVLWYLNNQTW------ 15789397 ----RILVTGGAGFIGGHLAERFVSRGHDVVVLDNFDPFYDTRIKDHTVEEGDVRDAELVEELVA--DVDYVYHQAGQAGVRPSVEDPRKYNEVNVDGTLNVLDAARKTEIERVVFASSSSVYGKPEYLPYDEVHPTTPVSPYGASKLAAERYVCAYSEVYDLPTVALRYFTVYGPRMR---------PNMAISNFVSRCLNGEPP-------VVYGDGTQTRDFTYIEDVVDANEALLTDDAADGEVLNI--GSTDNIDILTLAEEIRDQVAPELDIEFAERFEADAEHTHADISKANELLGYEPSRTIREGVQEFVEWYRANRDWYE---- 307325360 ------LITGGAGYIGSHVVRAMAEAGESVAVLDDLSSGRARLPEDVPLVSGSILDRELLDRTLADLRVTGVVHLAAKKQVGESVEQPLRYYRENVHGLTVLLEAAVAAGVSRFLFSSSAAVYGMPDVELVTEDTPCLPINPYGETKLAGEWLVRAAGAAHSLSTACLRYFNVAGATR----PELADTGVFNIIPMMFERITEGEPPRIFGEDYDTPDGTCVRDYIHVEDLASAHLAVARRLATQPGDLTVNIGTGQGVSVREMSDLIGEVTGRPETPVVGPRRAGDPARVVGSTELIAKELGWSARHDVRAMVESAWEWCLHHPEA------ 47459028 ----TYLVIGGAGYIGSHTVYELIENNNKVVILDNLTTGSNSSIPEAKFYEGDFKDKKILNKIFDENKIEIVINFAASIVVSESVYEPLKYYLNNTYGVMILLESMKENNKKFLIFSSTAAVYGQKSNLPIREDEDLNPINPYGSSKQMSEKIIQDYAHVNDFKFAILRYFNVAGAHQNNSIPKKGHKVSHLIPSISSFVFNELDSLKIFGNNYDTKDGTCIRDYIHVQDLAHAHFLAAKYIFENKTNLIVNVGSEKGFSVLEVVKTFEKQLNKKLNYEINPKRDGDPAFLVASTTKIAKILNFKPKFSLEEIVKTELAWRKK---------- 238912932 ---KRILVTGGAGFIGSAVVRHIIHETADVVVVDKLTYAGNLMSLRFAFEKVDICDRASLERVFQQYQPDSVMHLAAESHVDRSIDGPAAFIETNIVGTYTLLEAARAYWAFRFHHISTDEVYGDLHDDFFTETTPYAPSSPYSASKASSDHLVRAWLRTYGLPTLVTNCSNNYGP--------YHFPEKLIPLMILNALAGKPLP--------VYGNGQQIRDWLYVEDHARALYHVV---TNGAVGETYNIGGHNERKNLDVVRTICALLEYHDLITFVDDRPGHDLRYAIDASKIARELGWTPQETFESGMRKTVQWYLANETWWK---- 149203263 ----RLLITGGAGFIGSAVVRLAVARGHVVINLDALTYASVAQSPLYSFVHADIRDRAALDRVFATHAPDAVLHLAAESHVDRSIDGPGTFVETNITGTYNLLEAARGYWQFRFQHISTDEVYGSLPSDPFTEDTPYDPRSPYSASKAASDHLVRAWHETYGLPILITNCSNNYGP--------FHFPEKLIPVIILNALAGKPLP--------IYGDGSNVRDWLYVEDHAEALLTVLERGV---PGRSYNIGGENELSNLDLVRKLCAVLDYAAQISFVTDRPGHDARYAIDPTRIRGELGWRPSVTVDEGVRRTVRWYLENEAW------ 219118249 ----TILVTGGCGYIGTHTIVCLLQKDYDVVVADNLSNSSHDRASRLVFHQVDICDEAAFRKVFESSRFESCIHFAGLKAVGESTKKPLLYYDNNLSGTFVLLRMMDEFQCHSLVFSSSATVYGAAENMPITEDTPVGATNAYGRTKYMIEEILRDFYQTTDWSITILRYFNPVGSHPSGKIGEDPNGPNNLMPYVAQVAIGRREHLTVFGSDYNTADGTGVRDYLHVMDLADGHLAAMDYMKQKKSGIFFNLGTGNGYSVLDMVKAMGKACGHEIKYVVGNRRQGDIATCFADANLAKNEMGWVASRDLDEMCRDLWCWQTQNPNGFAGQ-- 171910199 ----KVLVTGGAGFIGSHTVERLLAQGAEVGILDNFNDYYAPDIKRHNIVEGDLRDPEVVERAFAEGKYDAVIHLAARAGVRPSIEQPELYIDTNIKGTFYLLEACKRHGVKKFVFASSSSVYGVNKKVPFAEEDPLQTISPYAMTKMAGEQMCSNYSTLYGIRCVCLRFFTVYGPRQRPDLAIS----------KFTRLILEDKPIDRYGKGDT------ARDYTFISDIVDGIIGALNY-EEGPLCSIFNLGGSQTVNLNQMIGAIEDALGKKAMINEMPEQPGDVPLTSADVSKASKLLNFKPTTHLDTGIPKFVEWFLE---------- 254229475 ---KKILVTGGAGFIGSAVVRHIIRDTDTVINLDKLTYGNLESLVRYYFEQVDICDRTELDRVFSEHQPDMVMHLAAESHVDRSIDGPAAFIETNVMGTYHLLEAARQYWAFRFHHISTDEVYGDGTDDLFTETTSYAPSSPYSASKASSDHLVRAWQRTYGLPTLVTNCSNNYGP--------YHFPEKLIPLMILNALDGKPLP--------VYGDGMQIRDWLFVEDHARA----LYKVVNEGEGETYNIGGHNEKANIEVVKTICALLEYESLVTYVKDRPGHDVRYAIDATKIAQELGWTPEETFESGIRKTVGWYLNNPQW------ 23266710 ----TVLVTGGTGYIGSFTTLSLLDAGYDVVIVDSLYNSSKVAIRRPDFYQIDITDEKALDEVFAKHAIDSVIHFAALKAVGESSIIPLEYYRVNVGGSIALLQSMTRHNVTNIVFSSSATVYGDPNMIPIPEHCPIGPTNTYGRTKSMIEDVITDHKPFEQWNGALLRYFNPCGAHPSGIMGEDPQGPFNLLPLLGQVATGQREKLLVYGDDYPSRDGTAIRDYIHVVDLARGHLMALNYRSNQPGVKAWNLGSGRGSTVFEIIKAFSDVVGRPLKYEVVPRRAGDVLDLTANPTLANKELGWKTEHTMEQACEDLWRWVKNNPQGYRQE-- 103487044 ----NIFLTGGAGYIGSHVAATLAAAGHRVTCFDNLSNSDAAVIDRIPLVVGDIRDGDALRRAMRGHAIEAVIHFAGLKAVGESVAEPIRYYDNNVRGTLSLIEAMIDCHVKRLVFSSSATVYGEPQYLPLDEDHPTAATNPYGRTKLMIEEMLADAAADPEWRIAILRYFNPVGAHDSGLIGENPNGPNNLMPFISRVAAGKLKELSVFGDDYDTPDGTGVRDYIHVVDLAAGHAAALDAIAGADRPLSIWNGTGQGYSVFDIIRAFERVNGVAIPYRIAPRRAGDVASCFASPERAARELGWAAERDLDAMCASSWRFER----------- 136148239 -QQKTILITGGAGFIGSHVVRLFVTKQYRIINLDALTYAGNEKAPSYIFEKADITDVTALDRIFDQYRPDGIIHLAAESHVDRSILSPLDFVNTNVIGSVNLLNAARKFWPQRFHHVSTDEVFGLGETGFFTEETKYDPHSPYSASKAASDHFVRAYGDTYGLPYVITNCSNNYGPN--------HFPEKLIP--LFINNILHRKPLPVYGDGQYT------RDWLYVLDHAAAIDRVFH---DGNDGETYAVGGFNEWKNIDLVRLLCRLMESEQLITFVKDRPGHDRRYAIDATKINKELGWKPSVTFEEGLSRTIDWYLENGDWLK---- 135368037 ----NILITGGAGYIGSHVLNLFSDENHKIFTYDNLSTGHRSSVLTGEFIQADLEDITTLENVIIQNNINAVFHFAGSTDVEDSINDPVSYYKNNTLNTLNLVELCLKHNVNRFIFSSTAAVYGSPTSGVGKEGDPTTPISPYGATKLMAEQILNDVGSANPFRFIILRYFNVAGAAVKGNIGPKTVGAKHLIKAACETALGKRNQIEIFGDDYNTPDGTCIRDFIHVDDLAQAHIDALNYLEAGANSDTFNVGYGRGYSVREVLETTKKISGSKFIAKVSTRRKGDIPSLISDPSQIKKKVGWVPKNDLNLIIKTAIKW------------- 163735897 ----NILLTGGAGYIGSHTFISLIDAGHKPIVVDTFDNSSPQVLDRLHFYQADVRNSDMIADILMRESCDAVIHFAGRKSVGEGQSDPVLYFDQNVGGTVQLLHAMNKSGCKKLIFSSSAVVYGNPEYLPIDEEHPLSTCNVYGDTKRTVEDMLRALSASDPWSVVLLRYFNPVGAHKSGDIGEYPRGPNNLMPYVTQVAVGQLEQLSVFGGDYDTPDGTGVRDFIHVCDLADGHVSAMKLLDDTG-CTAMNLGTGKGYSVLDIIQTFETVNKVRIPYEITDRRPGDVAACYANPSYARTLTGWQARLELADMCRDAWNWQQKNPMGFD---- 88800554 MTEKTYLVTGGAGFIGSAVVRELIKQGNTVVNVDKLTYAGNENSPNYVFEKADICDATAMAKLFSQYQPTAVMHLAAESHVDRSIDGPAEFIQTNIVGTYTLLEAARSYWAFRFHHISTDEVYGDGTNDLFTETTSYAPSSPYSASKASSDHLVRAWQRTFGLPTVVTNCSNNYGP--------YHFPEKLIPLVIINALEGKPLP--------VYGDGQQIRDWLFVEDHARALIKVV---SEGEVGETYNIGGHNEQTNLSVVEGICDILDRRELITFVKDRPGHDVRYAIDASKIERELGWTPAETFDTGLRKTVEWYLNNKDW------ 143897397 MKG-RVLVTGGLGYIGSHTAVELLAQGYEVLLVDNLSNTRASVLKRPEWLQIDLADPAACRSALEGQHLDGIIHFAAYKAVGESVQEPLKYYQNNLGSLMNLLDYVSQHPSCGFIFSSSCTVYGQADRLPITEDAPVKAASPYGNTKQIGEEIIREVAVARGLRTIALRYFNPIGAHASARIGELPLGPQNLVPFITQSAAGLRGPLSVYGNDYPTEDGTAVRDYIHVVDLAQAHIMALERQQNEEALEVFNLGTGKGSSVLEVIHAFERATGASLSWDFAPRRAGDITAAYADTQRASAVLGWTAKKSLDESMRDAWAWQ------------ 83950201 ----RILVTGGAGFIGSAVVRRAVAQGHEVINLDALTYAEVAQSPNYRFEHVDIRDRAALERVFRDHKPEAVMHLAAESHVDRSIDGPAEFVETNVTGTCNMLEAARGHWGFRFHHISTDEVFGLGDEGLFTEDTPYDPRSPYSASKAASDHLVRAWHETYGLPIVMTNCSNNYGP--------YHFPEKLVPVVIINALEGREIP--------VYGAGANVRDWLYVEDHAEAL---LQVVQKGAVGRSYNIGGEGERRNIDLVRHICAVLDYAEQITFVADRPGHDHRYAIDARRIRDELGWRPSVTVEEGIARTVDWYLANEAW------ 223041543 ---KKLLVTGGAGFIGSALIRYIISNTQDVINVDKLTYAGNENNPRYAFEQVDICDKVALERVFNQYQPDAVMHLAAESHVDRSIDNAATFIETNIVGTYTLLEVARGYWTFRFVHISTDEVYGDLEESPFREDSPYLPSSPYSASKASSDHLVRAWLRTYGLPTIVSNCSNNYGP--------FHFPEKLIPLMILNALEGKPLP--------IYGNGKQIRDWIFVEDHVRALYQIL---SKGSIGSSYSIGGTNEKANIDVVRDICSLLEYEDLIVYVADRPGHDNRYALDTSKIRKELGWKPLETFETGLRKTVEWYLDHKTWWQN--- 302534911 MRVSKYLVTGGAGYVGSVVAAHLLEAGHEVTILDNLSTGFAEGVPAGATL-IDGRIQDAADHLDSSY--DAVLHFAASSQVGESVVNPGKYWDNNVGGTLALLTAMREAGVRRLVFSSTAATYGEPAEGALTETSVTAPTNPYGASKLAVDHMIAGECAAHGLAAVSLRYFNVAGA--YREFGERHDPETHLIPLVLQVALGQRESISVFGDDYPTPDGTCVRDYIHVADLAEAHLSAL-RVAAEGEHLVCNLGNGSGFSVREVIETVRKVTGREIPEVVAPRRAGDPAVLVASARTAHERLGWTPTRSLTNIVTDAWNFARE---------- 300721431 ---KRILITGGAGFIGSAVVRHIINQTEDVVVVDSLTYGNLESLPRYAFEQVDICQREALDRVFQQYQPDAVMHLAAESHVDRSIDGPAAFIETNIIGTYILLEAARTYWAFRFHHISTDEVYGDGQESFFTETTPYAPSSPYSASKASSDHLVRAWRRTYGLPTVITNCSNNYGP--------YHFPEKLIPLMILNAQAGKPLP--------VYGKGEQIRDWLYVEDHARALHLVVTKAV---PGETYNIGGHNERRNIDVVETICGLLEELCPITYVTDRPGHDMRYAIDAAKIERELGWTPQETFESGIRKTVQWYLGHENW------ 68536175 ----HIVVTGGAGYVGSVCASVLLEHGFRVTVVDDLSTGNGYAVPDGTFVEGDIRDV--ISDVFATGDVAAVMHFAARSLVGESVEKPDIYWHHNVGTSLYLLDQMREHGVQNLVFSSTAATYGEPEQVPITEDMPTAPTNPYGASKLAIDHMITSYANAYGLAATSLRYFNVAGA--YGDIGENHKVETHLIPLVLQVALGHREHISIFGTDYPTADGTAVRDYIHIR-DLADAHLLAAASNTAGQHRIFNLGSGDGFSVRQVIDTCREVTGHPIPAVESPRRAGDPATLIASSAKAKAELGWNPTRSLHTIISDAW--------------- 307172266 ---KTIFVTGGAGYIGSHCIVELLECGYDVVAIDNFANSVTET-------DGESAALKRVEQITKKYKIDCVIHFAAIKAVGESMQVPLHYYRNNIIGAINLLEVMKAAGCFQLVFSSSCTVYGEPTELPITEEHETGNINVYGRTKYFIEEMLKDISREKNWNIVSLRYFNPVGAHSSGLIGEDPKPFTNLMPYIAQVASRHKPELLIFGGDYATNDGTGVRDYIHVMDLAAGHVAALNALHKQHRLKIYNLGTGNGVTVLELIKTFEKVTGTTVPYIIKERREGDIVSMYANTDLAKKELGWTAKYNVEQMCQDFWRWQVMNPHGYRNEH- 149371845 ----KILVTGGLGYIGSHTVVALQNSGYDVVVVDNLSNSSIDVLTRPQYQELELRDKSAVTSFFEKHKDIGIIHFAASKAVGESLENPLLYYENNLNTLVYLLQECEKHKLANFIFSSSCTVYGEPDSLPITEAAPKNATSPYGNTKQIAEEILVDLCRVSELNSIALRYFNPIGAHKSAEIGELPKGPQNLVPFITQTAAGIREQLSVFGDDYDTEDGSCIRDYIHVVDLANAHVVALERKKNKSNYEVFNIGTGRGSSVLEVVHAFEKVTDQKLNYKIVDRRPGDVVSVYADTNKANNELGWKSEKTMEDALESAWEWEKK---------- 237727430 --KMKILVTGAAGFIGSKLCYFLAQRGDNVIGIDNINDYYDIRLKYGRLSEGDITDKTALDVLFKTEKFDKVINLAAQAGVRYSITNPYAYLESNIIGFLNILESCRNFEIKQLIYASSSSVYGMNEKTPFSENDITTPVSLYAASKKSNELMAHSYSKLYGLPTTGLRYFTVYGP----------WGRPDMAPMIFAKAISHGEPIKVFNNGNLSRDFTYIDDIVNGTIRVIDNCPIAEKCPNNIPYKIYNIGAGHPVKLMDFISEIETALGKKAEKHYLPMQQGDVYQTYADTSKLEKEVGYKPSISLHEGITNFIKWFQSF--------- 308388298 --KKTILVTGGAGFIGSAVVRHIIQNTQDVVNLDKLTYGNLESLPRYAFEQVDICDRAELDRVFAQHRPDAVMHLAAESHVDRSIDSAGEFIQTNIIGTFNLLEAARAYRAFRFHHISTDEVYGDGTDDLFTETTPYAPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLMILNALDGKPLP--------VYGDGMQIRDWLFVEDHARALYQVV---TEGEIGETYNIGGHNEKANIEVVRTICALLEYEDLITYVKDRPGHDVRYAIDAAKIGRELGWQPQETFESGIRKTVEWYLNNQTWWSR--- 143862169 ----RILVTGGRGFIGSAVVRTLISTEHQVVNVDRLTYASVADDARYHFEQADICDAAAMADIFGRHQPDVVMHLAAESHVDRSIDGPGEFIRTNLVGTYELLEAARAAGVQRFHHVSTDEVFGSLTDPGFTESSPYDPRSPYSASKAGSDHLVRAWGETYGMPIVITNCSNNYGP--------FHFPEKLVPLVTIRALAGEPLP--------VYGQGDNVRDWLHVEDHARALIQVAEHGVA---GETYNIGGNEERTNLEVVHAICDLVDRRDLIEFVTDRPGHDLRYAIDSTKIKNELGWEPTRSFDQGLRETVAWYLANQAW------ 56459650 ----KILVTGGAGFIGSAVIRHLIKNTHIVINIDKLTYGNVESLLNYVFEQVDICNAPEVKRVYIEHKPDAVMHLAAESHVDRSIEGPSEFIQTNIVGTYTLLEATRSYWTFRFHHISTDEVYGDDPTELFTETTAYAPSSPYSASKASSDHLVRAWHRTYGLPVIITNCSNNYGP--------YHFPEKLIPHIILNALSGKELP--------VYGDGKQIRDWLYVEDHARALVKVV---TEGKVGETYNVGGHNEKQNIEVVNTICELLDYKEQITFVKDRPGHDKRYAIDASKIEDELGWTPEESFETGLRKTVEWYLNNKNW------ 224004660 ---RTVLVTGGTGYIGSHTCLELLSTNYRVVVIDNLENSSEESLNRVHFRNCDIRDSDGLQSILQEFPISSCVHFAGLKAVGESVSKPLEYYNVNVGGTTTLLQQLQQHKVKHFVFSSSATVYGEPEMLPLKEHARLTATNPYGRTKLFIEEILRDLYASCSWNILILRYFNPIGAHESGRMGEDPQGPNNLMPFIAQVCVGRREKLSVFGDDYDTPDGTGVRDYIHVVDLAKGHVAALDYSDDNVGCEAVNLGTGKGVSVLELVDGMGAATGKPVPYEMAPRRPGDVAQLYADPEKARTMFGWSASLGTKEMCEDTWRWQSTNPMGYRE--- 89891832 ----KILVTGGLGFIGSHVVIELLDKDYEVVVVDNLSNSQAISNKMPEFEKLDLRNKQALSDFFGKHQDIGVIHFAASKAVGESVENPLLYYENNINTLVYLLQELQQLPSSNLIFSSSCTVYGQADTLPITETAPVKPASPYGNTKQIGEEIIKDVCNVDDLNAIALRYFNPIGAHHSGLIGELPIGPQNLVPYITQTAMGIREQLSVFGDDYPTIDGTAVRDYIHVMDLAKAHVVALERLINNSNYETFNIGTGKGSTVLEVVQAFEKVTGKALNYKIVPRRDGDVTAAYADTTLANSVLGWKSQFTLQEALASAWKWQQN---------- 290477129 ---KRILITGGAGFIGSAVVRHIINHTEDVVVVDSLTYGNLESLPRYAFEQVDICQRDALDRVFQQYQPDAVMHLAAESHVDRSIDGPAAFIETNIMGTYVLLEASRAFWAFRFHHISTDEVYGDGDEGFFTETTPYAPSSPYSASKASSDHLVRAWRRTYGLPTVITNCSNNYGP--------YHFPEKLIPLIILNALAGKPLP--------VYGKGEQIRDWLYVEDHARALHLVA---TTAEPGENYNIGGHNERRNIDVVETICELLEELRPITHVADRPGHDMRYAIDAAKIERELGWTPQETFESGIRKTVQWYLENENW------ 148656517 ----HVLVTGGAGFIGSHLVEALLRRGERVRVFDNFSTGRHENVKHLHDDEGDLRDFDAVRRAVAGV--EVVFHQAALASVQRSVDDPMTTNAVNVTGTLHVLMAARDAGVRRVVFASSSSVYGDTPTLPKVETQAPQPLSPYAVSKLAGEQYCMAFSVVYGLPSIALRYFNVFGPRQDP-----HSEYAAVIPRFIDRMVRGLPP-------IIYGDGLQSRDFTYIENVVDANLAAADAPASC--STVFNVGAGERTSLLDLAAQINHVLGSRLTPDHHPPRAGDVRHSLASIEAISQTLGYAPRITLAEGLARTIEWFRSRYQG------ 300770431 ----KILVTGGTGYIGSHTVVELFNAGYTPVIIDNLSNSNILILSRPEFHQFDLCDADAVSEFVKKNDIRGIIHFAASKAVGESVADPLKYYHNNFFSLINLLETYRNSPVN-FVFSSSCTVYGEPDTLPVTESAPKKATSPYGNTKQIAEEILEETAKAYDYNIIALRYFNPVGAHASALIGELPIGPQNLLPFITQTAIGKREKLTVFGGDYDTPDGSCVRDYIHVVDLAKAHIKLLEKGNPNGKYDVFNVGTGNGYTVLEAIKAFESVSGEKLNYVIGPRREGDIIKVWGDVTKSAEQLGWKAELGIEEMMSSSWKWYLKNP-------- 310639194 ---KNILVTGGSGFIGSNFIRLLLDEGCRIVNYDKLTYGNPENLERYEFVHADICDPEAVERALKTYEIDAVVHFAAESHVDRSILDSSPFIHTNVVGTQILLDACRRVGVSRFVQVSTDEVYGLPASGFFTEETPLAPNSPYSASKASADLLVRSYCQTFDFPGIITRCSNNYGP--------YQFPEKLIPLFITNAMNDQPLP--------VYGDGRQIRDWIHVVDHCRGV---LAALLNGQPGQVYNFGGLCELENLVLTSTLLRVLGKPESITFVKDRPGHDRRYAIDCRKAAEELAWQPQISFKQGLEETVEWYLSNNEWISR--- 143302637 -----ILVTGGAGYIGSHTARLLHELGRDVVVLDTLERGYEDAVGDIDLVVGDIADARLVGKTCRKYDVDSVIHFAAYKAVGESVSDPLRYYQNNVSGSIALVEALLEHDVKRVVFSSSAAVYGTPDASPVNEDAPLRPQSPYAQTKADIERFLAS-CDAIGMRSVSLRYFNAAGAHDSGDMGEDWSATENLVPVVMKVLFGAQPELEVFGDDYATPDGSCVRDYVHVSDLADAHVKALDHLASGGASLVCNVGTGQGTSVKQLISVTESVTGRALPHRFAPRRAGDPAAVYADPTLIRALL------------------------------- 163747635 --KRRILTTGGAGYIGSHTTVELLKAGHSVVILDNFENSDRDRVARIPLVEGDVRDAQLMADVLKRHKIDAVVHFAGKKAVAESVANPLLYYHDNITGAVSVLSAMREVGCKKLIFSSSATVYGDTEVLPICETAPTSVSNPYGRTKLMIEEIIDDAASLADFQAISLRYFNPVGAHASGLIGECPRGPNNLFPYIAQAAAGIRDYIQIHGSDYETSDGTGSRDYIHVVDLARGHVAAVEHSADYTPHRKVNLGTGQGYTVLQVLHAFSKACGFPVPYKRGPRRPGDVSASLADPSLSRALFGWKPELGLEEMCRDHWNFQKR---------- 227325828 MALKRILITGGAGFIGSALVRYLTETQDSVVVVDKLTYAGNLSSLRFAFEQVDICDRAELDRVFTAYQPALVMHLAAESHVDRSIDGPAAFIETNIVGTYTMLEAARHYWAFRFHHISTDEVFGDGTDDLFTETTPYAPSSPYSASKASSDHLVRAWLRTYGFPTVITNCSNNYGP--------YHFPEKLIPLVILNAVAGKPLP--------VYGDGAQIRDWLFVEDHARA----LYKVVTEGEGETYNIGGHNERKNIEVVQTICALLEYRDLITYVKDRPGHDMRYAIDAGKIERELGWRPEETFETGMRKTVSWYLNNEKWWRSVQD 295395727 ----TVMVTGGAGYIGSHVVRLLHERGDSVVIVDDMSNGIASRIEGLPLEQIDVSSHAALGEVIKRYNVDSVVHFAAKKMAGESVENPLKYYRNNVAGLANLLDALVAHGVNRLVFSSSALVYGMQDRLLLAEEHVQHPSNPYGETKLIGEWMIDDVAAVSDLRAIKLRYFNVAGAG----WPELADTAVQNLIPIVLNQVENGEQSVIFGDDYPTDDGTCIRDYIHVKDLAEAHVVALDALEAGSNPQTYNVGTGNGSSVREVIAELSDALGRPIDAVVGDRRPGDPARLVADVTAARQDLGWKAEYGLKEIAQSSVE-------------- 239993211 --SKTILVTGGAGFIGSAVVRHLINDDHTVVNLDKLTYGNLESLTRYSFEQVDICDAEEVKRVFNTHQPDIIMHLAAESHVDRSIDGPGEFIQTNVVGTYTLLEQARAYWGFKFHHISTDEVYGDLPHPLFTETTPYAPSSPYSASKASSDQLVRSWLRTYKLPTLVTNCSNNYGP--------YHFPEKLIPLVILNALAGKPLP--------VYGKGNQIRDWLYVEDHARALVVVA---LNGEIGETYNIGGHNEKQNIEVVQTICSILDYAEQITYVQDRPGHDMRYAIDASKIERELGWKPQETFESGIRKTVEWYLAN--------- 260893801 ----RVLVTGGAGFIGAHVVRLLQRSGHEVAVVDNLCTGRRERIPPGPFYLLDLA-SSPLEEPFRCERPEAVIHLAAQTVAPLSLVRPVADAEANVLGTIRLLEASVKAGVQRIVYTSSAAVYGDPLYLPVDEKHPICPLSPYGASKYAAEVYLFTYRRLYGIVPVVLRLANVY-------GPGQGEEGEGGVVAIFCRKMVAGEPPEIYGDG------EQTRDFVYVEDVAEAILAAL----TAGGEEVLNIGTGEGVSVNLLWRILSRVGGKELAPIYRSPRPGDIRHSALSPLKAQEKLGWSPRRSLEEGLKATWNWWLKYSRG------ 157164925 ----KILVTGGAGYIGSHVVKALLKQGDDITIIDNLCKGSQKALKIGNFKFINANLEDDLSEIFANGKFDAIIHFAAFIEVFESMSEPLKYYLNNTANVARVLRYAKTYNVNKFIFSSTAAVYGEPDVAEVSETTPTNPINPYGRSKLMSEQIIKDYAASNNFKFAILRYFNVAGADEEGLIGQNYPNATHLIKVAVQTALGKRESMGIFGDDYATKDGTCVRDYIHVSDLADAHISALEYISQNG-SETFNVGYGRGFSVKEVIETAKKVSGVNFKVLNAPRRDGDPAILISNASKLRSLTSWKPTRDLALIIKTALEWEKR---------- 295425264 ----RVLVIGGAGYIGSHAVKKLVAEGDDVVVLDALYTGHRKAVPKAKFYQGDIEDTFLVSKILRDEKIDAVMHFAAYSLVPESVKRPLKYYDNNVNGMISLLRAMNDAGTKYLIFSSSAATYGVPKKLPITEDTPLDPINPYGETKMMMEKIMAWADKADGIKYTALRYFNVAGAAEDGTIGEDHAPETHLIPNILKSAISGDGKFTIFGDDYNTKDGTNVRDYVQVEDLIDAHILALKHLMKTNKSDVFNLGTAHGYSNLEILESTKKVTGIDIPYTMGPRRGGDPDSLVADSTQSKNYFG------------------------------ 94497382 MSDKTVMVTGGAGYIGSHAVLALKDAGYGVVVIDNLVTGFDWAVPGDPLVRGDIADQPLVEATLRDHDVRAIMHFAGSVVVPESVDNPLKYYHNNSAKTRDLIESAVRVGVPHFIFSSTAATYGTPDVEAVREDTPQRPINPYGMSKLMTEHMLRDVAAAHPMNFCALRYFNVAGADPQGRTGQSTAGATHLIKVAVEAALGKRESVSIFGDDFDTPDGTGVRDYIHVSDLAAAHLLALEALMAQPDNHLLNCGYGRGFSVLEVLDAVDRATNNPIKRIMTGRRAGDPGKLISDNSAILRTFPWTPRHDLDQIVAHALAWERQ---------- 142200008 -------------------CKLLARQGYVPVTFDSLVTGWACAVKFGPFVQGDLLDRDALDAAFAKYEPVAVMHFAALSQVGEATREPGRYWRNNVLGSLNLVEAMVAAGCKHMVFSSTCATYGDQDGVVLDEQSAQMPLNAYGASKRAVEEILRHFGVSHGLEAVVFRYFNVAGADVEAEVGEWHQPETHLIPVMIEAAAGKLDALTIYGTDYPTPDGTCVRDYVHVMDLVDAHIKGLEWLLNGKGSRVFNLGTGTGFSVKEVIAECKAATGHDVPHSFGPRRAGDAAALVSGSRRAVEELGWSPERSLQHMIADAWRWYQ----------- 170720583 ----KILVTGGAGFIGSAVVRHIISNTDDVINVDKLTYGNLESLQRYAFERVDICDRGELDRVFREHQPDAVMHLAAESHVDRSISGPSEFIQTNIIGTYNLLEAARGYWAFRFHHISTDEVYGDGPEDLFTETTPYQPSSPYSASKASSDHLVRAWARTYGLPTLVTNCSNNYGP--------YHFPEKLIPLVILNALEGKPLP--------IYGKGDQIRDWLYVEDHARALYKVV---TEGEVGQTYNIGGHNEKQNIEVVRTVCALLDELRPLTYVQDRPGHDLRYAIDASKIQRELGWVPEETFESGIRKTVQWYLDNPEWVA---- 254527084 -----ILVTGGAGFIGSHTCLSLIEKGYEVIILDSLINSKNEVINRIKFFKCDLREINKVEKIFSEAPIKGVIHFAGLKSVGESIKNPILYWDVNLISSINLVRVMDKFDCRNIVFSSSATLYGQSSKELLNEETEIKPINPYGTSKYGIELFLDDVFKSTSWSIAKLRYFNPIGAHCSGLIGENPVGPNNIFPLLLDKTYKKKENLKIFGNDWPTIDGTGVRDYIHVMDLAEAHIKILEHIQTKNKNLNLNIGTGKGTSVLELIKIFEKTNNVKVPFEIVDRRPGDAASVVADNQLLKSTLNWLPKLSLEEMCRDGWNWKIKNPFGY----- 309388627 ----KVLVTGGAGFIGSNIVDALVEKGHKVIVVDNLSSGKKENLNDQEFYQLDIREQ-KLAEVFEKNEITHVIHHAAQIDVQHSIKDPLYDAQNNILGTINLLECCRNNNVQKIIYASSAAVYGEPNYLPIDEEHPIKAMSPYGISKHTPEHYIKMYSELYDLKYTIFRYANIYGPRQD------PKGEGGVVSIFVDQMLAEERP-------IIFGDGKQTRDFIHVFDIVKANLMAL----KQGDNVLVNISTESRDSVKDLVAYLNQILPYKLDAIYEEVRQGDIRHSSLANRKAEELLGWTPDYDFRAGLEQTVEYYSK---------- 304398137 ---KRFLITGGAGFIGSALVRFLIAETHQVVVVDKLSYAGNLASLRFCFEQVDICDRTALDEVFARHQPDCVMHLAAESHVDRSIDGPAAFIETNIVGTWQLLEAARSYWAFLFHHISTDEVFGDLHDSYFTETTPYAPSSPYAASKASSDHLVRAWLRTYGLPTLVTNCSNNYGP--------CHFPEKLIPLTIINALAGKALP--------VYGNGQQIRDWLYVEDHARALYQVVSY---GKPGETYNIGGHNERRNIEVVETICSLLEYRDLITYVADRPGHDQRYAIDASKIAHELGWVPQETFESGMRKTVAWYLANPAW------ 136857912 ----KLLIPGGAGYIGSHMVRYAQEHGHEIVVLDDFSTGHEWAVRDCEILRVNLLDQGKLCRLLNGRHFDGVIHFAAKSLVGESVKKPDLYYRNNVVGTLNLVNEMLNNDINNLVFSSTAAIFGNPVTDKIAEGHPKNPINPYGQSKLMVEKILQDICSANDFNATCLRYFNAAGAHESGEIGEAHDHETHIIPNVLKAVLSNTSILKVFGDDYPTPDGTCVRDYVHVTDLAQAHLLGLEYMQKNKGFAAFNLGNGQGFSVLQVIKGCEKVTNTKIPFQIEERREGDPAVLVSDNKLAIDEINWNPKYDINDIIKGAWLWH------------ 118474473 ----NILITGGAGYIGSHVLKSLLEEGHNITVIDNFYTGSKEALVKFEFIKCSLEDTSSLREIFRGRNFEAIIHFAAYIEVFESMVKPLKYYLNNTANAANLINLAVEHGVSKFIFSSTAATYGEPSSGVVDETSEQNPINPYGRSKLMTEWILKAALANRDFKFGILRYFNVAGASTDGLIGQNYPNATHLIKVATQTITRKRESMSIFGSDYATKDGTCVRDYIHIEDLANAHLAVLEY-LKTNDSDIFNVGYGRGFSVKEVVQTAKKVGGVDFKVLNAPRREGDPAMLISDASKLRNKTSWKPTRSLELIISSALEWEKK---------- 154248844 ----KVLVTGGAGFIGSHLTDKLVELGHDVVVIDNLSTGKRENVPKAKFVEMDIYDGQKVNELFANEKFEYVFHLAAQASVAISVKDPVKDANWNIIGSINLIKASIENGVNKFIFSSTGGAIYGEDVKPTPESVFPQPMSPYGIAKFSVENYLRFFSKEFGLKYTVLRYGNVYGPRQDP-------YGKAGVVAIFTSRMLKNEDCTIFGDGEYT------RDYVYVEDVVDANIKAME----KGDGLVINIGTATGTTTNELFKLLKKLTGYKKDPVYGPHRKGDIRKSLLCYNRAWIELKWEPKHSLEEGLRKTVEWFKRN--------- 302831027 ----HILVTGGAGFIGSHAVMVLAGAGHAVTVLDNLSRGNAGALRRFRFLRVDLGDRATLCSALATSSPDLVMHFAAVAYVGESMRDPLQYYKNVTVNTVNLLDCMAANGIKKLVYSSTCAVYGNPEKLPVTEQTPPVPINPYGQSKLMAEE--------PDFKAIILRYFNVYGSDPLGRLGEYPRPELRSQSRCMDAALGLVGHLTVKGTRHPTEDGTCVRDYIHVMDLISAHEVAMRHLANPPP--LYNIGTGKGVSVKQFVEACRRVTQRDITVVYEEARPGDYAAVWSDVSKINRELGWRANYDIEEGLRHAWQWRVKHPHGYAQ--- 296315139 --KKTILVTGGAGFIGSAVVRHIIQNTQDVVNLDKLTYGNLESLPRYAFERADICDRAELNRVFAQYRPDAVMHLAAESHVDRSIDSAGEFIQTNIVGTFNLLEAARAYWAFRFHHISTDEVYGDLHGTDDAETAPYAPSNPYAASKASSDHLVRAWQRTYGLPAIVSNCSNNYGPRQF---------PEKLIPLTILNALGGKP-------LPVYGDGAQIRDWLFVEDHARALYQVV---TEGVVGETYNIGGHNEKVNIEVVKTICALLEYEDLITFVPDRPGHDVRYAIDAAKIRRDLGWQPLETFESGIRKTVQWYLDNKTWWQN--- 220925352 ----RILVTGGCGFIGSALVLHLVERGHDVLTLDALTYAANRDDPRHRFAEADICDPERVNALFEEFQPQAVMHLAAESHVDRSITGPAAFIRTNVVGTQVMLEAARAHQAFRFLHVSTDEVYGLPPGGFFTEESRYDPRSPYSASKAASDHLARAWHETYGLPVLVTNCSNNYGPR--------HFPEKLIPLMILNALEGKPLP--------VYGDGQNERDWIHVEDHARGLVAVLE---GGRIGETYLLGGRAVRSNLEVVKTLCAIFDRERLITFVTDRPGHDRRYAIDPGKAERELGWRPTRSFEQALEDTVRWYLDNKDW------ 254171998 MRNKLIVVTGGAGFIGSHIAWELVKDN-DVIVIDNLYTGKPENVPPGAKVKADIRDYSAIAELIS--QADYVFHEAAQVSVVESIRDPVFTEEVNVLGTLNIIRALLEGH-GKLIFASSAAVYGDNPNLPLKETERPRPLSPYGVTKATAEEYLRVYHELYGLPVVALRYFNVFGPRQS-------FNQYAGVISIFINRALAGEPLVIFGDGKQT------RDFIYVKDVVRANLLVAE--SRKANGRVFNVATGRQTSILELAMKIIEITGTTSSIIFDKPRPGDIRHSLADISEIRK-LGFEPEFSLEEGLKKTVEFFRE---------- 119947067 ----KILVTGGAGFIGSAVIRNIISNTDSVINVDKLTYAGNEASERYSFEQVDICNRTELDGVFKKHQPDAVMHLAAESHVDRSIDGPAAFIETNIVGTYTLLEASRQYWAFRFHHISTDEVYGDGTDDLFTETTSYEPSSPYSASKASSDHLVRAWQRTYGLPTLITNCSNNYGP--------FHFPEKLIPLIILNALDGKPLP--------VYGNGMQIRDWLYVED----HASALYTVVTKGEGETYNIGGHNEKANIEVVTTICSLLEYEDLITYVTDRPGHDVRYAIDASKIERELGWAPAETFESGLRKTVEWYLNNKKWWSR--- 284047879 -TNKKILITGAAGFIGYHLAKRLLSLGVQVAGLDNMNAYYDVQLKKDRFTQGDLADGETVNRIFEEFRPDIVVNLAAQAGVRYSIDHPREYIDSNIIGFFNILEACRHYQPEHLLFASSSSVYGNQKKTPFTTDNVDHPISLYAATKKSDELMAYTYCHLYGIPSTGLRFFTVYGP----------FGRPDMAYFKFTNKIMKGEPITIFNQGDMYRDFTYVDDIVTG--IQNMLCCPPKPNGEGDRYKIYNIGNNHPEKLMTFIETLEKALGKTAEKEYMPMQPGDVYQTYADVSELEKDFGFRPSTSIAEGLGKFARWYREYY-------- 269793217 MNKRRILITGGCGFIGSNLVRLALQKGYSVINLDKLTYAGNRSSLAGTFVQGDICDLALVQRVLEEHRPSGILHLAAESHVDRSIDGPEEFIQTNIVGTHRLLVATLTFWKFRFLHVSTDEVFGLGPEGLFHEFTPYDPRSPYSASKASSDHLVRAYGHTYGLPVLVTNCSNNYGP----------YQFPEKLIPLVINSAIRNKPLPVYGDGY------NIRDWLYVKDHTEALLLVFER---GKPGETYAIGGRSEKTNIEIVRTVCALLDYAEQITFVPDRPGHDRRYAIDSSKIAVELGWTPRTPFEEGLRRTVLWYLENQPWVED--- 136129531 -----ILVTGGLGFIGAHTATALLEKDYEVLIVDDLSNSTMDVLKKPFFEELDLKDSAKASQLFKNYHIDSIIHFAAYKAVGESVEKPLEYYENNTVPLINLIEIKKSKRDFPFIFSSSCTVYGEANRLPITEDEPIKPASPYGNTKQIGEEILVDATKANNLQAISLRYFNPIGAHPSNEIGELPLGPQNLVPFITQTAAGLHEQLTVFGDDYPTPDGTCIRDYIHVVDLANAHITALQRHLSTEKYEVFNLGTGAGSSVLEVINTFEKVSSQKLSYTIGPRRSGDVVAAYADTQKAKNVLGWKAEQTLEQAIASAWAWEQK---------- 157144420 ---KRILVTGGAGFIGSAVVRHIIDETDAVVVVDKLTYAGNLMSLRFAFERVDICDRASLDRVFQQYQPDYVMHLAAESHVDRSIDGPAAFIETNIVGTYTLLEAARTYWAFRFHHISTDEVYGDLHSTFFTETTPYAPSSPYSASKASSDHLVRAWLRTYGLPTLVTNCSNNYGP--------YHFPEKLIPLMILNALAGKPLP--------VYGNGQQIRDWLYVEDHARALYRVL---TAGEVGETYNIGGHNERKNLEVVETICDLLEYRSLIAFVDDRPGHDLRYAIDAAKIARELGWTPQETFESGMRKTVQWYLANEAWWK---- 226366203 ----KLLVTGGAGYVGSVCSTVLLERGHEVVVIDDLSTGNADAVPLGEFIDGDVGEAADVLGTSGTPRFDGVLHFAAQSLVGESVQYPEKYWRGNVVTTLELLEAMRHSGTQKLVFSSTAATYGEPEHSPITEADPTRPTNPYGATKLAIDHAITSYSVAHSLAATSLRYFNVAGAYKSA--GENRVVETHLIPLVLQVALGQREKISIFGTDWPTPDGTAIRDYIHVLDLAEAHVLALESSI-EGRHRIYNLGSGAGFSVREVISACARVTGLPIAVEDAPRRAGDPAVLVASSDRAIAELGWRPETDLDEIVADAW--------------- 71278382 ------LITGGTGYIGSHTVVELLQKEQEIVIVDNLSNSSTKVLERIKFIKADVCDENALEQVFNEHKIEAVIHFAGLKAVGESNEIPLAYYQNNVSGTITLLRVMAKYQVKNLVFSSSATVYGNNVS-PLNETMATSATNPYGQTKLMVEHVLFDLAKSDSWSIACLRYFNPIGAHQSGLIGENPNGPNNLLPYVAQVAVGRLEQLQIFGDDYDTQDGTGVRDYIHVVDLAQGHVKALESLGHAKGCQAINLGTGNGTSVLEIVNTFKDISKQDIPYQVVPRRAGDLATVYADASLANELLDWQAKLDLTAMIQDTWRWQSENPNGF----- 87199635 -----ILVTGGAGYIGSHAVLALLDAGWPVIVLDNLTTGFRWAVPRASFVEGDVTDSALVRSILTYHEVAAIMHFAGSVVVPESVVDPLKYYGNNTAATCSLLRNAVDCGVPHIVFSSTAATYGSPDVAQVCENTPTRPINPYGRSKLVVEAMLADAAAAYPINYCALRYFNVAGADPAGRTGQSTAGATHLIKVCVEAALGRRELVEVYGTDYDTHDGTGVRDYIHVSDLADAHVHALHLLMDDPESHTMNCGYGTGFSVLDVLDAVERISGREIRRVMRPRRPGDPAALIADNNLILSRTRWRPRHRLGDIVRHALAW------------- 209884477 MSKKTILVTGAAGFIGFHVAQRLLQAGHEVIGLDNINDYYDPALKEARFEKIDLADRAAVRALFETHRFPLVIHLAAQAGVRYSIDHPNVYIDSNLQGFANILEGCRHNGCEHLLFASSSSVYGANTKLPFSEHDSVHPISLYAASKRANELMAHTYSHLYGLPATGLRFFTVYGP----------WGRPDMAMYLFANAIVADKPIRLFNNGDMLRDFTYVDDVTEAKPNPAWNPEAPDPATSHAPWRIYNIGNNKPEKLMDLVAAMERELGRTARKELLPMQAGDVYATYADIEDLRREVDFRPDTPLADGVARFVAWYRQYHR------- 260596016 ---KRFLVTGGAGFIGSAVVRHLIQNDHAVLVLDKLTYAGNLASLRFAFEQVDICDAQSLDRLFTQFKPDVVMHLAAESHVDRSIDGPAAFIETNIVGTYTLLEAARRYWAFRFHHISTDEVYGDLHDDFFTETTPYAPSSPYSASKAGSDHLVRAWRRTYGLPTLVTNCSNNYGP--------YHFPEKLIPLTILNALAGKPLP--------VYGNGQQIRDWLYVEDHARALCLVA---TEGVVGETYNIGGHNEQKNLDVVKTICALLEYSSLITFVQDRPGHDLRYAIDASKIERELGWRPQETFESGMRKTVQWYLDNETWWKQVQD 224008156 MGYKKVLVTGGAGFVGSSVAEELLSRGDDVVIVDEMNDYYDVRIKENNIYRGDICDEDFMLQLFEKEAPQWICHMAARAGVRPSIQDPYVYIHSNIRGTTHLMELAHKFGVKNFVFASSSSVYGGSTSTFFSEEENDNPVSPYAASKKACELLAYTYHHLYKLNVTGLRFFTVYGPR--------GRPDMAPFKFIDRVSRGL--------ELQQFGDGSSSRDYTYIGDIVDGIIRAIDRPH---PYEVFNLGKGSGTSLRDFISIVEKHVGRDAIIKIMPDQPGDVPYTCADVSKASELLGYRATVPFDEGIRRTVDWYQN---------- 119357786 ----RILVIGGAGYIGSHVTRSFLDRGYRVTVFDNLSSGLRENLFEAEFVHGDIMQPMQLRSVMAG-GFDGCVHLAALKAAGQSMQQPEMYAEANLSGTINILNAASATGLKNIVFSSSAAVFGNPQYLPIDEEHPKEPVNFYGFTKLEIERLLAWFDQLKNINYAALRYFNAAGYDVRGRVSGLERNPENLLPVVMEVAAGIRSRLSIFGHDYPTRDGSCIRDYVHVSDLAEAHVTAFESISKHNLSLAVNLGSETGISVLEMVERARLLTGRPIPADIVGRRAGDPPDLVASSEKARKLLGWLPQYSIDTLILSTWRAYEK---------- 159046406 --TQTILLTGGAGYIGSHTYVALKAAGFEVVILDDFSNARLELITGAPVYEGSVLDRGLLARLFTETRIDAVVHFAARKAVGESVAMPLAYFETNCTGLVGLLQEMEAACVHRLVFSSSATVYGIPDVTPTPETAPHRHMNPYGLTKITGELILDALATSDPKWAFGTRYFNPAGAHGSALIGEDPDIPNNLMPYIAQVAMGQRPHLQVFGDDYPTPDGTGVRDYIHVEDLAEGHVLSLKSLLETGESHLVNLGTGRGYSVLEMVAAYSAACGRALPYRIVDRRPGDVPIYCATVERARALLGFEAKRDLAQMCASSWAW------------- 189501414 MSVENVLVIGGAGYIGCHVVREFLDEGYRVTVFDNLSTGTRRNLFEASFVHGDIMQTIRLREVMA-EGFDGIVHLAALKAAGQSMVVPEAYTEANIGGTINILNSALAAGVPNMIFSSSAAIFGSPRYLPIDEDHPKEPENFYGFTKLEIERLMEWYDRLKGLKYASIRYFNAAGYDVQGRVDGLEMKPENLLPIVMETAVGLRKGMSVFGDDYQTPDGTCIRDYVHVSDLARAHVAAYKYLREHNQSFAVNLGSEKGVSVAELIDRAREITGREIPAAVGPRRPGDPAELVASSAAARKMLDWEPRYSVNTLIDSTWQVYCRRYR------- 148238428 ----NILVTGGAGFIGSHTCLRLLEEGHQVVSIDNYSNSTVQSLTRIKIIDGDIRRSVDLFKAFNCLDIDAVIHFAGLKSVGESMKKPLKYWDINVNGTSNLLMAMSKHGCKTLVFSSSCTVYGTSKQKKINEASTIAPINPYGRTKAAVEQMLLDFNSDPQWRICCLRYFNPVGAHPSGHIGEDPKGPGNLFPFLMQVAKKQRKKLNIFGNDWPTADGTCIRDYIHILDLVDGHLAALRFCEEAPQWLAVNLGTGIGVSVLEFVSAFEQATGLVVPYEFVERRAGDAAVAVADPSVALKKLKWKPVRSLRDICIDGWKWQNANPNGFNNQ-- 288963204 ----RILVTGGAGFIGSAVVRQLLAETDAVVNVDKLTYAGAAGNPRYAFEQVDICDAAELRRVFDTHQPDAVMHLAAESHVDRSIDGPGEFIQTNVVGTFRLLEAVRGYWRFRFHHISTDEVFGTGDDGFFTETTAYSPNSPYSASKASSDHLVRAWHETYGLPVVLTNCSNNYGP--------YHFPEKLIPLMILKGLAGEKLP--------VYGKGDNIRDWLYVEDHARALRLVLEKGRI---GESYNIGGHNERTNLEVVRAICAHLDHDRLISFVTDRPGHDKRYAIDAGKIERELGWTPLETFESGLRKTVAWYLENRDW------ 297197640 ----TVLVTGGAGFIGSHTCVELLAHGYEVVVVDNHVNSSPHALERAAAYRVDVRDRLALAQVFTTHQVDAVIHFAAHKAVGESVALPVEYYDTNVGGTCALLSVMHEHAVRRLVFSSSCSVYGDARTVPLTEQSPVAPTNPYARTKLTCERILEDVCHLTDMKVLALRYFNPVGAHPGGLLGEDPQGPNNVMPYVAQVAVGRRERLSVFGDDYPTPDGTGVRDYIHVMDVAEGHRVALQHLDDRTGMRVFNLGTGTGTSVLQLVAAFGEASGRPIPYQVVDRRPGDVAELVADASAVADAWEWTTTRDLAAMCRDAWRFQELNPHGYA---- 290959942 ------LITGGAGYIGAHVVRAMLDAGEETVVYDDLSTGVAERVPDGPLEIGSTLDAERLARVVRDRRVTGVVHLAAKKQVGESVELPLHYYRENVEGLRTLLSAVTDAGVGSFVFSSSAAVYGMPDVDLVTEETPCVPMSPYGETKLAGEWLVRATGRATGLSTASLRYFNVAGAASPGLADTG----VLNLVPMVFEKLSEGAPPRIFGTDYPTPDGTCVRDYIHVVDLAEAHVAAARRREAPGTDLTLNIGRGDGVSVREMIDRITALTGHAVPPVAVGRRPGDPARVVASAGRIAAELGWKARYGVDDMIASAWAWQVNHP-------- 256380335 ----RVLVTGGAGFIGSHYVRELVGADAEVVVLDKLTYAGNEANLRLRFVQGDICDRDLVAELVKGA--DAVVHFAAESHVDRSISGSADFVLTNVLGTQTMLQAALEAEVSRFVHVSTDEVYGSIEQGSWTEDHVLEPNSPYSASKASSDLLARAFHRTHGLPVCVTRCSNNYGP--------YQFPEKVIPLFVTNLVDGKKVPL--------YGDGLNVRDWLHVDDHCRGIQLVLE---GGRGGEIYNIGGGTELTNRELTEKLLEATGRDWDDSVEPDRKGHDRRYSVDITKINQELGYSPKVDFAEGLASTVAWYRDNRDWWE---- 295148946 ----KILVTGGAGFIGSAVVRHVIQNTQDVVNLDKLTYGNLESLERYAFEQIDICDRADLDRVFAEHQPDAVMHLAAESHVDRSIDGPAAFIETNIIGTYTLLEAARHYWKHRFHHISTDEVYGDGTDDLFTETTAYEPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLMILNALEGKALP--------VYGDGMQIRDWLFVEDHARALYKVVTEGL---VGETYNIGGHNEKANIEVVKTLCALLEYADLITYVKDRPGHDVRYAIDASKIERELGWKPEETFESGIRKTVEWYLHHREWWSR--- 143904036 -KKQNILVTGGAGYIGSHVCHLLIDQGYSVTCIDSLITGNKELLKEVKLEVFDISEKKKVSNLIKSNNFDLVMHFAGLIRVDESVLQPERYRNYNFIKAKDFIETCYQNNLKKLIFSSTAAVYGNPKNEKVSEDDPTDPLNPYASSKLEFENFIKETSNQYNSKYIILRYFNVAGADEKLRTGLISKVSTHLIKIASEVATNKRDYLIVNGNDYDTPDGTPIRDYIHVSDLADIHLVSAKHLISDGDSNMFNCGYGNGFSVKEVIENLNSILKTKIKVKIGPRRSGDSQKLISNIDKFYNLFKWKPKFDIKKILDTAVKWEKK---------- 212224061 MRNKLVVVTGGAGFIGSHIAWEL-SKDNEVIVIDNLHTGKRENVPAAKFVRADIRDYESIAELISHA--DYVFHEAAQVSVVESVRDPIFTEEVNVIGTLNILRA-LMEGHGKLIFASSAAVYGNNPNLPLKETETPRPLSPYGVTKLTAEQYLRVFNELYGIPAVSLRYFNVFGPRQSAN-------QYAGVISIFINRALKNEPLVIFGDGKQT------RDFIYVKDVVRANILVAE--SRKANGRVFNVATGRQTTILELAMKIIEITNATSSILFDKPRPGDIRHSQADISEIRK-LGFEPEWTLEDGLKKTVEWYQA---------- 213404504 MKQQNVMVTGGAGYIGSHTVVVLLEQGYDVVVVDNLCNSREESIRRVKFYKVDLLHLDDLDGVFQKHKINSVIHFAGLKAVGESVQIPLDYYHNNITGTVHLLKCMKKHDVRNFVFSSSATVYGDPTRPPIPESCPREATNPYGRTKLFIEHIIEDEVAWPRLNSALLRYFNPGGAHPSGVIGEDPLGPNNLLPYIAQVAVGRREHLNVFGDDYETVDGTPIRDYIHVCDLAEAHVAALGYRAHEVHCRAWNLGSGTGTTVFQMLNAFSKAVGRNLPYKVVARRDGDVVNLTANPGRANQELGWKTHRSIDQLCQDTWKWQSQNPFGYGKDDE 146299488 ----KVLVTGGLGFIGSHTVVELQNEGYEVVIIDNLSNSSEDVLKGITFEKIDLREKSAVRDFFKKHDVTGVIHFAASKAVGESVEQPLLYYENNISSLVYLLQELQQKPEASFIFSSSCTVYGQAEKMPITEDAPVQTMSPYGNTKQMGEEIITDTAKVTNISAILLRYFNPVGAHETAEIGELPLGPQNLVPFITQTGVGLRQELSVFGNDYPTPDGTAVRDYIHVVDLAKAHVIALQRLFNKAKVETFNLGTGKGSSVLEVIHSFEKVSGKKLPYKMMPRREGDITEAYANTDKANNVLGWKAELSLDEAMASAWKWEQK---------- 10505382 ----RVLVTGGAGFIGSHYVRELLGADAEVVVLDKLTYAGNEANLRLRFVRGDICDAELVRTLMTGV--DVVVHFAAESHVDRSILGATEFVMTNVLGTQTLLQAALEAGVGKFVHVSTDEVYGSIDEGSWPEDHPLEPNSPYSASKASSDLLARAFHRTHGLPVCVTRCSNNYGP--------YQFPEKVIPLFVTNLMDGRPVPL--------YGDGLNVRDWLHVDDHCRGIQLVVE---GGRPGEVYNIGGGTELTNRELTERLLAVMGADWMVEQVPDRKGHDRRYSVDITKISTELGYRPRVPFEEGLARTVAWYRENREWWE---- 156049239 MSVGTVLITGGTGYIGSFTTLALLEHGYKVVIVDSLYNSSEAALKRPDFYQVDITDERELEKVFAAHKIDSVIHFAALKAVGESSEIPLEYYRVNVGGTITLLRCMTRFNVPNIVFSSSATVYGDPNMIPIPEHCPIGATNPYGRTKSMIEDVIIDHIKYEQWNGALLRYFNPAGAHPSGIMGEDPLGPFNLLPLLGQVATGKREKLLVYGEDYSSRDGTAIRDYIHVVDLAKGHLAALNHLRNQPGVRAWNLGSGRGSTVFEMIKAFSDVVGRKLPYEVVDRRAGDVLDLTANPTRANEELNWKTELTLEDACKDLWKWVSNNPQGYRQD-- 126663155 ----KILVTGGLGFIGSHTVVELQNKGFEVIVVDNLSNSSLDVLKKPIFENIDLREKSAVQNFFKYFDIAGVIHFAASKAVGESVENPLLYYENNINSLVYLLQEIKELKNANFIFSSSCTVYGQAEKMPITENAPQQAMSPYGNTKQIGEEIITDVAKVTNLNSILLRYFNPIGAHSSAEIGELPLGPQNLVPFITQTAIGLRDKLSVFGNDYPTADGTAIRDYIHVVDLAKAHVIALQRHKNLEKVETFNLGTGTGSSVLEVITAFEKVSEQQLKYQIVERREGDVIEAFANTDKANTVLGWKAQSSLEEALASAWKWELK---------- 282865465 MTNKKYLVTGGAGYVGSVVATHLLEAGHTVTVLDDLSTGFREGVPAGEFIEGRVQDAAKWLDASY----DGVLHFAAFSQVGESVADPEKYWVNNVGGTTALLAAMRDAGVRTLVFSSTAATYGEPVSSPITETDPTAPTSPYGASKLAVDHMITGEARAHGLAAVSLRYFNVAGA--YGDCGERHDPESHLIPLVLQVALGRRESISVYGDDYPTPDGTCVRDYIHVADLADAHLLALDA-ATPGEHLICNLGNGNGFSVREVIETVRQVTGHPVPETAAPRRDGDPAVLVASAATARERLGWQPARDLAAIVADAW--------------- 229175941 ----KVLVTGGAGFIGSNFVRYMVKKEYNLVNLDALTYAGNESLPNYKFVKGDIADREFINRLFKEEKFDYVLNFAAESHVDRSITNPDIFIQTNIQGTQVLLDAAKNAQVKKYLQVSTDEVYGTGDTGYFSEETPLASNSPYSSSKAGADLLVRAYHETFGLPVNITRCSNNYGP--------FHFPEKLIPLMIINALNNKQLP--------VYGDGLNVRDWLHVEDHCQAIDLVLHKGEN---GEVYNVGGNNERTNIEIVKTILKALDKPESIQYVTDRPGHDRRYAIDATKLREELGWSPKYNFDTGIEQTINWYLENQDWWEN--- 221124294 ----TILVTGGAGFIGSNFVDWLAGSSETVVNLDKLTYAGNLQNLAHVFVQGDIGDAELITRLLQQYQPRAVLNFAAESHVDRSIHGPGEFIQTNIVGTFHLLESVRAYWAFRFLHVSTDEVYGTKTDPAFAETNRYEPNSPYSASKAASDHLVRAYHHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLMIVNAQAGKPLP--------VYGDGQQIRDWLYVKDHCSAIRRVLEAGVL---GETYNVGGWNEKPNLDIVHTVCALLDYKEQITYVTDRPGHDRRYAIDARKIEQQLGWKPSETFETGIRKTVQWYLDNPQWVAN--- 310817082 ----KILITGGAGFIGSAVVRQAVAAGHHVVNLDALTYASVADSPLYAFEQADIRDAAALEAVFARHAPDAVMHLAAESHVDRSIDGPGAFVETNVMGTYTLLQAARAYWGFRFHHISTDEVFGSLPHDPFTEDTPYDPRSPYSASKAASDHLVRAWHETYGLPVVLTNCSNNYGP--------FHFPEKLIPVIILNALAGKPLP--------IYGDGSNIRDWLYVEDHATALLTVLEK---GKLGRSYNIGGENERTNLELVQTLCAILDEKQQITFVTDRPGHDARYAIEPERIRTELGWRPSVTVEQGLALTVDWYLANESW------ 170290383 -----IIVSGGAGFIGSHTVDELLELRMDVCVIDNFYSGSPENLRGYEKLRVDIRDFNSIFEGIKGE-VEGIIHLAAIVSLDEARANPKLAFETNFLGTLNMLELARKLDVGRFVYASSVAVYGEPVYLPIDESHPLKPANLYGLSKLMGEQLAMSYMEEYGIDVVALRYFNVYGPRMRS-------GPYSGVVHIFITSLLRGEPVRIFGDGDQT------RDFVYVKDVAKANVKSLFSNVKGA----FNVGTGVETSINELLSLISDLLGVRAEVKYESPRKGDVRRSRASAEAIREAIGWTPEVGIREGLKRTIEWYRR---------- 154252370 ----TILVTGAAGFIGSYLCHYLLDRGDEVVGVDDLNDYYDPALKAARLERLDISDKDALAEAVSGRRIAKVAHLAAQAGVRYSLENPRAYVRSNLTGHLEILELCRGGTVEHLVYASSSSVYGGNEKVPFSEDPVDHPVSLYAATKKADELMSHAYAHLYGIKQTGLRFFTVYGP----------WGRPDMAYWIFTEAMLKGKPIRVFNDGDM------WRDFTYIDDIISGTVAALDHAPKGAPHRIYNIGHNKPERLGRFIDILEEVLGVKAVRQYEPMQPGDVPRTFADITAIERDLGFSPKTGLREGLAAFADWYRGYYR------- 224023707 --KERILVTGGTGYIGSHTVVELQNSGYEVVIVDNLSNSRADVVIRPAFEHVDCLDYEGMDAVFKKYGIKGVIHFAASKAVGESVQKPLLYYRNNLVSLINLLELMPKHNVGGIIFSSSCTVYGQPDVLPVTEAAPIKAESPYGNTKQVNEEIVRDTVASAPINAILLRYFNPIGAHPSALIGELPNGPQNLVPYVTQTAMGIREKLSVFGDDYDTPDGSCIRDYIYVVDLAKAHVIAMKRILEKDKVEVFNLGTGRGLSVLELVHSFEEATGVKLNYQIVGRRAGDIVKVWADPTYANQELGWKADTPILDVLRSAWNWQ------------ 157961225 ----KILVTGGAGFIGSAVVRHIINNTQDVINVDKLTYAGNESNERYVFEQVDICDRAELDRVFAQCQPNAVMHLAAESHVDRSITGPADFIQTNIVGTYTLLEATRAYWAFRFHHISTDEVYGDLPHPLFTETTAYEPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKPLP--------IYGKGDQIRDWLYVEDHARALYKVVTEGL---VGETYNIGGHNEKQNLEVVQTICSILDYSQQITYVTDRPGHDRRYAIDSSKMQRELGWTPVETFETGLRKTIEWYLTNKEW------ 284040673 ----KILVTGGAGFIGSHTVVSLVNAGFEPVIIDDFSNSERSALRDVTCYPVNCNDAEIMADIFRKEAPIGVIHFAANKAVGESVAKPLKYYRNNLDPLMLLLELMPQFSVQNFVFSSSCTVYGQPAQLPVTEETPRLPASPYGNTKAIGEDIIRDAIAQIPVKALALRYFNPIGAHPSAEIGELPLGPANLVPFITQTAAGIRPSLTVYGDDYNTPDGTCIRDYIDVGDLADAHVQALKKLIEAPAGASYNIGTGRGETVLNVIRTFEEATGVKVNYVIGPRRAGDVEQVYADVTKANRVLEWSARRSLAESLRDAWNWQKK---------- 142821435 ----RILITGGAGYIGSHVCNLLLDKGFKVTVIDNLVTGNKELVKKAKLIIADIADEKKIEYVITNEKFDLVMHFAGLIRVDESVKEPEKYNEFNFEKAKKFLNICFKNKLFKIIFSSTASVYGNSKKQKVLETDPLDPLNPYAISKLKLENFIISKSKELKISYIILRYFNVAGADERLRSGLISKFSSHLIKRACEVAVGKREKLIINGNDYNTLDGTPVRDYIHITDLADIHYISAKHLMENGKSEIFNCGYGKGYSVKEVLESFSKVLGKKINFEIGPRRDGDSEMVVANSDKFNKFFNWKPKFDIKFILKTAIDWEKK---------- 15615941 --TKKVLVTGGAGFIGSHIVELLLNKGYEVVVVDNLTTGQFENIFNVPFYKTDIV-SSELKDIFSKEKPNYVIHHAAQVDVTKSINLPTYDAETNIIGTINLLSCCCQYEVDKVIYASSCAVYGDTGDSSITEDFPIQPISFYGISKSVPEMYIRQFHDLYGLKYTIFRYANVYGPRQTS------KGEGGVISIFTTKALKREQP-------IIYGNGEQTRDFIYVEDIAKANALALD----IGDNEIFNIGTNQKTSINELYNKVNVVRPFAPSAKYTSPREGDILHSRLSYVKAKKILGWKPSVSLERGIQETLKYYEQ---------- 294637939 MAVRRILVTGGAGFIGSAVVRHIIAQTDSVVVVDKLTYAGNLASLRYAFERADIGDRAAMDRILAQYQPDAIMHLAAESHVDRSIDGPAAFIQTNIVGTYTLLEAARAYWIFRFHHISTDEVYGDGPQDLFREDTPYAPSSPYSASKASSDHLVRAWLRTYGLPVLVTNCSNNYGP--------YHFPEKLIPLTIINALAGKPLP--------VYGNGLQVRDWLYVEDHARALYRVV---TAGKVGETYNIGGHNERRNIDVVTTLCALLQYADLITYVTDRPGHDLRYAIDASKIERELGWRPEETFESGIRKTVAWYLAN--------- 256767336 ------LITGGAGYIGAHVVRAMLLAGEKVVVFDDLSTGREDRVPEGPLVTASVLDRLALDEAIREHKITGVVHLAGKKQVGESVEKPLYYYRENVQGLTVLLEAVAAAGIRNFLFSSSASVYGMPDVDSVTEETPCKPLSPYGETKLAGEWLVRAAGKAHGISTACLRYFNVAGAATPELADTGVFNLVPMVFERYDAGEGA----RIFGDDYPTPDGTCIRDYIHVEDLADAHVVAARRLAEWGAAGEYNIGRGEGVSVKEMVELLNAGTGHTYAPVVTPRRPGDPAKVVASADRIASELGWKARHDVREMITSAWAW------------- 257056728 ----KLIVTGGAGYIGSVCTARLLEAGHEVTVVDDLSTGHADAVPEGA--RFVQGDAAEVASTLLAEGFDGVLHFAAKSLVGESMQDPGAYWRGNVLTSLRLLEAMRTHGVQRLVFSSTAATYGEPETTPIPETAPTAPTNTYGATKLAIDHAITSYARAHGLAAVSLRYFNVAGA--YGRYGERHTTETHLIPLILQVATGHRDQVYIYGDDYPTEDGTAIRDYIHVV-DLADAHLLALAHAEPGTHQIFNLGNGTGFSVRQVIDACREVTGHPIPAVVSQRRAGDPAILVASSDKARQVLGWKPQTDLPGIVADAWEFTRQ---------- 260494318 ---QTILVTGGAGYIGSHAVVELLDNNYDVVVIDTLENGFKEFVKRAKFYQGNVQDFELMSRIFQENKIEAIMHFAGYIRVPESVDDPNKYYFNNTYTTMCLIQSMVKHNVKNIIFSSTAAVYGIIEDQPIDEKHSTIPINPYGASKLMSERIILDCAKAYELNYSIFRYFNVAGAHEKYPIGQKGEGVTSLITLTLQAAKDSNRVLEVFGDDFPTKDGTGIRDYIHVVDLVKAHVLSL-KLLFKNESNIFNLGNGNGFSVLETVEAARKVTCKKISYKITGRRKGDPACVIASSKKAQKLLGWKTQYTVEKIIETGWHF------------- 92090788 ---KRVLVTGGLGYIGSHVVVSLLLTGYQPIVIDNCHNSYPEALNRCAEHDLDLRDASAIEQVFEQYAADAVIHLAALKAVGESAELPLSYYRVNVAGSISLLEIMAKYSCNNLVFSSSATVYGTPATIPIPETSPLIPESCYGRTKAMVEEIIHDLTKKPNLRAVSVRYFNPAGAHPSGKLGEEPRGKPGNLLPLLAQMAVGREKSKVFGTDFPTPDGTCVRDYLHIMDLAHGHVLALDADPKDGYFRAFNLGRGQGMSVLNMIEAMRKATGFDYQYEIVGRRKGDVPDLTADPSLAQKELGFVAKEDLESMCRDLWNFQTRHPTGYSS--- 152967814 -----VLVTGGAGYIGSHVVRLLQQRGERVVVVDDLSNGRAQRVGDAPVVQVDLTDAAAGALASAFHDVDAVIHFAARKQVGESVAKPARYWAQNVGGTANLLQAMEDAGVGKLVFSSSAATYGAPATALVREDGPAEPINPYGQTKLVGEWMSRAAGVAWGLRAANLRYFNVAGAGWS----DLGDAAVMNLIPIVFRALDEGRAPVVFGDDYPTPDGSCIRDYVHVLDLARAHLAALDHLAADERPFDFNVGSGSGSSVFEVLRVVREVTGVDVQPDVRERRAGDPPQLVADVSRINDTLGWSTTKDLTDIVASAWEW------------- 142669804 ----KILVTGAAGFIGYHLVQSLLKDDHEIIGLDNFNSYYDVNLKRARMFEIDLVDNKSLESLFKKNDFDVVVNLAAQAGVRYSLTNPEKYIESNIIGFTNILEACRHNKIPNLVYASSSSVYGMNSEVPFDEDHVDHPVSLYAATKRSNELMAHTYSHLFDLPTTGLRFFTVYGP----------WGRPDMALFLFTKAILDEKPIQVFNDGKMIRDFTYIDDIVSGVKGAESNNVKLKPSISSAPYRIFNLGNNQPVTLENFINAIEASTGKKAIKEYLPMQPGDVKKTFANIDKAGESLGFVPSTSIEEGVKKFVDWYIEYYE------- 142215192 LSNKTIFVTGGAGFIGSAVIRQLIKDTATVINIDKLTYASIDQDPRYVFEKVDICDRDELDRCFKQYKPDAVMHLAAESHVDRSIDGPAAFIQTNVVGTYTLLDAALAYWAFRFHHISTDEVYGLGETGLFTEETQYQPNSPYSASKASSDHLVRAWHHTFGLPVVTSNCSNNYGP--------YQFPEKLIPLMILNGLEGKDLP--------VYGQGTNVRDWLHVEDHADALITIMTRGV---PGEVYNVGGHNEKQNIEVVTGICDLLDRRDLITYVTDRPGHDARYAIDASKIDRTLGWTPKWTFETGLEHTVRWYLDNADW------ 134381208 ----NILVTGGAGYIGSHTAHQLITAGHRVTVVDTLYSGHLWAIPENAFHQIDAGNREGMLEIMRANAFDAIIHFAGHIVVPESVEHPGKYYQNNVVASFNLIECAQKSGIGLFVFSSSAAVYGNPPSIPVNENAPLAPINPYGRTKLITEWTLQDISSNKPLRYVALRYFNVAGAQIEGHLGQSTPEATHLIKVACEAACQKRSGVEIFGTDYDTPDGTCIRDYIHVEDLAAAHLKALDYLGAGEPSTIINCGYGHGYSVRQVLDTVQKINGTNFPIQESKRRAGDPAQLIADNQKIHDLLQWKPRYD------------------------ 125552068 -----ILVTGGAGYIGSHTVLSLLERGEDVVVLDNLSNSSAESLQRAVFYQGDVQDAECLHRIFGAHDITAVIHFAGLKAVGESTRKPLEYYQNNVTGTLVLLDAMRRAGVHDFIFSSSATVYGANSPVPYVETTPIGTTSPYGTSKLMVEQILQDFAKEPQFSIIALRYFNPVGAHESGLIGEDPNGPNNLLPYISQVAIGKLEKLGIFGGDYPTKDGTGERDYIHVMDLADGHLKAMDHLAKISGFKAYNLGAGVGHSVLAMVQAFEKASGVTIPYQILPRRDGDLPAFWANADLAYQELGWK---------------------------- 163748304 ----KLLVTGGAGFIGSAVVRLALAQGHAVVNLDALTYAACLDNLAGVFEHADIRDAQALVRIFTDHTPDAVLHLAAESHVDRSIDGPGAFIDTNVTGTYTLLQAARAYWGFRFHHVSTDEVYGLGAEGYFTEETPYAPNSPYSASKAASDHLVRAWGETYGMPVLLSNCSNNYGP--------YHFPEKLIPVVILNALSGKPIP--------IYGTGENIRDWLYVEDHAEALLTVL---TKGAVGRSYNIGGNAEARNIDLVRQICALLDYADLITFVEDRPGHDARYAIDASRIRTELGWQPSVTLEEGLRHTVAWYLDNPAW------ 255954639 MPSGSVLVAGGTGYIGSFTTLALLEAGYKVVVADNLYNSSPEALKRAEFIQLDVTEENGFDKVFEAHDIDSVIHFAALKAVGESGEKPLDYYLVNVYGTINLLRSMVKHNVTNIVFSSSATVYGDPNMIPIPEDCPLGPTNPYGNTKFAVETAITDVIEAKKWNGALLRYFNPAGAHPSGIMGEDPQGPYNLLPLLAQVATGKREKLMVFGDDYASHDGTAIRDYIHILDLADGHLKALNYRANNPGVRAWNLGTGKGSTVYEMIRAFSAAVGKDQPYEVAPRRAGDVLNLTANPTRANTELGWKAERTLEQACEDLWLWTKNNPQGYRQE-- 86132110 ----KILVTGGLGFIGSHTVVELQTKGYDVIIIDDCSNASQEVLIEPALEIFDLREKKLVEDFFNKHDIGGVIHFAASKAVGESVKNPLLYYENNIGTLTYILQQLKKLELPTFIFSSSCTVYGQADELPITESAPVKPASPYGNTKQIGEEIIRDTCSIPELKAIALRYFNPIGAHPSTHIGELPLGPANLVPYITQTAIGLREELSVYGNDYPTPDGTAIRDYIHVVDLAKAHVVALERNKNKSNYEVFNIGTGTGSSVMDVITSFENVSGKKLNYKIVARRPGDVVAAYADTTLANQELGWTAKSSLDDMLASAWKWEQK---------- 84386936 MKKRTILVTGGAGFIGSAVIRHIINNTDSVINVDKLTYGNLESLIEVDFEQVDICNRSELDRVFADHKPDAVMHLAAESHVDRSITGPAAFIETNIVGTYTLLEATREYWNFCFHHISTDEVYGDPDEVPFLETTSYEPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKELP--------IYGKGDQIRDWLFVEDHARALYKVV---TEGKVGETYNIGGHNEKKNLEVVNTICEILDYAEQITYVQDRPGHDRRYAIDSSKMQRELDWTPEETFETGLRKTVQWYLDNSTWCQNVQD 89056334 ----KILITGGAGFIGSAVVRLAVARGHEVINLDALTYAACLDNVLYTFEKADICDREAMDTILSRHTPDAILHLAAESHVDRSIDGPGAFIQTNITGTYTLLEAARTYWGFRFHHVSTDEVFGTGDTGLFTEDSPYAPNSPYSASKAASDHMVRAWAETYGLPVVLTNCSNNYGP--------YHFPEKLIPVVILKALAGETIP--------VYGDGKNIRDWLYVEDHADALLCVLEKGEL---GRSYNIGGHNERTNIDLVRTICGLLDHDRLIEFVTDRPGHDMRYAIDATRIQEELGWTPSVTVEEGLAKTVDWFLANEDW------ 198426309 MAERYILVTGGAGFIGSHTVVELLEEGESVVIIDNLSNANEKHRKRLHFRKGNYGDRKILDSIFTEFPIYAVVHFGAFKAVGESKQVPMKYYKNNVKEALSLLKAMNAHGVKNLIFSSSCTVYAEPEKLPLTEDSPLGECNCAYASKYFIENILRDVTVSDGWKVMSLRYFNPVGAHHSGMIGEDPKGPTNLMPYIAQVAVGRREELNVFGSDYPTPDGTPVRDYVHVVDIAKGHVAALKALPAMQQSFRYNLGSGVGTSVLEMVQAFERASGVVIKTVMKDRRPGDQAFTYCQPKRAFEELGWKTEKTVDDMCADIWRFQKQNPNGY----- 135090507 ----KILVTGGAGYIGSHTVIELINAGHEPVIVDNFCNSRRSVLRDVPCHEGDCCDVDLMRDVFAQGDIQGAVHFAALKAVGESMEKPDEYRRNNVGSLEVLMQVMQECRAPYLVFSSSACVYGDADELPVTEATPLKPPSPYGETKQTCEAVIQAVNSGRSFQAVSLRYFNPIGAHPSARIGELPLGPNNLVPYVTQTAAGVLEQLTVFGNDYDTPDGTPIRDYIHVVDLARAHVDALNYLTEPGSYAVFNLGTGRGNSVMEVIRAFEEVSGVKLNYVIGPRRAGDVEALYANADKAANELGWKARLTLADALRDAWEWQ------------ 50954316 ------LVTGGAGYIGAHVVRAFLAEGIDVVVADDLSSGHREFVPAGVFYRGTILDGTLLEAVFSENRISGVVHVAGYKYAGVSVQRPLHTYEQNVTATAVLLAVMQEAGVGSIVFSSSAAVYGTPEVELVTEDTPKNPESPYGESKLIGEWLLRDQGVARGLRHTSLRYFNVVG---SGDPALRDTSPHNLFPLVFDALVAGRTP-RINGDDYATPDGTCVRDYIHVADLAVSHVAAAKRLEAGEDIRVYNLGSGDGVSVGEIMATVAEVTGIDFTPEMGPRRPGDPARIVASGELAARDLGWKMSHSLAEMVRSAWE-------------- 222148465 ----RVLVTGGAGFIGSAVVRHLLEKGYDVLNVDKLTYASVEANPLYRFLQADICDGQAIASAFASFKPDRVMHLAAESHVDRSITGAKDFVETNVLGTFTMLECARAYWQFRFLHVSTDEVYGLGDEGLFTETTPYDPSSPYSASKAASDHLAKAWARTYGLPVVVSNCSNNYGP--------FHFPEKLIPLMIINAMEGKPLP--------VYGNGANIRDWLYVEDHARALDIIAERGQI---GETYNVGGRNERRNIEVVTRVCALMDHEKLIQYVTDRPGHDARYAIDATRLETELGWKAQENFETGIEKTVKWYLENRWWWE---- 142144409 ----KLLVTGGAGFIGSAVVRLAVSRGHQVVNVDALTYASVADSPDYAFEEADIRDRAAMDRILSEHAPDAIMHLAAESHVDRSIDGPGTFIDTNVTGTYTLLEAARAYWTFRFHHISTDEVYGTGATGLFTEDTPYAPNSPYSASKAASDHLVRAWAETYGLPVVLTNCSNNYGP--------FHFPEKLIPVVILNALAGKPIP--------VYGRGENVRDWLYVEDHADALLLVVEK---GALGRSYNIGGNNERRNIDLVRTICGLLDHDRLITFVEDRPGHDLRYAIDASRIRDELGWKPSVTVEEGLALTVDWYLENEDW------ 134094383 -----ILVTGGAGFIGSNFVLDWLAQSDEPVVVDKLTYAGNPNNLRHIFVHADICDSDKMQELLSTYKPTAIVHFAAESHVDRSIHGPAEFVRTNINGTFSLLEAARTYWPFRFLHVSTDEVYGTPDDAPFSETTAYAPNSPYSASKAASDHLVRSYHHTYGLPTLTTNCSNNYGP--------YHFPEKLIPLIIANALAGKPLPIYGDGQ--------QIRDWLYVGDHCTAIRRVLQA---GKPGETYNIGGWNEKANLDVVNTLCDMLDARKQITYVADRPGHDRRYAIDARKIERELGWKPAETFDSGIRKTVQWYLENQAWVRD--- 260899556 --KMKILVTGGAGFIGSALVRHIIKNTDSVVNVDCLTYGNLESLERYVFEQVNICDRAELNRVFEAHKPDAVMHLAAESHVDRSITGPAAFIETNVVGTYTMLEATREYWAFRFHHISTDEVYGDLPHPMFLETTPYEPSSPYSASKASSDHLVRAWLRTYGLPTMVTNCSNNYGP--------YHFPEKLIPLVILNALEGKDLP--------IYGKGDQIRDWLFVEDHARALYKVI---TEGKVGETYNIGGHNEKKNIEVVNTICEILDYSEQITYVQDRPGHDRRYAIDSSKMQRELNWTPEETFETGLRKTVQWYLDNPTWCKNVQD 238928056 ------LITGGAGFIGSNLCEAILSLGHRVRVLDNLSTGHTRNIENVCFIEGDIRDLAACH--HACQDVDYVLHLAAEVSVPESIDKPIDYTMTNIIGTVNMMEAAAKHGVKKFTYASSAAVYGDDETMPKREEIVGRRLSTYAVTKFAAEEYAHQYTMHYGLDCYGMRYFNVYGRRQD------PNGAYAAVIPTFIECLLRDEPPTINGD------GEQSRDFVYVDDVVQANLLAC-AAPHEAAGEAYNVAAGKQLSLNEMYAVLSKLLNKDLQPVFGPVRKGDIRRSGADIAKIRKYLGYHPEYDFARGITEAIQWYKEN--------- 158522335 ----NILVTGAAGFIGFHLAKRLCEDGHTVVGVDNINDYYDPGLKNARFFQIDIADRPFMAKLFTSHKFDCVVHLAAQAGVRYSLEHPETYIDSNLVGFGNILEGCRHAKVKHLVYASSSSVYGLNTTMPFSVHNVDHPVSLYAATKKANELMAHTYSHLYGLPATGLRFFTVYGP----------WGRPDMAYFLFTRAILEGTPIKVYNQGNMKRDFTYIDDIVEVMDRVEWSPEAPCPATSRAPYRLYNIGNNQPVSLMGFIEAIEKALGKKAEKTFLPMQPGDVPATWADIDSLRQATGFSPATSLENGIAKFVAWYLDYY-------- 113954542 ------LITGGAGFIGSHTCLVLLEAGHQLLVLDDFSNSSAIALERVAEVEGDIRDAQCLDALFTSTKIEAVIHFAGLKAVGESVQQPLRYWDVNVVGSQRLLSAMDRHSCRTLVFSSSATLYGYPDQVPIPETAPIQPINPYGASKQAAEALFADIAGCSGWRIARLRYFNPVGAHPSGRIGEDPNGPNNLFPFITQVAIGRRPELTVFGDDWPTPDGTGVRDYIHVMDLAEGHREALHSLLNDPQLLTLNLGSGQGASVLDVVKAMEAASQRAIPYRIAPRRPGDAALTVANPTLAAQHLHWRTQRSLAEICRDGWAWQQANPQGY----- 300784321 ----KLVVTGGAGYVGSVCAARLVEAGHQVTVVDDLSTGHADAV--HPDARFIEGDAAEVAGSLLREGFDGVLHFAAKSLVGESMTEPAKYWEGNVVTSLRLLEAMQEHGTPRLVFSSTAATYGEPEQSPIPETAPTRPTNTYGATKLAIDAAITSFAVAHGLAAVSLRYFNVAGA--YGAFGERHTTETHLIPLVLQVATGDRERIQIFGDDYPTPDHTAVRDYIHVVDLADAHLLAL-KHATAGEHRIYNLGNGTGFSVLEVIEACREVTGHPVPAAVAPRRAGDPSVLVAASDRAREELGWKPERTLAGIVRDAWEF------------- 258651992 ----KALVVGGAGYVGSVVTRLLLAQGHQVVVLDDCSTGHADSIPAGDFIEADITTAG---GVLAGAGFDAVLHFAAKSLVGESVGHPSLYWRTNVSGTRALLDAITEHRVPTLVFSSTAATYGEPDAVPITEDAPTRPTNTYGATKLAVDMMITGECAATELAAVSLRYFNVAGAALGA--GERHAVETHLIPNALGAVAGTKDPLTVFGDDWPTPDGTPIRDYVHVLDLARAHVQAL-TGAAPGEHLICNLGSGDGYSVREVLTTIEQVTGTPVPHSVGPRRAGDPTRLVASNDRARQRLGWAPQLTLADMVTDAWQF------------- 87121254 ---KNILVTGGMGFIGSHTVIALIEAGFNVIILDNLCNSEITTLKYPEFYFANLMHKASIEAVFMQQEIHGVIHFAALKAVGESVDKPLSYYQNNLVGTLNLCQVMQEFNVFNLVFSSSATVYGDKNPIPYKEDMPLSATSPYGQSKVMIEQMLQDHLSEPRWNISLLRYFNPIGAHSSGLLGENPKGPNNLMPYIAQVATGQRQALGIFGNDYNTPDGTGIRDYIHVMDLANGHLKALQTNRNKTSVEAYNLGAGHGYSVLEVVKAFENATGVHIPFKKLARRAGDIAAFYADTHKANKVLDWQVERGLTQMCSDTWRWQLN---------- 22124281 MALRRILVTGGAGFIGSAVVRHIIDTSDSVVVVDKLTYGNLESLERYAFEQVDICDSSELDRVFAQYQPNVVMHLAAESHVDRSIDGPAAFIETNVVGTYTLLEAARHYWAFRFHHISTDEVYGDGTDDLFTETTPYAPSSPYSASKASSDHLVRAWLRTYGLPTLVTNCSNNYGP--------YHFPEKLIPLVILNALAGKPLP--------VYGNGAQVRDWLYVEDHARALYQVV---TEGVVGETYNIGGHNERKNIEVVETICALLDYRDLITYVKDRPGHDMRYAIDAGKIERELGWRPQETFESGIRKTVLWYLNNESW------ 134297308 ----TILVTGGAGFIGSNFVDWLAQSNEPVINLDKLTYGNLENLARHIFVQGDLGDRALVDRLLAEHRPRAVLHFAAESHVDRSIHGPEDFIQTNIVGTFRLLEAVRAYWAFRFLHVSTDEVYGTKDDPPFAETNAYEPNSPYSASKAASDHLVRAWHHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLMIVNALAGKPLP--------VYGDGMQIRDWLYVKDHCSSIRRVLEAGQ---PGQTYNVGGWNEKPNIEIVHTVCALLDYKNQITHVQDRPGHDRRYAIDACKIERELGWKPAETFETGIRKTVQWYLDNPEWV----- 148264348 ----RILVTGGAGFIGSHLVERLISSGHDVVIIDNFNDFYDPQLKRRNFSEGDIRDADFVKAVVLQESVDAVIHLAAAAGVRPSIENPLFYEEVNIRGTMNILEAARTAGVRFLLFASSSSVYGNSFKTPFSESDPDHPISPYAATKKAGELICHTYHHLYKMNIACLRFFTVYGPR--------QRPDLAINKFTRLIDQGKAVPF--------YGDGTTSRDYTFINDIIAGVEKALSWCSVEPRYDIFNLGGSRPVELSRLVEILESELGKKAILDRLPMQPGDVHITFADLAKSGSILGYQPVTSIEEGLRAFIRWYKEN--------- 282873434 ------LITGGAGYIGAHVARAMVAAGERVVVLDDGSSGIADRLPGDTPVTGSTSDRALLDRVLAEHAVGGVVHLAAKKQVGESVEKPLLYYRENVAGLAVLLEAVVAAGVRRFLFSSSAAVYGVPDVDLITEETPCLPINPYGETKLAGEWLVRATGKAHGLSTACLRYFNVAGAAA----PELADTGVFNIVPMMFERLTRGEAPRIFGDDYPTPDGTCVRDYIHVADLAEAHLAVARRLDGAGAGLTLNVGRGEGVSVREMADVIREVTGSAVKPVVEPRRAGDAPKAVASAARIAGEVGWTARRGVREMVESAWEWCLSHPEA------ 282878946 ----RILVTGAAGFIGSAVMKALAERGDSVIGIDNINDYYDTRLKYAHFVRMSISDEQKMDQLFSRYAFDKVVNLAAQAGVRYSISNPRAYLNSNLNGFFNLLECCRNHHVDRLVFASSSSVYGLNSHVPFREDDMTDPVSLYAASKKADELMAHSYCKLYGLKATGLRYFTVYGP----------WGRPDMAPMLFANAICKNEPIKVFNQGQML------RDFTYIDDIVEGTLRCLDAEIVPNQNGIFNIGCSHPIQLLDFISELEHALGKKAKKIFLPMQPGDVYQTYADTSKLEAATGFRPQYQLAEGIRHFVDWYLENP-------- 143782920 ---KRFLITGGAGFIGSHTCLTLLENNYNLIVIDSLINSSEKVIDKIKYIKGDLRNKNFVNSIFRNQPIQGVIHFAGLKSVPQSFSEPLNYWENNVYGTINLLKAMEKYLCNLIVFSSTATVYGKTKKNLINEADICNPINPYGVTKFVIEKLLNDLYDSSFWKIANLRYFNPIGAHSSGIIGESPSFSSNIFPLINKVALGKKNKINIFGNDWPTNDGTCIRDYIHVMDLAQGHLLAMEYLLNSKPGIILNLGTGKGTSVIELIEIFQDVNKIKIPYDFSPRRKGDHAIVVADNSLASSLLNWTPQRNIADMCKDGWQWNRNHPEGFEN--- 67537114 MPSGSVLVTGGTGYIGSFTTLALLEAGYKVVVADNLYNSSAEALKKAEFAQLDVTDEAAFDKVFEAHDIDSVIHFAALKAVGESGEKPLDYYHVNVYGTICLLRSMVRHNVTNIVFSSSATVYGDPDMIPIPEHCPLGPTNPYGNTKFAIELAITDVIEAAKWNGALLRYFNPAGAHPSGIMGEDPQGPYNLLPLLAQVATGKREKLLVFGDDYASHDGTAIRDYIHILDLADGHLKALNYRANNPGVRAWNLGTGRGSTVYEMIRAFSKAVGRDLPYEVAPRRAGDVLNLTSNPTRANTELGWKAQRTLEQACEDLWLWTKNNPQGYRQQ-- 307562595 ---RKILITGGAGFIGSALVRYIINETDAVVVVDKLTYAGNLMSLRFAFEKVDICDRAELARVFTEHQPDCVMHLAAESHVDRSIDGPAAFVETNIVGTYTLLEAARAYWAFRFHHISTDEVYGDLHSTFFTETTPYAPSSPYSASKASSDHLVRAWLRTYGLPTLITNCSNNYGP--------YHFPEKLIPLMILNALAGKPLP--------VYGNGQQIRDWLYVDDHARALYCVA---TTGKVGETYNIGGHNERKNLDVVETICELLEYRDLITFVADRPGHDLRYAIDASKIARELGWLPQETFESGMRKTVQWYLANESW------ 290968979 ----NILITGGAGFIGSHIGDALIAAGHRVIVVDNLSTGRKENIPQAVFYEADIRDREYMENIFSQEHIEAVYHEAAQTMVPYSLAHPWEDAELNVMGLVGILELCRRHTVRKFIFSSSAAVYGDNTRVPLKETEATTPLSFYGLTKCTAEAYIRMYHDIFQVPYVILRYANVYGERQGGNGEG-------GVVFVFSQALAQGKEITVFGD------GEQTRDFVYVKDVARANVCALQANGTEG---TYNIGTNIETTVNALKEMLVYIAGTPTHVHYEPARSGDIYRSVLANTKAVQELGWEPTTKLLGGLQQTYRYF------------ 260457175 MSNKKYLVTGGAGYVGSVVTAHLLEAGHTVTVLDDLSTGFREGVPQGEFIEGRVQDAAKWLDASY----DGVLHFAAFSQVGESVVDPEKYWVNNVGGTTALLAAMRDAGVRTLVFSSTAATYGEPVSSPITETDPTAPTNPYGASKLAVDHMISGEANAHGLAAVSLRYFNVAGA--YGSCGERHDPESHLIPLVLQVALGQRESISVYGDDYPTPDGTCVRDYIHVADLAEAHLLALDA-ATAGEHLICNLGNGNGFSVREVVETVRQVTGHPVPETAAPRRAGDPAVLVASAATARKRLGWQPSRDLAAIVADAW--------------- 256819760 ---KKIVVTGGLGFIGSHTVVELQNAGFDVVIIDNLSNAQENVKDHPVFEKFDLRDKADVQDFFKRHRDVQVIHFAASKAVGESVEKPLLYYENNLTSLVYLLQELSKLPKAHFIFSSSCTVYGQADELPITENAPKKAESPYGNTKQISEEIISDTCKTPSLNAIALRYFNPIGAHPSAEIGELPLGPQNLVPYITQTAIGLREKLSVFGGDYPTPDGTCIRDYIHVVDLAKAHVIALQRLLESKNTENYNIGTGKGSTILEVIQSFERVSGKKLNYQIVGRRAGDITAAYANTDKANNVLGWKAQSSLDDAMRSAWQWEQK---------- 15679777 ME--KILVTGGAGFIGSNFIRYLQEHPYHIINLDALTYGNLENLRGVEDERGSITDRKLVDGIIK--DVDAVINFAAESHVDRSIEDPEIFIRTNILGTQTLLEASRKHGVERFIQISTDEVYGSAEKGYFTEETPLAPNSPYSASKASADLMVRAYHRTYGLPVNITRCSNNYGP--------YQFPEKLIPLMITNALENKPLP--------VYGDGMNVRDWIHVLDHCRAVDLVLHRGR---VGEVYNIGGNSERRNIEIVELIVRELGKDESIRFVEDRPGHDRRYAIDASKIRNELGWKPLYSFEEGIRETIRWYIDNRDWWEN--- 240129192 --KKNILVTGGAGFIGSAVVRHIIQNTRDVVNLDKLTYGNLESLPRYAFEQVDICDRAELDRVFAQYRPDAVMHLAAESHVDRAIGSAGEFIRTNIVGTFDLLEAARAYWAFRFHHISTDEVYGDGTDDLFTETTPYAPSNPYSASKAAADHLVRAWQRTYRLPSIVSNCSNNYGPR--------QFPEKLIPLMILNALSGKPLP--------VYGDGAQIRDWLFVEDHARALYQVV---TEGVVGETYNIGGHNEKTNLEVIKTICALLEYEDLITFVQDRPGHDARYAIDAAKIRRDLGWLPLETFESGLRKTVQWYLDN--------- 89073286 ----KILVTGGAGFIGSAVVRHIINNTQDVVNVDKLTYAGNENNNRYEFEQVDICDRSELDRVFAEHQPDAVMHLAAESHVDRSIDGPAAFIETNIVGTYTILEATRAYWTFRFHHISTDEVYGDLEDTLFTETTSYEPSSPYSASKASSDHLVRAWLRTYGLPSIVTNCSNNYG--------LYHFPEKLIPLIILNALDGKNLP--------VYGDGAQIRDWLYVED----HASALYTVVTKGEGETYNIGGHNEKANIEVVRTICSLLEYQDLIIHVKDRPGHDVRYAIDASKIERELGWKPAETFESGIRKTVEWYLNNKTWWSR--- 144141303 ----KILVTGGAGFIGSAVVRLAIKRGHHVVNVDALTYASCEDHEAYQFVQHDICDREGLHDIFARTKPDVVMHLAAESHVDRSIDGPTTFVNTNVMGTLNMLEAARSYWAFRFHHISTDEVFGSLPQDPFTEDTPYDPRSPYSASKAGSDHLVRAWHETYGLPTLLTNCSNNYGP--------YHFPEKLIPVMILNALAEKPLP--------IYGNGGHIRDWLYVEDHAQALLLVVEK---GEVGRSYNIGGENECTNLELVQKLCAILDRLQPITFVADRPGHDARYAIDPSRMQKELGWRPSVTLEEGLEKTVLWYLNHEHW------ 254419462 -----ILVTGAAGFIGMHTAERLLDRGETVIGVDNFNDYYDPALKDARMVRADIADHERMLALVRDHGVERIVHLAAQAGVRYSIENPFAYERSNLAGHLSMLEAARHNGVKHLVYASSSSVYGDRPSGFREDDPTTDPVSLYAATKRSCELLSQSYAKLYGFPQSGLRFFTVYGP----------WGRPDMAYFSFTRKIARGEAIEVYGEG------EMARDFTYIDDIVDGIVGVLDHPPAQGGHEIYNIGDSSPVGLMEMITTLEDALGAEADKVMRPMQPGDVTATYADVSKLNALTGYKPKVTLAEGLPRFVKWWRGYENG------ 89899526 ----TILVTGGAGFIGSNFVLDWLDKSDEVINLDKLTYAGNLQNLRHVFVQGDIGDTALVAGLLAQYQPRAVVNFAAESHVDRSILGPGEFIQTNIVGTFNLLEAVRAFWAFRLLHVSTDEVYGSKTEPAFTETHRYEPNSPYSASKAASDHLVRAYHHTYGLPVLTTNCSNNYGP--------FHFPEKLIPLMIINAQAGKPLP--------VYGDGQQIRDWLYVKDHCSAIRRVLEAGR---VGEVYNVGGWNEKPNLDIVHIVCALLDYKDQITYVTDRPGHDRRYAINARKIEQELGWKPAETFESGIRKTVQWYLDHPDWVAN--- 226941910 ----TILVTGGAGFIGSNFVLDWLAQSDEPVVLDKLTYAGNEGDARHAFVRGDIGDNALVTQLLATHQPRAVIHFAAESHVDRSIHGAADFIQTNIVGTFSLLESVRQYWVFRFVHVSTDEVFGEPGAAPFTEAHGYEPNSPYSASKAASDHLVRAWHQTYGLPVLTTHCSNNYGP--------YHFPEKLIPLVILNALAGKPLP--------VYGDGQQVRDWLYVGDHCSAIRCVL---AQGAAGATYNIGGWNEKTNLEVVQAICALLDYALQIAFVTDRPGHDRRYAIDAGRLARELGWRPAETFDTGLRKTVCWYLEHPQWVAN--- 126663720 ----KILVTGGLGFIGSHTVVELQNKGFDVVIIDNLSNSSESVLKEPQFEKLDLREKASVQTFFKKHNDVSVIHFAASKAVGESVKNPLLYYENNIASLIYLLQELQEKTEAHFIFSSSCTVYGQADEMPIDESAPIKPMSPYGNTKQIGEEIISDVAKMSSINAILLRYFNPIGSHPSAEIGELPIGPQNLVPFITQTGIGLRNELMVYGNDYPTVDGTCIRDYIHVVDLAKAHVVALERNKNEDKVEIFNLGTGIGSSVLEVISSFEKVSGKPFPYKIVDRREGDVTMAYASTDKANTILGWKTASSLDQAMESAWKWEQK---------- 152994833 ----KFLVTGAAGFIGMNVCKRLLEAGHDVVGLDSLNAYYLPALKQHRFVKLDLADREGMAQLFAEEQFQRVIHLAAQAGVRYSLEAPFEYVDSNLVGMMTILEGCRQTKVEHLVYASSSSVYGMNAKIPFSEDTVDHPVSLYAATKKANELMAHSYSHLYGIPTTGLRFFTVYGPG----------GRPDMAPWLFTEAILNDKPIKVFNHGKMMRDFTYIDDIVEGVIRIQDVLPQAQHQGTTAPYAIYNIGNNQPIQLSEFIEAIETACGKTAEKIYMDMQPGDVPKTYADTTQLGAVVGYKPATTIQEGMTKFVEWYKAFQKEL----- 260457246 ------LITGGAGYIGAHVAKAMTGAGERVVVLDDMTTGIAGRLPAEVTVRGSASDRAVLDRVLAEHAVTGVVHLAAKKQVGESVEKPLLYYRENVTGLAVLLEAVVAAGVKRFLFSSSAAVYGVPDVDLITEETPCAPINPYGETKLAGEWLVRAAGRAHGISTACLRYFNVAGAAE----PELADTGVFNVVPMFFDRLTRGEAPRIFGDGYPTPDGTCVRDYIHVADLAEAHLAVARHGTPEGGDLTVNIGRGEGVSVRRLAELVGEVTGLRTEPVVDPPRPGDAARAVASADRMAEQLGWTARRDMREMVESAWEWCLRHPEA------ 188995478 --KRKILVTGGTGYIGSHTTVELQQAGYRVVSVDNFSNSNVAVLVRPDFYEADCNDMEAMERVFTAHDIAGVIHFAASKAVGESVQKPLLYYRNNILSLLNILELMERFGTRGIVFSSSCTVYGQPEVLPVTEEAPQEALSPYGNTKQINEEIIRDAIHAAEYKAILLRYFNPIGAHPTAHIGELPNGPQNLIPYLTQTAAGIRAELSVFGDDYDTPDGSCIRDYIYVVDLAKAHVAAIERMLNEEKAEVFNIGTGRGVSVLELIRTFERVTGVAVPHRIVGRREGDIEQVWAEPKKANEVLGWKALESLEDTLLSAWRWQQR---------- 50554063 ----HVLVTGGAGYIGSHTVIALVEAGRKPVIVDNLDNSCEEAVRRIPFFEVDIRDTNGLDEVYKQYPITSVLHFAGLKAVGESGQIPLEYYNCNVAGSINLLQWCQKHNIHNFVFSSSATVYGDVTRIPIPEECPTGPTNPYGRTKMMIEDIIRDHVRANPWNAAILRYFNPMGAHPSGLVGEDPLGPNNLLPYLAQVAVGRREKLFVFGDDYPSRDGTPIRDYIHVCDLAAGHVAALEKELKHGFSREWNLGTGHGSTVLEVVKAFSNAVGKDLPYEITGRRDGDVLDLTAKPGRANSELNWKAEKTLDESCSDLWRWTSENPYGYKDRHD 302038999 ----KVLVTGGAGFIGSHVVDRLLQEGHDVVVVDNLVTGKRKNVKAAQFYKLDIENP-KLERIFRNERPSIVFHLAAQMNVRRSVEDPMFDAQVNVLGTLNVLEQASKHGARKVIFSSSGAIYGEQLAFPAPETHITQPLSPYGISKLCGEHYLGYYHRLSGIQVVSLRYANVYGPRQD------PEGEAGVVAIFIQKMLRGE-------QAVVNGNGRQTRDFVFVEDVVESNLMAMGPEVE----GVYNVGTGIETSVNDLFKIVVDLTKVEFKEVHGPAKRGEQARSVIDSTKLHRDLGWEPKVDLREGLRRTVEYFRD---------- 258591068 ----KVLVTGGAGFIGSHVVDALAKEGHDVAVVDDLSKGKREQVPSARFYQVDIRNRQVLEEVFRAERPEVVNHHAAQGNLRRSMTEPSFDASVNIVGSLNLFELALACTVRKFVFISSGAVYGEPQRLPVDERHPTCPMSAYGLSKYTVEQYLRLFD-GSGLDYTILRYANVYGPRQD------PTGEAGVVAIFSRQMLAGERP-TIFGDGTKT------RDYVYVGDVVAANLLAMTGKGASGRS--YNIGLGREVSDWQIFELVRSAVGATLEPILATKRPGEIDRICLDASLAKTELGWEPAMSLEEGMARTVAFYR----------- 254513609 --GKRVLLTGGAGFIGSHTYVALKQAGYEVIIVDNFSNALEDTPERVICYADDLLDRSAIRRIFDNHPIDAVIHFAAKKSVAESTTAPLVYYENNVSGLIGLLEEMRRASVFNLVFSSSATVYGSPETLPVRENAALSSTNPYGFTKLVCENVLSDLQKFDPWNVGILRYFNPVGAHSSGLIGESTTGPDNLMPYIAKVATGELPFLRVFGADYDTLDGTGVRDYIHVMDLAEGHVLSLEELLDGAGSHIVNLGTGRGYSVLEMLKSYETACGRTLPYQVMPRRPGDVGEVVADPANANALLGFEAKRDLDHMSKTSWNWMLQ---------- 255710523 -----VLVTGGAGYIGSHAVAELIESGYRCIVVDNFSNSCYEPIARIPFFKVDLTDADGLEKVFRKFKIDSVIHFAGLKAVGESTKIPLSYYYNNITGTLVLLDIMKKYGTEMLVFSSSATVYGDPDMIPIPEECPTGPTNPYGKTKLAIEEILEDLHEPEKWRFAVLRYFNPIGAHPSGLIGEDPLGPNNLLPYMAQVAVKRREKLFVFGNNYSSRDGTPIRDYIHVVDLAKGHIAALKYLNEQGLCRAWNLGSGTGSTVLEVYKAFCHSCGIELPYEIVGRRAGDVLNLTAKPDRATRELKWTTNLKVSDACADLWKWTTKNPYGY----- 212550879 MKEK-ILVTGGTGYIGSHTTVELLNAGYEVIIVDNLSNSNLEVIIHVAFEKIDCICLNGLEKVLKKHEIKGIIHFAAKKAVGESVQKPLFYYKNNLISLINILELMPKYKVEGIVFSSSCTVYGQPKHLPVDENTPIQPLSPYGNTKQINEEIICDITAGASYKSIILRYFNPIGAHRSAEIGESNRIPRNLVPFIIQTAMGIRNEISVFGNDYNTLDGSCVRDYIHVVDLAKAHVISMERVLNNKSNEYFNLGTGKGTSVLEMINTFEKTIGIKVPYKIAERRKGDIEQIWANPLRANKILGWNAKETIEEALKSAWKWQLK---------- 119493841 ----KILVTGAAGFIGFHLCQKLLQRGDTVIGLDNLNSYYEVSLKKARIEQLDLVDREQLEKLFSEQQFDAVVHLAAQAGVRYSLENPYAYVDSNLTGFLNILEGCRHTHVGHLVFASSSSVYGTNKKIPFVEDNVDYPVSLYAATKKANELMAHSYSHLYNIPSTGLRFFTVYGP----------WGRPDMAVFLFTKAILDGKPIKVFNYGKMQRDFTYIDDLVEGIVRVVDKIPQPNLHPEKAPYKIYNIGNNKPIELLRLIEVLENCLEKEAVKEMLPMQPGDVPITYANVDALIQDVGFSPDTPIEVGIKRFVEWYRSYYE------- 119468209 ----KVLVTGGMGYIGSHTCIALHEAGITPVIYDNLSNASPRVLTRFEFILGDIQDEQQFKLALKHTQAEAVFHFAALKAVGESTEQPLRYYQNNVSGTLSMLQNMQDEGVNHIIFSSSATVYGEPDYLPIDEKHPIRATNPYGWTKVMVEQAMQDVCNANNFMGIALRYFNPVGAHPSGLLGESPNGPNNLMPFIAQTAVGKREVVNIFGDDYDTEDGTGVRDYIHVLDLAKGHVAAFMQHKSDTAFHAYNLGTGQGCSVLDMINAFSASAGKAIPYRSAPRRAGDIACNYADATKAQNTLNWHAELTLSDMTNDTWRWQTNYPSGLES--- 291276251 ME--KILVTGGCGYIGSHTLLEFLEQTDEFLLIDDLSTGFRENLHRIEFFCLDLADHHEVEKIFSSQKIEGVLHFAAALSVEESTKNPLFYYKNNTLNTTFLLELCSKYQVSFFIFSSTAAVYGQPDFDVISEDFPLQPINPYGASKMMSERILQDLSNTSDLKFGILRYFNVAGANSIGEMGLGQRSKNATHLIKVAVECATKKRTKVFGDDYPTKDGTCIRDYIHINDLASAHLSAYQFLKHTGQSEIFNVGYSRGYSVKEIIESVKRVTKQDFLVEEMPRRAGDPAKLIANNQKILRLTNWRPKFDIEMIIKSAYEWEK----------- 142772034 ----KILVTGGAGFIGSAVVRNIIENNDSVINLDKLTYGNLESLARYTFEKVDICDRAELERVFSLHQPDAVMHLAAESHVDRSITGPADFIQTNIVGTCTLLEAARHYWMFRFHHISTDEVYGDLPHPLFTESTPYAPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKPLP--------IYGKGDQIRDWLYVEDHARALYKVV---TEGKIGETYNIGGHNEKQNLEVVQTICRILDSAEQITYVTDRPGHDRRYAIDASKMSAELDWQPQETFETGLRKTVEWYLANQEW------ 262040897 MAVRTILVTGGAGFIGSAVVREIIQHTDRVVVVDKLTYAGNLMSLRFAFEQVDICDRAELDRIFRQHQPDTVMHLAAESHVDRSIDGPAAFIETNIVGTYTLLEAARSWWAFRFHHISTDEVYGDGSDDFFTETTPYAPSSPYSASKASSDHLVRAWLRTYGLPTLITNCSNNYGP--------YHFPEKLIPLTILNALAGKPLP--------VYGNGQQIRDWLYVEDHARALYLVATR---GEPGETYNIGGHNERKNIEVVETICQLLEYRDLIAFVADRPGHDLRYAIDASKIARELGWTPAETFTSGMRKTVAWYLANEAW------ 281354881 MDGRNILVTGGAGFIGSALVRYLIRETAEVCVVDKLTYGNLESLARFRFERADICDRAELDRIFSEFRPDAVMHLAAESHVDRSIDAPGDFIRTNIVGTYTLLEAARNYWRFRFHHISTDEVFGDDSDGFFREDTPYMPSSPYSASKASSDHLVRAWFRTFGMPTLVTNCSNNYGPRQFP----------EKLIPLMILSALDDKPLPVYGDGA------QVRDWLYVEDHARALHLAVTRGV---PGETYNIGGHNTKSNLQVVHTLCGLLDRREQVVFVADRPGHDLRYAIDAAKIQRELGWTPRESFESGLRKTVEWYLA---------- 226293920 MTLGSVLVTGGTGYIGSFTALALLEAGYKVVIVDNLYNSSEEVIIRPEFAKADVTKEADFDRVFEQHDIDSVIHFAALKAVGESGEKPLDYYHVNVCGSICLLRSMKRHNVTNIVFSSSATVYGDPNMIPIPEECPLGPTNPYGNTKVAVETAITDMIEAEKWNGALLRYFNPSGAHPSGIMGEDPQGPYNLLPLLAQVATGKREKLLVYGDDYASHDGTAIRDYIHILDLAAGHLQALNYRANHPGVRAWNLGTGKGSSVFHMVKAFSAAVGRDLPYEVVGRRAGDVLDLTSNPSRANRELGWKTTRTLEEACEHLWQWTKNNPQGYRQQ-- 262374521 ----KFLVTGGAGFIGSAVVRYLIEETHIVLNVDKLTYAGNESNPRYQFSQTDICVRADLDQLFAGFQPDVVMHLAAESHVDRSITGSAAFIETNILGTYQMLEAARHYWAFRFHHISTDEVYGDGTDDLFTETTSYAPSSPYSASKASSDHLVRAWQRTYGLPVVLTNCSNNYGP--------YHFPEKLIPLTILNALQGKPLP--------VYGNGHQIRDWLYVEDHARALYKVV---TEANVGETYNIGGHNEQKNIDVVKSICALLEYEDLITFVTDRPGHDHRYAIDATKIKQDLGWVPQESFETGLRKTVEWYLANTQWV----- 304314128 ME--RILVTGGAGFIGSNFIRYMLENHYEIINLDALTYGNLENLPRYIFVKGSITDKELVNDLIA--ESDVVVNFAAESHVDRSIEDPGIFIRTNVMGTQTLLEASRRQGVERFIQISTDEVYGSTEEGYFTEETPLAPNSPYSASKASADLIARAYNRTYGLPVNITRCSNNYGP----------YQFPEKLIPLMITNALEDKPLPVYGDGM------NVRDWIHVRDHCRAIDLVLHGGRA---GEVYNIGSNSERRNIEIVELILRELGKDESIRFVEDRPGHDRRYAIDASKIRSELGWKPCYSFEEGIRETIKWYIDNREWWEN--- 225175766 ----KILVTGAAGFIGFHLSKKLLDQSYQVIGIDSLNDYYDPSLKQSRFHKVDLKDKAEVDNIFETYQPTHVINLAAQAGVRYSIENPYAYVDSNLTGFMNILEACRNYPVEHLLYASSSSVYGGNKVVPFTNHNVDHPVSLYAATKKSNELMAHTYSHLYGIPTTGLRFFTVYGP----------WGRPDMAYFSFTKDILKGTPIKVFNHGKMERDFTYIDDIVEGIDKVPTANKEWDESKDDAPYKIYNIGNNNPVQLMRFINALESALGREAEKVYVDMQPGDVHRTYADVSDLERDINFKPSISIEDGLAKFVDWYKEYYK------- 300781023 ----RLVVTGGAGYVGSVCSKVLVEAGHDVTIIDDFSTGNRDVVPEATLVEGTITDIDDVLGDRSGGPVDGVLHFAARSLVGESVEKPDEYWRDNFVTSLALLNAMRDHNVNSLVFSSTAATYGEPEHVPITEDMPTAPTNPYGASKLAIDYAMSSYAAAFGLGATSLRYFNVAGA--YAGYGERHATETHLIPLVLQVAQGKREKILIFGDDWPTADGTCVRDYIHIRDLADAHMLALEAN-TPGTHKIFNLGSGDGYSVKEVIETCRSVTGHDIPAEVAPRRAGDPATLIASSQRAKDELGWNPTRTLDRIVTDAWEF------------- 237755632 ---KTVLLTGAAGFIGWKTAEFLLKEGYKVIGVDNLNNYYDVRLKEHRKKDVDIENLQALEILFNDYKFDVVINLAARAGVRYSMINPYVYMTTNANGTLNLLELMKKYSVKKFVLASTSS-LYAGQPMPFKEDLPVNPISPYAASKKAAEVMAYTYHYLYGIDVSVVRYFTVYGP----------AGRPDMSIFRFIKWIDEGKPIILYGDG------SQSRDFTYVDDIARG---TILATKELGYEIINLGGGKNPISLKRVIETIEAHLGKKAVIDYRPFHKADLKETWADITKAKNLLGWEPNVSFEEGIKKTVDWYLENRDWLKD--- 19113650 -----ILVTGGAGYIGSHTVIELINHGYKVIIVDNLCNSCYDAVARIKFFKLDLRDKEGLAQIFDTFKIKGVIHFAALKAVGESMKLPLEYYDNNICGTITLLNVMREHRVKTVVFSSSATVYGDATRIPIPESCPNDPTNPYGKTKYAIENIIKDHTSDNTWRGAILRYFNPIGAHPSGLLGEDPLGPNNLLPFLAQVAIGRREKLLVFGDDYDSHDGTPIRDYIHVVDLAKGHIAALNYNNSEGMYREWNLGTGKGSSVFDIYHAFCKEVGKDLPYEVVGRRTGDVLNLTASPNRANSELKWKAELSITDACRDLWKWTIENPFGF----- 304362312 -----ILVAGGAGYIGAHVVRLLLERGEEVVVVDDLSYGTPERVKGASLVELDVASPQTLAEVMDSHGVTAVIHFAARKQVGESVERPAWYYQQNVGGLANMLLAMETAGVKQMIFSSSAAVYGMPPVEVVPEDIDCRPINPYGETKLIGEWMMADAEKAWGLRWAGLRYFNVAGAGWD----DLGDMATLNLIPMVLDRLAKGETPKIFGTDYPTPDGTCVRDYIHVKDLAVAHIAALDYLAGGQEEHVFNVGTGQGASVREVVSKVIASTGLDLEPEELARRAGDPPQLIGNATRIGEVLGWRAEHDLDDIVASSYSWQ------------ 219116705 --TKTVLLTGAAGFIGSHVAEGLLARGDTVILVDEVNDYYDVRIKESRIYRGDLANASFISRVFAREKPEWVCHLAARAGVRPSIQNPYVYLNSNIVGTMRLLELSRIYNIQNFVFASSSSVYGGSQSTLFSEDERVDPISPYAATKKSCELMAYTYHHLYGLPVTALRFFTVYGPR----------GRPDMAPFKFVDRVSRGLPLQQFGDG------SSSRDYTYISDIVDGVVRAIDRPY---DYQILNLGKGSGTKLIEFIKLVQKYTGKNATIQYLPDQAGDVPYTCADVRKAEHFLGYKPKVSFEEGIRLTVEWFSK---------- 29726033 ----KLLVTGGAGFIGSAVVRHIIKNNNSVVNVDKLTYANIAQDSRYVFEQADICNAEAIVALFAKHQPDAVMHLAAESHVDRSIDGPAAFIETNIIGTYTLLEAARNYWAFRFHHISTDEVYGDLHGTLFTETTPYAPSSPYSASKASSDHLVRAWQRTYGLPVIVTNCSNNYGP--------YHFPEKLIPLMILNALAGKPLP--------VYGKGNQIRDWLYVEDHARALYKVV---TEGVVGETYNIGGHNEKQNIDVVKTLCAILEYESLITYVQDRPGHDLRYAIDASKIERELGWKPEETFETGLRKTVQWYLNNKDW------ 209693795 ----NILVTGGAGFIGSAVIRHIISNTNSVINVDSLTYAGNEASDRYSFEHVDICNRTELDRIFSEYQPDAVMHLAAESHVDRSIDGPAAFIETNIVGTYTLLEATRAYWAFRFHHISTDEVYGDGTDDLFTETTPYEPSSPYSASKASSDHLVRAWQRTYGLPTVITNCSNNYGP--------YHFPEKLIPLMILNALEGKYLP--------VYGNGMQIRDWLFVEDHARA----LYKVVTEGEGETYNIGGHNEKANIEVVKTICSLLEELVPITYVTDRPGHDVRYAIDASKIEHELGWKPEESFESGIRKTVQWYLDNKQWWSR--- 136585781 ----RVLVTGGTGYIGSTAVDILLEQGYEISILDDCSTGHADTAPAGVFIQGSLLNSADLAQAL--VGVDAVMHFAGKSLVGESVEKPDLYHQVNVDGTRNLLDEMHKSGVKKLVFSSSAATYGEPEQVPILESAPTKPTNPYGATKLAIDQMITAESAARGIAAASLRYFNVAGALKSKRGWLAERHNPETHLIPNILRSTKENPVKIFGTDWPTADGTCVRDYVHVVDLIDAHIKALET-LTAGVHEIYNLGSGDGYSVREVVAAASKAAGHQIPTIDSPRRAGDPAVLIADINKAKSKLGWVPTRGIEDMVADTLA-------------- 41205692 ----KVLITGGAGFIGSNFVNYMVQKSYQIINLDALTYGNLENVKEVENEKGDITDRELIESLFA-EGIDAVVNFAAESHVDRSIADPGIFIKTNVQGTQVLLDAAKKYGVKKYIQISTDEVYGTGETGYFTETTPLAPNSPYSASKAGADLLVRAYHETYGLPVNITRCSNNYGP--------YHFPEKLIPLMIINALNNQPLP--------VYGDGLNVRDWLHVEDHCSAIDLVLHHGKN---GEVYNVGGNNERTNIEVVKAILRLNKPESLITFVEDRLGHDRRYAIDATKIREELGWQPKYTFEEGLKQTINWYLENRSWWEN--- 222099007 ----KILVTGGAGFIGSNFIHYMMEKDYRIICLDKLTYAGNLRNLESRFIKGDICDRELVYKVFEEERPDIVVNFAAESHVDRSIEDPEIFLKTNIIGTQVLMDACRKYGIKRFHQVSTDEVYGDRPDLKFTEKSPLKPSSPYSASKASADLLVMAYHRTYELPVTISRCSNNYGP--------YQFPEKLIPLMIINAIHDRPLP--------VYGDGRNVRDWIHVKDHCEAIDLIIH---EGKEGEIYNIGGENERSNIEVVKMILKELGKPESIKFVKDRPGHDRRYALDITKMKEEFGWSPKISFEEGLRSTIKWYLENRNWWEE--- 117924705 ---QKVLVTGGAGFIGSELVRQLVQAECSVVMVDLLTYSGNLASLNYRFVQADICDRGAMSQLFVQEQPDAVVHLAAESHVDRSIDGPLTFVQTNVMGTAVLLESARAYWVFRFLHVSTDEVYGLGEQGLFTEQTPYAPSSPYSASKAASDHLVRAWYTTYGLPTLITNCSNNYGP--------YQFPEKLIPLMILNALAGKPLP--------VYGQGSNVRDWLYVADHARALRQVL---AQGQVGQTYNIGGGAERSNLTVVQTLCTLLDYASLIAFVQDRPGHDFRYAIDASKISEQLGWQPTVSFEQGLRETVKWYLQHPQWVEQ--- 254673891 --KKTILVTGGAGFIGSAVVRHIIRNTRDVVNVDKLTYAGNADNPRYAFEQVDICDRAELDRVFAQHRPDAVMHLAAESHVDRSIGSAGEFIQTNIVGTFNLLEAARAYWAFRFHHISTDEVYGDGTDDLFTETAPYAPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLMILNALDGKPLP--------VYGDGMQIRDWLFVEDHARALYQVV---TEGVVGETYNIGGHNEKANIEVVKTICTLLEYEDLITFVQDRPGHDVRYAVDAAKIRRDLGWLPLETFESGLRKTVQWYLDNKTWWQN--- 182680402 ----RFLVTGGAGFIGSAVVRHLIEDTHEVCVLDKLTYANLEPVAKNPRYQVDICDRAAVATLIASYQPRIIMHLAAESHVDRSIDGPAAFIETNLVGTFTLLEAARCFWAFRFHHVSTDEVFGLGADGFFQETTAYQPNSPYSASKAGSDHLVRAWGHTYGLPTVTSNCSNNYGP--------YHFPEKLIPLMILNALEGKPLP--------VYGNGQNIRDWLYVEDHARALVAIA---LAGRPGESYNVGGWNERANIDVVQAVCALVDREKLITFVTDRPGHDARYAIDATKIKQDLGWTPRETFETGLRKTVRWYLDHPEW------ 283821676 ----TILVTGAAGFIGFHVAKALLARGEAVVGFDNINSYYDPSLKHARLEHLDLADADAVRDTFARFEPRRVVHLAAQAGVRYSLQNPQAYLDSNVIGLLNILEGCRAHRPEHLVFASSSSVYGLNTKLPFAEADKTDPASLYGATKKSGELMAHAYAHLFNLPMTGLRFFTVYGP----------WGRPDMSPIIFTKAIIEGRPIDLFNNGD------HARDFTYIDDIVDGVLRVVDMTPVAGASGPYNIGHNEPVPLNDFVACIEDAIGKKAIRNLLPMQPGDVPETHADIERLAAATGFRPTTPIEVGIPRFVRWYRDYYQ------- 154248598 -----VLVTGGAGYIGSHAVLELVGRGESVTVLDDLSTGFDWAVPEAIDVVGDVGDAVFMRRLLEERGITEIIHFAARSLVAESVADPLGYYFGNIVKTRTLIQTAIEAGVTRMIFSSSASVYGEPVVDIIGEDEPTVPINPYGRSKLASEWMLEDAARAHGLVYTALRYFNVAGADPLGRSGQSSRVATHLIKIASQVAAGARPGLEIYGTDYPTRDGTCIRDYIHVTDLARAHVAALERLRAGGGSLKVNCGYGRGYSVKEVVDVVKEVSGIDFPVTLSPRRPGDPACLVARADRVRAELGWEPTLDLPTIVGHAIEWEKR---------- 255010925 ----KVLVTGAAGFIGSYTVKALIAQGCEVVGLDIINSYYDVQLKYDRFIKIDLTDRDGLNHLFESEHFDVVVNLAAQAGVRYSIENPYAYIESNVVGFLNLLECCRHYPVNHLVYASSSSIYGLNDKVPYAETDKADPVSLYAATKKSNELMAHAYSKLYGIPTTGVRFFTVYGP----------WGRPDMAPCLFMKAILNGDPIKVFNNGQM------RRDFTYIDDIIAGLMKIIAHPSADPPFYIYNIGNSAPVELMDFISVIEKTAGKTAVKQMMGMQPGDVVCTYADTSRLENDFGYKPSTSIEEGIRKFYDWYIKYFN------- 159900791 ----RYLVTGGAGFIGSHLVDALLQRGDEVRVFDNFSTGYEHNLAHCINVRGDLRDAEAVSQAVAGC--EVIFHEGALPSVPRSVSDPLTTDAVNTGGSLHVLQAARQHGARRVVFAASSSVYGDTPILPKVETMAMSPKSPYAVSKMAAESYLKVFHHVYGLETVGLRYFNVFGPRQD------PTSQYSGVIARFMTLALQGEPYTMNGTG------NQSRDFTYVANVVQANL--LAASVPAAAGHVFNIACGLRISLNDVVAMLNKLVGKELPIIYSPARTGDVEHSLADISAARQILGFEPSVDIETGIARTLDWYR----------- 307324300 MSNKKYLVTGGAGYVGSVVAAHLLEAGHRVTVLDDLSTGHREGVPEGEFIEGRIQDAGKWLDPT----FDGVLHFAAFSQVGESVVNPEKYWVNNVGGTTELLAAMRDAGVRTLVFSSTAATYGEPDQVPITEDLPTAPTNPYGASKLAVDHMISGECAAHGLAAVSLRYFNVAGA--YGAYGERHDPESHLIPLVLQVAQGRREAISVFGEDYPTPDGTCVRDYIHVADLADAHLLAARRGVHPGEHLICNLGNGNGFSVREVIETVRQVTGHPIPEIAAPRRGGDPAVLVASAERAKERLGWRPTRDLAGIVADAWEF------------- 142792628 --KMKILVTGGAGFIGSAVVRHIISNTQDVINLDKLTYGNLESLVRYSFEQVDICDRAELDRVFSQYQPGAVMHLAAESHVDRSITGPADFIQTNIVGTYTLLEAARQYWAFRFHHISTDEVYGDLPHPLFTESTPYAPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKPLP--------IYGKGDQIRDWLYVEDHARALYKVV---TEGKVGETYNIGGHNEKRNLEVVQTICRILDSAEQITYVTDRPGHDRRYAIDASKMSNELNWQPQETFDTGLRKTIEWYLANQEW------ 222109769 -----ILVTGGAGFIGANFVLDWLAVGDEPVVLDKLTYAGNLHNLDHVFVQGDIGDRTLLDRLLAEHQPRAVINFAAESHVDRSIHGPEDFIQTNVVGTFRLLEAVRHYWAFRFLHVSTDEVYGAPAAAAFTEEHNYEPNSPYSASKAASDHLVRAWHHTYGLPVLTTNCSNNYGP--------LHFPEKLIPLMIVNALAGKSLP--------VYGDGMQIRDWLYVKDHCSAIRRVLQAGRL---GETYNVGGWNEKPNIDIVNTVCALLDYTTQITYVTDRPGHDRRYAIDARKLERELGWKPAETFETGIRKTVQWYLDHPEWVAQ--- 221634576 ----KLIVTGGAGFIGSAVVRKAVADGHHVVNLDCLTYAACLDNLNYVFEKADIRDAEAMARVFATHRPDAVMHLAAESHVDRSIDGPGAFIDTNVRGTYVLLEAARAYWVFRFHHISTDEVFGTGETGQFTEETPYAPNSPYSASKAASDHLVRAWGETYGLPYVLTNCSNNYGP--------FHFPEKLIPVVILKALAGAPIP--------VYGKGENVRDWLYVEDHADALLTVLARGENH---RSYNIGGENEAKNIDIVRKICAILDAADQIAFVTDRPGHDLRYAIDPTRIRTELGWRPSVTLDEGLERTVDWYLAN--------- 269137463 MAVRRILVTGGAGFIGSAVVRHIIDTADSVVVVDKLTYAGNLASLRYAFERADIGDRAAMDRILAQYRPDAIMHLAAESHVDRSIDGPADFIQTNIVGTYTLLEATRRYWAFRFHHISTDEVYGDLHGPLFREDTPYAPSSPYSASKAASDHLVRAWLRTYGLPTLITNCSNNYGP--------YHFPEKLIPLTIINALAAKPLP--------VYGNGLQVRDWLYVEDHARALYRVL---MTGRVGETYNIGGHNERRNIDVVTTLCALLQEADLITYVADRPGHDVRYAIDASKIERELGWRPQETFESGIRKTVAWYLANERW------ 91201760 ----KILVTGGAGFIGSNLVDQLIEEGHRVAVVDDLSTGKEENIKKAEFYNIDICDAKSLEEVFKQIKPEIVNHHAAHANVRKSVETPVYDANINILGSLNLCQLSNKYRVKKFIYASTGAVYGEPKQLPANESTPPEPLSHYGVSKHTVEHYLHVFHKLYDLNVTILRYPNVYGPRQS------PHGEAGVVAIFSELMLQNKQP-TIFGDGSKT------RDYVYVDDIVKANIAVL---GDIGNGEIYNLGWGKEISDMEVFLAVRRALRKDIEPILGQKRHGEVDHISLDHSKARREIKWSPEVTFEEGVKKATQYYAK---------- 224007781 ---KKVVVTGGAGFIGSHVAHALLERGDDVVVVDEMNDYYDVSIKEDNIYKGDINNQTLMHGLFEQEQPEWICHLAARAGVRPSIEDPLLYVKANVQGTTNMLEYSRAYKVRNVVMASSSSVYGESESTYFSEEDVNQPVSPYAATKRSGELIAYTYHNLYGLNVTNLRFFTVYGAR--------GRPDMAPFKFISRVTRGD--------QIEQYGDGSTSRDYTYVEDIVDGVIRAIDRPY---PYQIFNLGKGSGTKLSEFISLVEKHVGKKANIKLLPEQPGDVPFTNADVSKAQRLLGYESTVTMEEGIKRTVAWYK----------- 182412714 ----KVLVTGAAGFIGYHVARRLAETKHEVLGLDNLNDYYSEQLEDFRFVQADFGEAAAFEGIYSHFKPDYVVHLGAQAGVRHSAENPAAYTHSNITGFLNVLEACRRRPPKHLVFASSSSVYGAHAVVPFREDNTDHPISYYGATKKSNELMAHTYAHLYGLTVTGLRFFTVYGP----------WSRPDMAPILFSQAICAGRPIKLFNQG------RNRRDFTYVDDIVDGVVKVLLYPPATPPFRLFNIGHNRPVEVLLFVQMLEELLGKKAVVELVPPQPGDMLETCASIDRLREAIGYSPRISLEDGLRRFVDWFRRYYQS------ 303275656 ----HVLVTGGAGFIGSHATMRLLELGHAVTIVDNYSRGNRGAVRKLRVVEADIGIPEELERAFDPYPIDVVMHFAAIAFVGEAMEKPLLYYHNVTSNTVGVLEAMKRHKVKRLVYSSTCATYGNPDVMPITEKTPTAPINAYGRSKLYSENAVRDHAKSDGFDAAILRYFNVFGADPRGRLGEYPPPSLMHHGRCFDAALGNRDELVVTGTEHPTDDGTCVRDYVHVTDLIDAHVAVMRGLKNP--PALYNVGTGKGVSVKEFVRACKKVTGGGFAIEVKKARPGDHAVAYADPSLIQKELGWKARYDLEESLGHAWAWRLAHKSGY----- 143539128 ----KILVTGAAGFIGYHLVESLLKDDHQIIGIDNFNNYYDVNLKRARIDEIDLVDQKSLISLFEKNNFDVVVNLAAQAGVRYSLTNPEKYIESNIIGFTNILEACRHNKIANLVYASSSSVYGMNFDVPFDEDHVDHPVSLYAATKRSNELMAHTYSHLFDLPTTGLRFFTVYGP----------WGRPDMALFLFTKAILDGEPIQVFNDGKMIRDFTYIDDIVNPSKPIKGHILKLKSSSSSAPYRIFNLGNNKPVTLESFINAIEASTGKKAIKEYLPMQPGDVQKTFANIDKAGESLGFVPSTSVEEGIKKFVNWYIEYYE------- 192361310 ----KILVTGGAGFIGSAVVRHIINNTDEVINLDKLTYAGNDQNPRYRFEQVDICNRQALDRIFHEHQPDAVMHLAAESHVDRSIDGPAAFIETNILGTYQLLEASRDYWAFRFHHISTDEVYGDGPEDLFTETTPYAPSSPYSASKASSDHLVRAWRRTYGLPTLVTNCSNNYGP--------YHFPEKLIPLVILNALEGKPLP--------VYGKGNQIRDWLFVEDHARALYKVV---TEGKVGETYNIGGHNEKQNIEVVHKICELLDHKELITFVTDRPGHDLRYAIDASKIKRELGWVPEETFDSGIRKTVIWYLENLNW------ 142672734 ---KNILLTGGAGYIGSHVANLLIDQGYDLTIIDSLITGNKTLIPKAKFINCDIADSKYISEILINKKFDIVMHFAGLIRVDESVKEPEKYNEFNFEKAKIFLDTCFKYGLKKVIFSSTASVYGNPIHNNVSESDELNPLNPYAKTKLKLENFLINKSKYEKISYIILRYFNVAGADEKLRSGLISKNSTHLIKIASEVAVGKRDEIIINGDDYDTKDGTAIRDFIHVSDLADIHLVSAKYLLDKNQSNIFNCGYGNGYSIKEVIDTYNKILNKKIKSKIGPRRPGDSKMVVADPTKFNKILNWKPKFNLDYILKTAYEWEKK---------- 149195149 -----ILVTGGAGYIGSHVVKLLLENNEDIVVIDNLVSGFKQKIRPFKFIETDLSNWDEIRGIFKSFDFDSIIHFAASLIVPESVENPLKYYLNNTANTANLIKLASEYGVEKFVFSSTAAVYGEPDNVGIDENYPTNPINPYGWSKRFSEQILQDTLKANNLKYVIFRYFNVAGASPDLTIGQKTKNATHLIKVASECACGKRDKMYIFGSDYNTPDGTCIRDYIHVMDLADAHIKALEY-LEKNESDIFNLGYSKGSSVKEVIDTVKKVSGVDFKVENAPRRAGDPAILIANANKVKEKMGWVPKYDLEFICKTAYEYEKE---------- 310796580 MAVGTVLVTGGTGYIGSFTSLTLLQNGYDVVIVDSLYNSSKVAVKRPHFYQVDITDKAGLDAVFKKHEIDSVIHFAALKAVGESGEIPLEYYRVNVGGTVALLQAMTDNNVPNIVFSSSATVYGDATRIPIPEHCPIGPTNTYGHTKAFVEQIITDHIQFEHFNGALLRYFNPCGAHPSGLMGEDPQGPYNLLPLLGKVATGEREKLLVFGNDYTSRDGTAIRDYIHVVDLARGHLAALNYRENKPGVKAWNLGSGRGSTVFEMIKAFSHVVGRDLPYEVVPRRQGDVLDLTANPSLANKELSWKTEKTLEEACEDLWRWVSNNPKGYRQD-- 88803570 ---KKILVTGGLGFIGSHTVVELQNEGFEVVIIDDLSNTSLSVLKKPAYHNVDLKIKREVHHFFENNPIDGIIHFAAFKAVGESVGNPLDYYENNIGSLVYILQEVRDRKLDHIIFSSSCTVYGQADELPITENAIKKAESTYGNTKQIGEEILQESCKAHQLNAIALRYFNPIGAHESIKIGELPLGPQNLIPFVTQTAAGIRKELSVFGDDYPTPDGTAIRDYIHVVDLAKAHIAALQHKKNKQNFEVFNVGSGTGSSVLEVIKAFEKASGQKLNYKIVGRRAGDITTAYADTTIANRELNWKTEKSLDEALEAAWKWQQK---------- 70730444 ----NILITGAAGFIGAHTALRLLKDGHQVTGLDNFNDYYDPQLKRDPLQRLDLADSEGLERLFAEVRPQVVINLAAQAGVRYSLENPKAYLDSNLSGFLNLLEMCRRYPVQHLIYASSSSVYGANQQTPYKVDNVDHPLSLYAASKKANELMAHSYSHLFGVPATGLRFFTVYGP----------WGRPDMSPILFADAISQGRPLKLFNYGMHQRDFTYIDDIVEPVRDPLWDREQPDPSTSMAPWRLFNIGGQRPVELKDYVATLEKLLGHKAQVEYLPLQPGDVLNTCADVSALENLTGFGPQVPLEEGLREFVQWYLSYY-------- 297624868 ----KIFVTGAAGFIGSHLAEALAKRGDTVIGVDNFNDYYDPGRKRRNAAEADIRDRERMFALFEEHAFDAVAHIAAMAGVRNSVANPALYMDVNLVATQGLMDAARTFGVQNFVFASTSSIYGDTPDIPFRESDCVRPPQPYAAAKRAAELLGYTYHQLYGLPFTATRFFTVYGPRGRPDMMP-----------------GLLAESLFYGRTIPLYEGDMRRDWTYVDDIVAGVVLALDKPL---GYEILNLGRGAPQPLAAFIREMERVAGRRAKLEPKPKLPADVYVTYADISKARKLLGFEPKVSIPEGVERFWRWFEAEQRDL----- 136441216 ---KNVLLTGGLGYIGSHIALALIERGYNPVIVDNLYNSSQSTLNTIPFFKIDVSDCKSMEEILSDNNIHDVIHLAAFKSVPESIQMPQKYFENNVNGLKNLLKTVKKCKVKNFVFSSSAAIYDSTNNFPVDEQGILGYTNPYAFTKCCGEWMLSEFYRSNQINIANLRYFNPIGNCEGGQLGDHYKKNSTNIVPTIYKCILNEKPFEIFGDKYMTPDGSAIRDYIHVQDLGDVHAIMLDYIDNNIGNHVFNVGLGQGISVKELITTFQSVNNIRFDVKISDPRIGDLPICFANADKLKRSLNWTPKYDLKKMCADAYKFF------------ 305665289 ----KILVTGGLGFIGSHTVVELQNEGFEVVIIDDCSNSSEKVLKQPLFEKLDLKEKSKIEDFFKHHDIEGVIHFAASKAVGESVEKPLLYYENNIGTLVYLLKELSKKNKSSFIFSSSCTVYGQADTMPITEVAPKEAESPYGNTKQMGEEIIRDTCKVTPLNAIALRYFNPMGAHPSSEIGELPIGPQNLVPFITQTGAGLREELSVFGDDYPTQDGTCVRDYIYVVDLAKAHVIALQRGKNKNNYEVFNVGTGKGSTVLEVIKSFEKVSGKKLNYKIVDRRPGDITSAYADTTYANEELGWKSKYTLDEAMKSAWDWEQK---------- 227497928 -----ILVAGGAGYIGAHVVRLLLQRGDEVIVVDDLSYGTPERVEGADLLQLDVASNEAVQEVMESRGVTAVIHFAARKQVGESVARPAWYYQQNVGGLANMMLAMERARVDQMIFSSSAAVYGMPPVEVVPEDIECHPINPYGETKLIGEWMMADAERAWGLRWAGLRYFNVAGAGWD----DLGDMATLNLIPMVLERLAEGDTPKIFGTDYPTPDGTCIRDYIHVRDLAAAHIAALDYLASGEEEHVFNVGTGQGASVREVVSKVIAATGLAVEPEELPRRAGDPPQLIGNATRIGTVLGWKAEHDLDDIV------------------- 221200999 -----ILVTGGAGFIGANFVDWLRASDEAVLNVDKLTYAGNEGNPKHVFARVDICDRAALDALFAEHKPRAVLHFAAESHVDRSIHGPADFVQTNVVGTFTLLEAARQYWAFRFLHVSTDEVFGSATDPQFSETTPYAPNSPYSATKAGSDHLVRAYHHTYGLPTLTTNCSNNYGP--------YQFPEKLIPLMIANALAGKPLP--------VYGDGQNVRDWLYVGDHCSAIREVLARGV---PGETYNVGGWNEKKNLEVVHTLCDLLDSKRQITYVTDRPGHDRRYAIDARKLERELGWKPAETFETGLAKTVDWYLDNQQWVDE--- 136187381 ----TVLVTGGAGYVGSHVIPGLKRRGCRVVVFDNLHRGHRSTLLDVEFVFGDLNDPEALQRLFMRHRFDAILHFAALAYVGESMLSPDLYYRVNTVGTLNLLHAATAASPPPVVFSSSCAVFGIPEQLPLDESSPKRPISPYGRSKLAAEWLLEDFGRAYGLRSVVLRYFNAAGADLPQGLGELHHPETHLIPLAIAAGRGDA-PLHLFGTDFETPDGSAIRDFIHVCDLAEAHLLALDHLLAGGGSDDFNLGSGTGISVRELVQAVEAELQSPVPVHQAPTRPGDPAALVSDSRKAAEV-------------------------------- 225165899 ---QKILVTGGAGFIGSHLCEALLADGHHLVVLDDFNDYYPPALKHAHLVQADIRDPAAIERTFATHHPIAVYHLAARAGVRPSIHSPRLYLSTNIDGTLNLLEACRAHHVPDFILASSSSVYGANPKTPFAETDPQRTLSPYAASKLAAEQLCSNYAHLHGLRCLCLRLFTVYGPRQRPDLAIAR----------FTAAIRDGRPIDLYGD------GTTARDYTYVDDIIQGLAGRRTATLPPATFEIFNLGESATTTLNELVTLIENALGRPALIRRQPEQPGDVPRTYADISKARRLLGYAPATLPADGIRKYIRW------------- 160863319 ----KILVTGGAGFIGSAVVRHIINHTDRVVNLDKLTYGNPESVERYAFEQVDICDRAALDRVFKEHQPDAVMHLAAESHVDRSISGPADFIETNIVGTYTLLEAARAYWAFRFHHISTDEVYGDLPHPLFTETTAYAPSSPYSASKASSDHLVRAWLRTYGLPTMVTNCSNNYGP--------YHFPEKLIPLVILNALEGKPLP--------IYGKGDQIRDWLYVEDHARA----LYTVVTQGQGETYNIGGHNEKKNLDVVLTICDLLDYREQITYVADRPGHDRRYAIDADKISRELGWRPQETFESGIRKTVHWYLNNEEWVEN--- 239917106 MTEKRVLVTGGAGYIGAHVVRAFQAAGMDCVVVDDLSTGHAEFVPSDPFLRGDICETAALAAFMRSHNVGGVVHVAGFKFAGVSVERPLITYRANVQGVVSVLEAMEAVGARLMVFSSSAATYGSPTTPLVTEDTETHPESPYGESKLIGEWLMADQARATGLRHTSLRYFNVVGSGHPDIYDSS----AHNLFPLVLKALADGATPVIFGDDYPTEDGTCVRDYVHVQDIAQAHVVAARALRDGVRLHPVYNGSGDGTSVRQIMDAMSRVTGTPFVPEVRARRPGDPARIVADGTRAARDLDWRMRYSLDEMVRSAVR-------------- 56459234 MKKQ-ILVTGGCGFIGSHTVVELILSGYQVIVIDDLSNSNASVIDKIQCHQVDICNRDALTKLFKQYAFDAVMHFAALKNPQESYHLKEKYFLTNVEGTRRLLAVMEDCSVNHLIFSSSAVVYGNPSCVPVAESAPAGTTNPYGENKYRSECDLAEFCEKNAFSAISLRYFNPAGAHPSGVIGEQPIPAANLIPAIGNVITRKVDSVQVYGGDYSTCDGTAIRDYIHVCDVAKGHVAALEAGFARTGHHIFNLGTGKGESVLGVIHAFEQASGQIIPVNFSERRQGDVASCYAQADKALQELNWRAEHDLQTIARDYCHW------------- 224418658 ---QTYLFTGAAGFIGSHTAYFLKESDCKIVILDNLCTGFSENVERVEFVSGDFGDKVILEKIFSQQKIDAIIHFAGSLVVSESVFDPLKYYQNNVANTLNLLEMVAKFKINEFLFSSTAAVYGEPNTTQIIETTQTAPINPYGESKLVVEKILRDFEANPEFKSVILRYFNVAGALSEGGLGQRSKNATHLIKVACECACGKRDKMGIFGEDYATKDGTCIRDYIHIDDLAKAHFECLKTLQKERVSQTYNVGYGVGFSVKEVIECVKRVSGIDFKVEIEPRRAGDPAMLVSDNSKILTRTEWKPKYNLELICKSAYEWERK---------- 239828545 ----NILVTGAAGFIGSHLSKRLLNEGYEVIGIDNINDYYDPKLKWDRFEKVSLEDRERINNIFIQYKPAIVVNLAAQAGVRYSLVNPHAYIDSNIVGFMNILEACRHYNVGHLIYASSSSVYGANTKMPFSHDNVDHPVSLYAATKKANELMAHTYSHLYGLPTTGLRFFTVYGP----------WGRPDMALFLFTKAIINGEPIKVFNNGNMMRDFTYIDDIVESIYNPNWDGKNPDPGTSYAPYRVYNIGNSNPVNLMDFITAIEEKLGIEAKKEFLPLQAGDVPATYADVDDLYNEINFRPQTSIKEGVSKFIDWYLDYY-------- 282866066 ------LITGGAGYIGAHVAQAMTGAGERVVVLDDLSTGIAERLADVPLVRGSAADRGLLDRVLAEHGVTGVVHLAAKKQVGESVERPLLYYRENVGGLTVLLEAVAAAGVRRFVLSSSAAVYGVPDVEHITELTPCAPINPYGETKLAGEWLVRATGRAHGISTACLRYFNVAGASE----PRLSDTGVSNVVPMFFDRLTRGEAPRIFGDDYPTPDGTCVRDYIHVTDLAEAHLAVAQSAQEAGQDLTVNVGRGEGVSVRELAVMVGEVTGRSVEPVVGPRRPGDAARAVASVERMTRELGWTARLGVRDMVESAWAWCLSHPEA------ 77919068 ----KILVTGAAGFIGFHLAKVLLERGDDVVGLDNLNDYYDEGLSRFRFIKCDLADREGIARLFREEKFDRVVNLAAQAGVRYSLKNPHAYVDSNLVGFVNILEGCRHNDVKHLVYASSSSVYGANTSMPFSHHNVDHPVSLYAASKKANELMAHTYAHLYRLPVTGLRFFTVYGP----------WGRPDMALFLFSKAILEGRPIDVFNYGKMQRDFTYIDDIVEAFSNPDWSGDHPDPGTSSAPYRLYNIGNNNPVELLALIQTLEKALGKTAEKNLLPMQPGDVPATYADVDDLTRDVGFKPSTSIEDGVAKFVQWYRDYFQ------- 197117253 ----KILVTGAAGFIGSHLSHRLLAKGHEVVGLDNLNDYYDVSLKEGRLARLELEDREGIARLFAAEKFDSVVNLAAQAGVRYSIQNPYAYIDSNISGFINILEGCRHNKVKHLVYASSSSVYGANTTMPFSVHHNDHPVSLYAATKKANELMAHTYSSLYGLPTTGLRFFTVYGP----------WGRPDMALFLFTKAILEGKPIDVFNYGKMQRDFTFVDDIVEPPGEAGWSGATPDPGTSYAPYKIYNIGNNNPVELLRFIEVLEKALGKEAQKNLLPIQAGDVPATYADVDDLMRDVGFKPATSIEDGIARFVAWYRDFYK------- 143206446 -----VLVTGGLGYIGSHTSVLLLEKGYDLVIVDDLSNSNEKVIENPVFEKIDLKNKESVSKLFNNYDFDGIIHFAAHKSVNESVNYPDKYFKNNVGSLENIYEIQKLKKPMNFIFSSSCTVYGQADSMPINEGFELKAESPYGQSKRKCEEILENFHKTHNFKNITLRYFNPIGAHPTSLIGELPIGPENLVPYITQTAVGKRDNLTVFGDDYETNDGTCVRDYIHIMDLAEVHITCLEKLINIKNFKVYNVGTGKGTSVLELINLFEKVNQLKLNYKIGKRRDGDVVTAYADTSKIKNELNWSTKYSLENALKTAWNWELN---------- 213964483 ----KLLVTGGAGYVGSVCSAVLISLGHNVTILDNFSVGNRDAVPEGATVEGDVEDKA--YEVLSSDSFDGVVHFAAKSLVGESVEVPSDYWHNNVGQTLKLLDAMRDTGTNNLVFSSTAATYGEPEKTPITEDMPTAPTNPYGATKLAMDYAIASYAKAYNIGATSLRYFNVAGAW--GGFGENREVETHLIPLVLQVALGHRDKIMVFGNDWPTPDGTAVRDYIHIKDLADAHVLALESN-TPGTHRIFNLGSGDGFSVRQVIETCRKVTGHPIPAEDAPRRAGDPATLIASSQRAMDELGWKPNHTLETIVADAWEY------------- 125972751 MEG-VILVTGAAGFIGFHLVQRLLKEGCNVVGIDNLNEYYDSENKNFVFRKVDIKNKKAVDRIFETYRPSYVINLAAQAGVRYSIENPYAYVDSNLVGFVNILEACRKYPVKHLIYASSSSVYGGNKVSPFTRHNVDHPVSLYAATKKSNELLAHTYSHLFGIPTTGLRFFTVYGP----------WGRPDMAYFSFTKDILSGNPIKVFN------YGKMERDFTYIDDVVEGIVKLIDRIPTPNENKIYNIGNNNPVPLMNFISVLESALGKVAKKVYLDLQPGDVLRTYADISDLERDINFKPSTSIEDGLRKFVQWYKEYYKA------ 259146123 ISGKWVLVTGGAGYIGSHAVAELIENGYQCVVVDNLCNSSYESVHYIPFCKVDLCDREGLETVFRKYDIDSVIHFAGLKDVDESTEIPLKYFHNNILGTLVLLELMEKYHVEKLVFSSSATVYGDADMIPIPEDCPLGPTNPYGHAMFAIEKILQDYAVSTGWKFAILRYSNAIGAHPSGLIGEDPLGPKTLLPCMTQVAVGRREKLYVFGDDYDTRDGTQISDYIHVVDLAKGHIRYLEAHSADGLCREWNLGSGTGSTVFEVYRAFCKVSNTKIPYEVTGRKNGDVMNLTVKADRAQRELKWRTELDISVACSDSWKWATKNPFGF----- 222529140 ----TVLVTGGAGFIGSHIVDKLIERGYDVCVVDNLLSGNVCNIPKSKFYQLDIRD--NLEKVFEENKIEYCIHQAAQVSVAKSMEDSYLDCSINILGTVNLLDYCVKYKVKKFIFASSAAVYGEPKYIPIDENHPLRPESFYGLSKLTSEEYIKMFAHKFHFEYIIFRYSNVYGPRQD------PCGEGGVVSIFCERMLGSKD-------VIIYGDGTQTRDFIYVEDVAEANCIALESSV----SGTFNLSTGKNISVNELFEILSGLTGYKKSPVYQSKRPGDIAHSCLSNNLLKNVFGFSPQFSLLEGLKKTVE-------------- 86140679 ----KILVTGGLGFIGSHTVVELQNAGYEVVVIDDLSNASEEVLKKPEFEKLDLRDRNAVSAFFKKYADVSAIHFAASKAVGESVHKPLLYYENNLSSLVYLLKELTEKASANFIFSSSCTVYGQADELPITENAPVKPASPYGNTKQIGEEIIRDTAKVYNFNAIALRYFNPIGAHESVEIGELPLGPQNLVPFITQTAAGLRDELSVFGNDYPTEDGTCVRDYIHVVDLAKAHVKALTRHENKTNYEVFNLGTGTGSSVLEVIETFERVSGVKLPYKIVDRREGDVVAAYADTEHANNELGWKSELTLADALDSAWKWEKK---------- 254382740 ------LITGGAGYIGAHVVRAMLIAGEKVVVLDDLSTGNEDRVPEGPLVIGSVLDRLVVEKTLREHKITGVVHLAGKKQVGESVERPTYYYHENVEGLQVLLGEVAAAGIRNFLFSSSASVYGMPDVDSVTETTPCAPLSPYGETKLAGEWLVRAAGKAHGISTACLRYFNVAGAATPELADTGVFNLVPMVFERYDAGQGA----RIFGDDYPTPDGTCIRDYIHVEDLADAHVVAARKLAEWGAQGEYNIGRGEGVSVKEMVELLGAHTGHTHAPVITPRRPGDPAKVVASADKIAAELGWKARHDVAEMITSAWAW------------- 154299682 MSVGTVLITGGTGYIGSFTTLALLEHGYNVVIVDSLYNSSVVALKRPDFYQVDITDEAELEKVFAAHKIDSVIHFAALKAVGESSEIPLEYYRVNVGGTISLLRCMSKYDVTNIVFSSSATVYGDPNMIPIPEHCPIGPTNPYGRTKSTIEDVITDHEKYEQWNGALLRYFNPAGAHPSGIMGEDPLGPFNLLPLLGQVATGKREKLLVFGDDYSSRDGTAIRDYIHVVDLAKGHLAALNHREQQPGVRSWNLGSGRGSTVFEMVKAFSHVVGRDLPYQVVDRRAGDVLDLTANPTRANEELKWKTELTLEDACEHLWKWVSNNPQGYRQD-- 289208996 ----RILVTGSAGFIGSALALRLLERGDEVIGVDNLNDYYDVSLKEAREVREDIADRAAMERVFREHRPERVVNLAAQAGVRYSLENPAAYVDTNLVGFGNILEGCRHFGVEHLVYASSSSVYGANTTMPFSHDNVDHPLSLYAASKKANELMAHTYSHLYDLPVTGLRFFTVYGP----------WGRPDMALFLFTKKILAGEPIDVFNYGHHRRDFTYIDDIVEGVIRAAWTGAEPDSATSAAPYRLYNIGAHRPVELMHYIEVLEDCLGCKAEKNLLPLQPGDVPDTYADVEALRTDTGYEPTTSVEEGVARFVEWYREYYR------- 254425402 ----TVLVTGAAGFIGSNFVRLLYKKSIQIVVLDALTYAGNRANIADTLVEGDICDAQLVAKLLRDYAADTLIHFAAESHVDRSISGPAAFVQTNVVGTFTLLEAFRNHWHFRFLHVSTDEVFGDPGDPAFSETTPYAPNSPYSASKAGSDHLVRAYFHTYGLPTLITNCSNNYGP--------YQFPEKLIPLMCLNILLGKPLP--------VYGDGQNIRDWLHVEDHCSAIATILQKAV---PGDTYNIGGNNQVKNIDIVEQLCDLMDARQLITFIPDRPGHDRRYAMDITKIQRELGWQPNYDFATGLRQTVQWYLAHRDW------ 143130971 ----KILITGGAGYIGSTVGSACEEAGHEVVVLDDLSAGRREFVRDRTFYEGDIADQDLLDRVFSENQIDAVVHCAAKIIVPESVDEPLTYYGNNVGKTVALLKGMERNGVHRILFSSSASIYATDEEFKVTEESALDPGSPYATTKFMVEFILRDAAHASDLKALSLRYFNPIGSDPKLRTGQQIEHPTHVLGKMIDAWM-EGSTFTVTGVDWPTRDGSGIRDYIHVWDLARAHVAALEHLDEDDPYQVFNIGTGSGVTVKELVKAFEEGTGKSLNVVYGPPRPGDVAGAYTVSRRAKDLLGWSAELTQADGIRDAIAW------------- 146280069 MSD-SVLLAGGAGYIGSHVVTALASAGWRPVILDNFDNSEPEVVERIPLIEGDVRDRALVERALRRHRIGAVVHLAGRKSVNESAEDPLLYFAENLSGAVSLMTAMRNCGVSRLVFSSSATVYGAAETLPVDETAPTRVTSPYGRTKLMIEEMIDDCVAVPEFSAVSLRYFNPVGAHRSGLIGEVPRGPNNLFPYVVRAATGELPFVRVFGDDYPTPDGTGLRDYIHVEDLARGHVAALRVQREGPGHQRINLGTGRGHTVLEVLDAFGRACGFRIPRRIVGRRPGDVAASVADPGLAQRLLGWQARHGLDEMCESQWIFQQRHAERLER--- 77460279 ----KILVTGGAGFIGSAVIRHIISNTDSVVNVDKLTYGNLESLARYAFERVDICDRDQIDRVLREHQPDAIMHLAAESHVDRSISGPSEFIQTNIIGTYTLLEAARHYWAFRFHHISTDEVYGDGPEDLFTETTPYQPSSPYSASKASSDHLVRAWARTYGLPTLVTNCSNNYGP--------CHFPEKLIPLIILNALEGKPLP--------VYGKGNQVRDWLYVEDHARALYKVV---TEGVIGETYNIGGHNEKQNIEVVNTLCALLDHASLITYVQDRPGHDQRYAIDASKIQRELGWTPEETFESGIRKTVEWYLSNTEWVA---- 302344192 --SKTILVTGAAGFIGSHCVRALLARGDRVVGIDNLNDYYDPRLKRWRFVHGDIRDRELLAKLFDQNGFDAAIHLAAMAGVRASIDQPHLYWDVNLTGTLNLLEEARRARLGNFVFASTSSVYGDTKIMPFVEDTCDRPLAPYAASKRATELLAYSYRHLHGLNCTALRYFTVYGP--------WGRPDMMALKVLDNIHFGRQVVLFNKGQ--------MHRDWTFIGDITAGTILAADTPL---GFEALNIGRGEPILLAEFIAKLEALSGKKANLQDAPMMKADVPYTFADITKARKLIGYDPVTSLDEGLHGLYQWYAEH--------- 143265359 ----KILVTGGLGYIGSHVTTLLLDKDFEVLCLDNLENSSESVLKSPIFENIDIRDKSSLRILLDEHDIKGVIHFAAYKAVEESHKIPLDYYQNNVVGLLNLLELISTKRIP-LIFSSSCTVYGQAKKLPIKESTYQPPTSPYGYTKQVGEQIIKHTCKAYNFSAISLRYFNPIGAHPTSKIGENPRGPQNLVPFLTQTVMGKHSVLKVFGSNYNTPDGTCIRDYIHVMDLAQAHIDSLDFLISNEKSIYFNVGTGSGVSVLELIKTFEKVTGEEVPFEYNEPRTGDTEAAFADIKKIEKKIGWKSKYSLEEALKNAWEWEKN---------- 239945630 ------LITGGAGYIGAHVARAMVAAGERVVVLDDRSSGVVDRLPDAVTVEGSASDRALLDRVLAGHAVSGVVHLAAKKQVGESVEKPLLYYRENVAGLAVLLEAVVAAGVRRFLFSSSAAVYGVPDVDLITEETPCLPINPYGETKLTGEWLVRATGKAHGLSTACLRYFNVAGAAA----PELADTGVFNIVPMMFERLTHGEAPRIFGDDYPTPDGTCIRDYIHVADLAEAHLAVARRLDETGAGLTLNVGRGEGVSVRELADVIGEVTGSDLKPVVEPRRAGDAPKAVASAARITGELGWTARRSVLEMVESAWEWCLHHP-------- 197106764 ----KILITGGAGFIGSAVVRRAVREGHEVVNLDVLAYSNVESVASHPFEQADICDKARVEAIFAQHQPDAVMHLAAESHVDRSVEGPLDFVRANVMGTAVMLEAARAYWAFRFHHVSTDEVFGLGEDGEFTEETPYDPTSPYSASKASADHLVRAWGRTYGLPVVITNCSNNYGP--------YQFPEKLIPTVITRALEGKSIP--------VYGDGRQVRDWLHVDDHAEAL---LLALTKGELGETYCIGGDADHRNIDVVRMICAELDKADKIAFVTDRPAHDFRYAIDASKIERELGWKPSIALDRGLAETVRWYVDNYGWVER--- 283785861 ----KILVTGGAGFIGSAVVRHIINNTQDVINIDKLTYGNLESLNRYVFERADICDATAMARIFTKYKPDAVMHLAAESHVDRSITGPAAFIETNIVGTYVLLEAAREYWAFRFHHISTDEVYGDLPHPLFTEKTPYAPSSPYSASKASSDHLVRAWLRTFGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGK--------TLPIYGKGDQIRDWLYVEDHARALYTVV---TQGKPGETYNIGGHNEKQNLDVVHTICDLLDYRDQITYVTDRPGHDRRYAIDAEKISRELGWKPQETFESGIRKTVEWYLANAQWVEN--- 240103010 MRNRLVVITGGAGFIGSHIAWELVKDN-EVIVIDNLYTGRAENVPPGAKVKADIRDYDAIAELISHA--DYVFHEAAQVSVVESIRDPVFTEEVNVLGTLNILRALLEGH-GKLIFASSAAVYGDNPNLPLKETERPRPLSPYGVTKATAEEYLRVYHELYGLPVVALRYFNVFGPRQSAN-------QYAGVISIFIKRALAGEPLVIFGDGKQT------RDFIYVKDVVKANLLVAESRRANG--RVFNVATGRQTSILELAMKIVEITGTTSSIIFDKPRPGDIRHSLADIGEIRK-LGFEPEFSLEEGLKRTVEFFRK---------- 50310879 -EDKYCLVTGGAGYIGSHTVVELCEAGYKCIVVDNLSNSSYESVARMKFAKIDLCELEPLNKLFDDYKIDSVLHFAGLKAVGESTQIPLTYYFNNIVGTINLLECMKSHDVKKLVFSSSATVYGDATRIPIPETCPTGPTNPYGKTKLTIEDMMRDHFSDKSFSFAILRYFNPIGAHPSGVIGEDPLGPNNLLPFMAQVAIGRRPKLYVFGDDYDSVDGTPIRDYIHVVDLAKGHLAALKYLEKAGTCREWNLGTGHGTTVLQMYRAFCDAIGFNFEYVVTARRDGDVLNLTAKCDRATNELEWKTELDVNKACVDLWKWTQDNPFGY----- 170743902 ----RILVTGGCGFIGSALVLHLVRDGHEVLTLDALTYAANRDEPRHRLVQADICEPERVAALFAEFRPEAVMHLAAESHVDRSITGPAAFIRTNVVGTQVMLEAARAHHAFRFLHVSTDEVYGLPPGGFFTEESRYDPRSPYSASKAASDHLARAWHETYGLPVLVTNCSNNYGPR--------HFPEKLIPLMILNALEGKALP--------VYGDGQNERDWIHVEDHARGLVAVLERGRI---GETYLLGGRAVRSNLSVVRTLCAIFDRERLISFVTDRPGHDRRYAIDPSKAEAELGWRPTRSFDQALEETVRWYLDHREW------ 222056005 ----RILITGGAGFIGSHLAERLFLCGHDIIIVDNFNDFYSPAVKRRNFTEGDIRDEEFIRAIFTQELPDAVIHLAAAAGVRPSIDNPLLYEEVNVRGTMNLLEAAKAIGVRLFLFASSSSVYGNNPKVPFAEDPVDNPISPYAATKKAGELICHTYHHLYDINIACLRFFTVYGPR--------QRPDLAISKFVRLIEQGKPIPF--------YGDGSTSRDYTYIGDIVAGIEKALQWVNTGEKRYDIFNGGSSPVALNRLVKIIEHQLGKKAVLECLPMQAGDVERTFANIEKSSSVLGYKPVTPIEEGIANFVRWYQD---------- 142674768 ----KILVTGHAGFIGFHLTKKLLERGNDVVGFDVVNDYYDPRLKEARLEQKDLADLDSVDECFKEHSFDRVIHLAAQAGVRYSLENPRSYIQSNIVAFTNILEACRYQKVPHLTYASTSSVYGANTSMPFSEKHVDHPLQLYAATKRSNELMAHSYSHLFQLPTTGLRFFTVYGP----------WGRPDMALFKFTRNIIENEPIKVFNHGNHTRDFTYIDDIVEASDEIAVSDISWSSDHPNPDTRIFNIGNNQPIKLVEYIEAIEDALDKKALKKMLPLQPGDVPDTFADSSKLAQNLGYQPSTPVRVGVKQFVEWFLNNFQSLEQ--- 254438973 ----KILLTGGAGYIGSHTYLALVGAGYEVVILDNFSNAKPDVPKMVHVFQGDVLDRTDLDAVFAAHKIDGVVHFAAKKAVGESVAKPLDYMHTNIGGLLNLLAAMDAANIRSIVFSSSATVYGDTDVQPIPEDHPRTSTSPYAFTKIVGEQILEQLPDT--WAVGILRYFNPVGAHKSAMIGEDPDIPNNLVPYIAKVAMGELTELGVFGDDYDTPDGTGVRDYIHVEDLADGHVLSLKSLLETGKSHTVNLGTGEGSSVLDVLKAYSEACGQDLAYKIAPRREGDVAVLTARPEQAKARLGFEAKRTLTDMCKSSWAW------------- 56752002 ----KILITGGAGFIGSALIRHLLRLDARIINLDKLSYASDEDSDRYVFEQVDLLDEAALTRIFQTYQPTAVMHLAAESHVDRSIDSPRPFIESNILGTFNLLEAARRYWTFRFHHISTDEVYGLGETGLFTEATRYDPRSPYSASKASSDHLVRAWHHTYGLPVLVTNCSNNYGP--------WQFPEKLIPVIILNAIAGNPLP--------IYGNGGNIRDWLYVED----HTRALEQVLLKGQGETYNIGGFNERTNLQVVETICDLLDYRQQMEFVRDRPGHDRRYAIDASRIERELGWQPQESFETGLRKTVCWYLNYLDW------ 114799940 ----KVLVTGGAGFIGSALVRHLIDTTHRVTVVDKLTYAANLDSLRYTFIREDICNAPAMRDIFLAERPDYVLHLAAETHVDRSISGSQQFIQTNINGTYNLLEAARALQAFRFLHVSTDEVYGLGPDGLFSETTAYDPSSPYSASKAASDHLAKAWHRTYGLPVIVSNCSNNYGP--------YHFPEKLIPLIILNALEGQRLP--------VYGDGSNIRDWLHVEDHARALWRIA---TTGRPGETYNVGGLNERTNLQVVHTICDLLDRRELIEFVSDRPGHDQRYAIDAAKLQTELGWKAEETFDTGIRKTVEWYIDHPEW------ 302872024 -----VLVTGGAGFIGSHIVDKLIERGYDVCIVDNLLSGNAHNIPKAKFYKLDIRD--NLEQVFEKNKIEYCIHQAAQVSVAKSMEDVWLDCSINVLGTVNLLEYCVKYKVKKFIFASSAAVYGEPKYIPIDENHPLRPESFYGLSKLTSEEYVRMFAHNFNFEYVIFRYSNVYGPRQDPFGEG-------GVVSIFCKRMQNNKDVVIFGD------GTQTRDFIYVEDVAEANCIALESSV----SGTFNLSTAKNVSVNELFEIISGLTGYKRVPVYQSKRPGDIAHSCLSNNLLKNVFGFSPQFSLLEGLKKTVEYF------------ 121533488 ----KILVTGGAGFIGSHTVDKLIHEGCQVTVVDDLSTGRRENVAQATFIEMDVCSPV-LFELFANVKFDGVVHLAAQTSVPVSMDKPDFDCRVNVLGTVNVLEVCRRFGVRRVVLASSAAVYGDGVAVPVREDAKMAPASVYGLSKLTAETYLSMYTRLFGLEGVVLRYANVYGERQG-------DGGEGGVVSIFTSRMARGEALTVYGDGYQT------RDFVYAGDVANANWLAL---ITPDVNGVFNVGTASETSVNDLIQLLTDVAGRTVDIQYCTPRHGDIYRSALDNRLAREKLCWQPQIPLREGLARTWDW------------- 294775723 ----KILVTGAAGFIGSKLSYALACRGDEVVGLDCINDYYDVRLKYGPVSEADIEDKQELFRLFEQEKFDKVMNLAAQAGVRYSISNPYAYMESNLHGFLNILEACRYYGVKHLIFASSSSVYGMNTKVPFSEDDKVDPVSLYAASKKSNELMAHAYSKLYGFAVSGLRYFTVYGP----------WGRPDMAPMLFAKAISAGEPIKVFNNGLLSRDFTYIDDIVEGTVRVIDHLPASEDVLDGVAYKIYNIGCGHPMQLMDFIHELEQALGRESRKVYLPMQQGDVYQTYADTSRLEQEVGYKPRVSLHEGIGQFIEWYKKNP-------- 300930358 ----KILVTGGAGFIGSAVVRHIINNTQDVVNVDKLTYGNLESLERYSFEHADICDAEAMARIFAQHQPDAVMHLAAESHVDRSITGPAAFIETNIVGTYVLLEAARNYWNFRFHHISTDEVYGDLPHPLFTETTAYAPSSPYSASKASSDHLVRAWKRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKALP--------IYGKGDQIRDWLYVEDHARALYTVV---TEGKAGETYNIGGHNEKKNIDVVFTICDLLDYREQITYVADRPGHDRRYAIDADKISRELGWKPQETFESGIRKTVEWYLANTNWVEN--- 262163805 ----KILVTGGAGFIGSAVVRHIILRTKDVVVLDKLTYGNLESLERYTFEQVDICNREQLDRVFAEHQPDAVMHLAAESHVDRSIDGPAAFIETNIVGTYTLLEAARHYWTFRFHHISTDEVYGDGTDDLFTEETPYAPSSPYSASKASSDHLVRSWLRTYGFPTIVTNCSNNYGP--------YHFPEKLIPLMILNALEGKALP--------VYGNGMQIRDWLFVEDHARALYKVV---TEGQVGETYNIGGHNEKANIEVVETLCTLLEYTDLITYVKDRPGHDVRYAIDASKIERDLGWKPEETFESGIRKTVEWYLSHREWWSR--- 260060711 ----KVLVTGGLGFIGSHTVVELQQAGHQVVIIDNCSNASEDVLQRPEFERMDLREKAAVNDFFARHDLVGVIHFAASKAVGESVEKPLLYYENNIGTLVYLLQQLVARGGSSFIFSSSCTVYGQADQMPITEDAPVKPASPYGNTKQMGEEIIRDTCRVHPLRAISLRYFNPIGAHPSAAIGELPLGPANLVPFITQTAAGLREELSVFGDDYPTPDGTCIRDYIHVVDLARAHVVALERQQNQSNYEVFNIGTGTGSSVMEVIRSFERVSGEKLNFRVVGRRSGDVVEAYADTTRANNELGWKAESSLDDAMASAWTWEKK---------- 170288108 ----TILVTGGAGFIGSNFIHYMMEKDYKIVCIDKLTYAGNLRNLEFRFIKGDICDRELVYRIFEEEKPDVVINFAAESHVDRSIESPDIFLKTNILGTQVLLDASRKYNVKRFHQVSTDEVYGDRSDLKFTESSPLRPSSPYSASKASADLLVLAYHRTYGVPVTISRCSNNYGP---------YQFPEKLIPLMIINALNDRP-------LPVYGDGRNVRDWIHVKDHCEAIDIIIHR---GKEGEIYNIGGENERANIDVVRMILRELGKPESIRFVKDRPGHDRRYALDISRMKKEFGWSPKISFEEGLKSTIKWYLENRSWWEE--- 225715316 ---QKVLVTGGGGYIGSHCVVELIEAGFCPVVIDNFSNAVREGDIRIEFHELDMLDRPGLEKLFKQHSFSAVMHFAGLKAVGESVEQPLRYYRVNLTATMNLLEVMQTHGVHNLVFSSSATVYGDPQRLPIDEQHPVGGTNPYGKTKYFIEEMIMDQCKEKGWNAVLLRYFNPIGAHSSGLIGEDPQGPNNLLPYVAQVAVGRRKHLNVFGNDYNTIDGTGVRDYIHVVDLAKGHISALKKLNENCGCKVYNLGTGTGYSVLQIVKAMEKASGKEILYQIAPRRGGDVASCYADPCLAEKELAWKLTLTWRECVRT----------------- 158056587 ------LITGGAGYIGSHVVKSMTEAGERVVVLDDLSTGDPARVPAGPLERGTVLDRAFLDRVLAEHRVRGIVHLAAKKAVGESVERPLHYYRENVTGLQVLLEAAAAAGVDSFLFSSSASVYGMPDTDLVTEDTPCAPLSPYGETKVAGEWMVRSVGRAHSMATACLRYFNVAGTAA----PELADTGVFNLVPMVFQRLDAGQPPLVFGDDYATPDGTCVRDYIHVADLADAHLAAARRLAELAAAGDHNIGRGEGVSVREMMDLIREVTGRPVEPEVTARRPGDPAQVVASADRISAELGWKARHDVRSMIASAWA-------------- 135158812 ----KLLVTGGAGFIGSAVIRRAIADGHQVVNVDKLTYAEVEDAPGYAFEQADICDADAMARILGQHAPDAIMHLAAESHVDRSIDGPGAFVKTNIDGTYTYWEANGRPETFRFHHISTDEVFGSLPDDPFTETTPYDPRSPYSASKAASDHLVRAWHETYGLPVVLTNCSNNYGP--------YHFPEKLIPVMILNALAGKPLP--------VYGDGGNVRDWLFVEDHADAL---LLVVQKGAVGRSYNIGGENEATNLDLVRTLCAILDHADLITFVTDRPGHDRRYAIDPTRIREELGWRPSVTLDEGLARTVDWYLAREDW------ 294140251 ----KILVTGGAGFIGSAVIRHIIKNNDSVINLDKLTYGNLESLLRYVFEQVDICNRTELERVFSEHKPDALMHLAAESHVDRSIDGPAAFIETNIVGTYQLLEATRQYWAFRFHHISTDEVYGDLEGTLFTENTSYEPSSPYSASKASSDHLVRTWLRTYGLPTIITNCSNNYGP--------YHFPEKLIPLVILNALEGKLLP--------IYGKGEQIRDWLYVEDHARALYKVV---TEGNIGETYNVGGHNEKRNIEVVETICDITDYKEQITFVKDRPGHDMRYAIDASKIEKELDWTPIETFETGLRKTVEWYLENKTW------ 282853111 ----KILITGGAGYIGSTVGSACEEAGHEVVVLDDLSAGRREFVRDRTFYEGDIADQDLLDRVFSENQIDAVVHCAAKIIVPESVDEPLTYYGNNVGKTVALLKGMERNGVHRILFSSSASIYATDEEFKVTEESALDPGSPYATTKFMVEFILRDAAHASDLKALSLRYFNPIGSDPKLRTGQQIEHPTHVLGKMIDAWV-EGSTFTVTGVDWPTRDGSGIRDYIHVWDLARAHVAALEHLDEDDPYQVFNIGTGSGVTVKELVKAFEEGTGKSLNVVYGPPRPGDVAGAYTVSRRAKDLLGWSAELTQADGIRDAIAW------------- 83950832 ----------------------MRAAGYTPITYDNLSTGWQEAVKFGPFEQGDLLDRARLDEVFAAYQPSAVMHFAALSQVGESMVKPGLYWHNNVTGSLNLIEAAVAANCQNFVFSSTCATYGDQDNVVLDEDCAQHPINAYGASKRAIEDILRDFEAAHGLRHVIFRYFNVAGADPEAEVGEFHQPETHLIPLVLDAVAGKRDALTIFGTDYDTPDGTCIRDYVHVMDLVEAHVLGLKWLSEDKQSRVFNLGTGTGFSVREVVDQAGHVTNAPVPMIEGDRRPGDCTRLVSGSLRASQELGWRPDRSMKQMVQDAWRWHQ----------- 296139544 ----RLLVTGGAGYVGSVCTQVLLEQGHDVTVLDDLSTGNREAVPAGTFVEGDVA--TAARQILADGEFDGVLHFAAKSLVGESVTHPHKYWQGNVVTTLSLLDAMLAAGVPRLVFSSTAATYGEPESVPITEDARTAPTNTYGATKLAIDAAITSYATAYGLAAVSLRYFNVAGS--YAGIGENREVETHLIPLVLQTALGQRADIAVFGADYPTADGTAVRDYVHVKDLADAHLLALDH-ARTGAHDIFNLGSGEGFSVRQVIDTCREVTGLEIPEVAADRRAGDPAVLVASSRKAHDELGWRPAHTLRGIVSDAWDF------------- 193215494 ---KKILVTGGAGFIGSNFIAYMLQKYDDIVNLDKLTYAGNEKHPNYVFVKGDICDRAVTDAIFKEHQIDHVVNFAAESHVDRSILGAKIFVETNVLGTQNLLETAKTFGVERFLQVSTDEVYGTGKTGFFTEETPLQPNSPYSASKAGADMMVRAYYETFKLPCVITRCSNNYGP----------YQFPEKLIPLMIANALNDKPLPVYGDGM------NVRDWLYVEDHCVAIDVAMRSGKN---GEVYNIGGHNEKPNIEVVKLIEKLGKPESLITYVTDRLGHDRRYAIDASKIERELGWTPKETFETGMEKTVNWYLEHQRIISGEYQ 124546529 ----KILVTGGAGFIGSAVVRHIINKTQDVINVDKLTYGNLESLVRYAFEQVDICDRAELDRVFSQHRPDAVMHLAAESHVDRSITGPADFIQTNIVGTYTLLEAARHYWMFRFHHISTDEVYGDLPHPLFTETTAYAPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------FHFPEKLIPLVIINALEGKPLP--------IYGKGDQIRDWLYVEDHARALYIVV---TEGKIGETYNIGGHNEKQNLEVVQTICRILDSAEQITYVTDRLGHDRRYAIDASKMSRELNWQPQETFETGLRKTVEWYLANQEW------ 144176042 ------LITGGAGYIGAHVVRALRESGRSVVVLDDLSTGHADRVPDDPLAQVSVLDTSSVRDALREYAVDGVVHLAAKKAVGESVEQPLHYYRENVTGVISLLEAMRDEGVHNLVYSSSAAVYGEPPWSPIREETPCAPLSPYGETKLVGEWMIRDAGAVQRLSWVALRYFNVAGAGA----PELRDTSVNNLIPMVYAALERGVAPQVFGSDYPTPDGSCIRDYIHVSDLADAHVAAAAYCEANDAQDVFNVGTGEGASVWEVMAAVSEAVGHDIGAVAVARRPGDPPELVASADRIAGVLGWQARRDLAEMVSSA---------------- 147679101 ----KILVTGGAGFIGSHIVDLLAGSGHVVSVADDLSTGRFENIPAVNFYRVSVA-SEEFGEVVARERPDAVVHQAAQVDVQHSLRDPLADAETNIQGSINLLEACRRFGVGKVVYASSAAVYGNPLSLPVDEEHPLVPRSPYGASKLAAEHYFRVYSEVYGVRYTVLRYANVYGPRQDAAGEG-------GVVAIFIDRLLKGEPPSIFGD------GEQTRDFVFVRDVALANVAAL----HGGDGMVLNVGTGRATSVNDLFREIKKITGSPLEALYCPPRPGDITHSYLANGRIRRVLGWNPSCSLEDGLRETVGHYRKVPGW------ 15668384 -----ILVTGGAGFIGSHIVDKLIENNYDVIILDNLTTGNKNNIPKAEFVNADIRDK-DLDEKINFKDVEVVIHQAAQINVRNSVENPVYDGDINVLGTINILEMMRKYDIDKIVFASSGAVYGEPNYLPVDENHPINPLSPYGLSKYVGEEYIKLYNRLYGIEYAILRYSNVYGERQD------PKGEAGVISIFIDKMLKNQSP-------IIFGDGNQTRDFVYVGDVAKANLMALNW-----KNEIVNIGTGKETSVNELFDIIKHEIGFRGEAIYDKPREGEVYRIYLDIKKAES-LGWKPEIDLKEGIKRVVNWMKNNNR------- 189423550 ----RILVTGAAGFIGFHLCRRLLARGDAVLGLDNLNSYYDVSLKQARFVQADLADRPAMEQLFSVEQFDIVIHLAAQAGVRYSLENPHAYVDSNLTGFMNILEGCRHTGVKHLVFASSSSVYGANTAIPFSHQNVDHPVSLYAATKKANELMAHSYASLFNLPVTGLRLFTVYGP----------WGRPDMAYFSFTRAILEGRPINIFNRGRMQRDFTYVDDIVEGIVPAEKNPTWSGTQPDPGTSRIYNIGNNKPVELLQFIEILEQQLGITAQKNFLPMQAGDVPATFADIDELAAATGFRPATSLEDGIARFVAWFRSYY-------- 220913275 ----KILVTGGTGYIGSHTVLSLQEAGHDVVVIDNLVNSSEESLKEAEFHNVDLVDEAAVEQVFAGAGIDAVIHFAGLKAVGESVREPLKYYYNNLVGTLNLIRVMDRHDVRSFVFSSSATVYGEHNPIPYVEKMEIGANNPYGRTKEQIEDILSDLDADPRWHIALLRYFNPVGAHPSGRIGEDPQGPNNLVPFIAQVAVGRREKLMVFGGDYDTPDGTCLRDYIHVEDLAEGHVAALNYVAGRAGVFRWNLGSGRGSSVLEVLRSFEKAVGEPIPYEITERRAGDLPAFWADAASALADLGWSTTKTVDQMCEDHWRWQKNNPQGYAS--- 254295499 ----KILVTGGAGFIGSAVCRHLIDKGVSVVNLDKLTYAEIQDNPNYAFEQVDICDREAVLAVLKKHSVDAIMHLAAESHVDRSIDGPSAFMETNIIGTYSMLEAARHYWKFRFHHISTDEVFGDFDDSLFTETTPYAPSSPYSASKASSDHLVRAWERTYGLPVVMTNCSNNYGP--------FHFPEKLIPLVTLNALEGK--------TLPVYGKGDNVRDWLYVEDHAVALATVLEK---GKLGESYNIGGNCERTNLGVVESICDILDRRELIEFVTDRPGHDMRYAIDASKIKNDLGWEPSVTFEEGLTKTIDWYLANEWWWK---- 110833771 ----KILVTGGAGFIGSAVIRHIISNTDDVVNVDKLTYGNLESLLRYTFEKVDICDRHTLDGVLQRHQPDVIMHLAAESHVDRSIDGPAAFMETNIIGTYTLLEAARQYWAFRFHHISTDEVYGDGPEDLFTETTPYAPSSPYSASKASSDHLVRAWLRTYGFPTLITNCSNNYGP--------FHFPEKLIPLMILNALEGKPLP--------VYGQGTQVRDWLYVEDHARALYKVA---TEGKVGETYNIGGHNEKQNIDVVHTLCDLLEYRDLITHVRDRPGHDLRYAIDASKIERELGWTPQETFETGIRKTVLWYLDNSEW------ 220919515 -----ILVTGAAGFIGHFVAKRLLAAGRQVVGIDNLVPYYDVALKEARFERMDLADRAAAERLFAEVRPDGVIHLAAQPGVRYSLENPHAYVDANITGFLNVLEGCRHHAVQHLVYASSSSVYGGNTKVPFSVDNVDHPVSLYAATKKANELMAHTYSHLFGIPATGLRFFTVYGP----------WGRPDMAPMLFTKAILEGRPIKVFN------HGNMKRDFTYVDDIVEGVLRVYERPPPGAGARVYNIGNSTPVDLMHFIGTLERLLGREAEKQMLPMQAGDVPATFADVSDLEHDIDFRPRTSLEDGLRQLVEWYREFY-------- 254169754 IKNKLIVVTGGAGFIGSHIAEELSEDN-EVVVIDNLYSGKVENVPENKFIQADIRDYESIAEIIS--QADYVFHEAALVSVVESVEKPILTEEINVLGTLNILKA-LSEGHGKLIFASSAAVYGDNQNLPLKEDEKPKPLSPYGVTKVSGEYYCRVFYELYGVPTVTLRYFNVFGERQG-------YNQYAGVISIFINRALKNEPLIIFGDGKQT------RDFIYVKDVVKANILVAEKEKANGE--VFNVARGERTTILELAMKIIDATNSSSSIIFDKPRPGDIKHSQADISKIKK-LGFKPDYSLKEGLLRTIEWYR----------- 83647583 ----KVLVTGTAGFIGSHVALRLLDRGDEVIGVDNLNDYYEVSLKEARDVRLDVADREGIEALFAKHKPDRVVHLAAQAGVRYSIENPHAYVSANLVGHMNILEGCRHNKVDHLVYASSSSVYGANESMPFSHDNVDHPLSLYAATKKSNELMSHTYSSLYGIPTTGLRFFTVYGP----------WGRPDMALFIFTRKIIAGEPIDVFNYGKHKRDFTYIDDIVEGIDHVDWDGMQPDPGTSKAPYRIYNIGSNNPVELSRYIEILEECLGKKAERNLLPMQPGDVPATYADVQALIDDVGYRPSTTVEEGVKKFVEWYRDYYR------- 50083368 ----KILITGGAGFIGSAVVRHIIQNDNTVLNVDKLTYGNLESLARYQFSQTDICDRAALDQLFETFQPDAVMHLAAESHVDRSITGPAAFIETNILGTYQLLEAARHYWNFRFHHISTDEVYGDLEGTLFVETTPYAPSSPYSASKASSDHLVRAWHRTYGLPVVLTNCSNNYGP--------YHFPEKLIPLVILNALAGKPLP--------VYGNGAQIRDWLYVEDHARALYKVV---TEAKVGETYNIGGHNEQQNIDVVKAICNLLEYQDLITYVKDRPGHDLRYAIDASKIKKDLGWVPQETFESGLRKTVEWYLANQEWVK---- 309369460 ----KILVTGGAGFIGSAVVRHIIESNDSVVNVDCLTYAGNENNERYVFEQVNICDRAELDRVFSEYNPDAVMHLAAESHVDRSITGPAAFIETNVVGTYTLLEATRAYWNFRFHHISTDEVYGDLPHPMFLETTPYAPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKELP--------IYGKGDQIRDWLFVEDHARALYKVV---TEGGIGETYNIGGHNEKRNLEVVETICSILDVAEQITYVQDRPGHDRRYAIDSSKMQQELGWTPIETFETGLKKTVQWYLDNHKWCQNVQD 260598179 ----KFLVTGAAGFIGFHVSERLLAAGHQVIGIDNLNDYYDVNLKLAHFEKIDLADRQAMETLFAQHQPQRVIHLAAQAGVRYSLENPHAYADANLTGHLNVLEGCRHHKVEHLLYASSSSVYGLNRKMPFTDDSVDHPVSLYAATKKANELMSHTYSHLYGLPTTGLRFFTVYGP----------WGRPDMALFKFTQAIVKGSSIDVYNHGQMRRDFTYIDDIAEAIVRLQDVIPQADPQWTSAPYRVYNIGNSSPVALMDYISALEKALGKEAQKNMLPMQPGDVLETSADTSALYKVIGFKPQTSVEEGVKRFVEWYKGFYN------- 254492644 MTQKTLIITGGAGFIGSALIRRLIQSNYQIINVDKLTYAGNESHPNYIFVQADICDAGKMAEVFSFYQPSAVMHLAAESHVDRSIDGPADFVQTNLVGTYTILEAARAYWNFRFHHISTDEVFGLGEHGLFEETTPYQPNSPYSATKAGSDHLVRAWQHTYGLPVLMSNCSNNYGP--------FQFPEKLIPLMINNAVSGKALP--------IYGRGDNIRDWLYVEDHVEALQLVLEKGT---VGESYNIGGFNEHTNIDVVETLCGILDHKKLIEFVTDRPGHDQRYAIDATKIQQELGWRPRETFATGLQKTVQWYLENHEW------ 289678440 -----ILVTGGAGFIGSNFVLQWCARGEPVLNLDALTYAGNEGNEQHRFVHGNIGDAALLERLFAEHRPRAVVHFAAESHVDRSITGPEAFVETNVMGTFRLLEAARAYWAFRFLHVSTDEVYGTANDPAFTETTPYQPNSPYSASKAASDHLVRSYHHTYGMPVLTTNCSNNYGP--------FHFPEKLIPLMIVNALAGKALP--------VYGDGQQIRDWLYVEDHCSGIRRVLEA---GALGETYNIGGWNEKANIDIVQTLCALLDYAELITYVTDRPGHDRRYAIDARKIERELGWKPAETFETGIRKTVEWYLANQKWV----- 58580415 ------LVTGGAGFIGGNFVLEAVARGIRVVNLDALTYAGNEGNPDHVFVKGDIGDGMLVARLLQEHQPDAVLNFAAESHVDRSIEGPGAFIHTNVVGTLALLEAVRDYWAFRFLHVSTDEVYGTGETGKFTETTPYAPNSPYSASKAASDHLVRAFHHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLVIAKALAGEPLP--------VYGDGKQVRDWLFVSDHCEAIRTVL---AKGKVGETYNVGGNSERQNIEVVQAICALLDRASQITYVTDRPGHDRRYAIDASKLKNELGWEPSYTFEQGIAQTVQWYLTNQAWV----- 157373248 -KDKTVLVTGGAGFIGSALVRFLINETHRVINLDKLTYAGNESNERYHFILGDICDRPLVDDILKRYQPDIIMHLAAESHVDRSIDGPDEFIQTNILGTYTLLEASRSYQDFRFHHISTDEVYGDGETGLFTEQSPYNPSSPYSASKAASDHLVRAWCRTFQLPVVITNCSNNYGP--------FQFPEKLIPLVILNALEGKPLP--------VYGDGKQVRDWLYVDDHARALYKVA---TDGKPGSTYNIGGYNEKQNIDVITTICDQLEFNQLITYVKDRPGHDLRYAIDATKIKNELGWKPVETFESGIVKTIQWYLDNLEW------ 163784121 MQAKTVLLTGAAGFIGWKTAQLLLEKGYKVVGIDNMNNYYDPRLKEWRKSQVDIENLGALKVLFDSFNFDYVVNLAARAGVRYSIVNPHVYLTTNAHGTLNLLELMKENSVKKFVLASTSS-LYAGQPMPFKENLPVNPISPYAASKKAAEVMSYTYHYLYDIDISVVRYFTVYGP----------AGRPDMSIFRFIKWIDEGTPIKLFGDG------SQARDFTYVDDIAKGTILAMEKEV--GYEIINLGGGKNPISLKTIIEKIENLLGKKAKIDYKPFNKADMMETWADIEKAEKLLGWKPEIDIDEGLKRTVEWYLDNKDWVKN--- 145221993 ------LITGGAGYIGSHVARAMLEAGRDVVVIDDLSSGFESFVPDGAFVEGTLLDAALVDDTLARFDVDGVIHIAGYKYAGESVKRPLHTYEQNVSTTVILLQAMEARGVGQIVFSSSAATFGTPDVDIVDESTPTTPESPYGETKLIGEWLLRDAGRASGLRHTSLRYFNVVG---SGAVELFDASPHNLFPLVFD-MLFRGETPRINGDDYPTPDGTCVRDYIHVSDLALAHVAAAQRLAAGEPVEPVYNGSGAGTSVREIMTAMRTVTGVDFEPVVMPRRPGDPARIVAAGDLAARDLGWSNRHSLEEMVASAWR-------------- 297200084 ------LITGGAGYIGAHVVRAMTGAGEQAVVYDDLSTGIAERVPADPLVTGSTLDAERVAHTLADHEVTGVVHLAAKKQVGESVDQPLHYYRENVEGLRVLLEAVTTAGVPSFVFSSSAAVYGMPDVPLVTERTPCAPMSPYGETKLAGEWLVRATGRATGLSTACLRYFNVAGAAS----PELADTGVFNIIPMVFERLTQDAAPRIFGDDYDTPDGTCVRDYIHVADLAEAHVAAARTQSSPGRDLTVNIGRGEGVSVREMIDHINAITGYDRPPTVTPRRPGDPARVVASADQAATELGWKAKHDVQDMITSAWEWVRLHPEA------ 254669573 ---KKILVTGGAGFIGSAVVRHIINDTQDVVNVDKLTYGNLESLPRYAFEQVDICDRAALDRVFAEHQPDAVMHLAAESHVDRSIDGPAAFIETNIVGTYTLLEAARAYWHFRFHHISTDEVYGDGTDDLFTETTPYAPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLMILNALDGKPLP--------VYGDGMQIRDWLFVEDHARALYQVV---TEGVVGETYNIGGHNEKANIEVVKTICTLLEYEDLITFVQDRPGHDVRYAVDAAKIRRDLGWLPLETFESGLRKTVQWYLDNKTWWQN--- 116626317 --------------------RMLLNQGHDVTVVDNLSKGYRHNVPAERLHEIDLADTQAIAALLREKKCEAVIHFAAFIAVGESMREPAKYFTNNVCGSLSLLDAMTQAGVKHLVFSSTAAVYGDVKKSPITEDFPIQAVNPYGESKVMVETLLGWFDQIHHLTSVCLRYFNASGCDPEGGLGEEHEPETHLIPLVLRAVQTG-KPITVFGGDYPTPDGTCIRDYIHVNDLAQAHILAVEYLIKGGASDQFNVGTGTGHSVLEMIHAVEEVTGKKVPYVVGARREGDPPSLVASSKKLRERLGWAPRYELKVIVEHAWRF------------- 136591242 ----NILLTGGVGYIGSHTAIALAGLGHEIVLYDNMSNSGYSMVDRLNLIIGDIRNTELLKKTMASHRVDAVIHFAGLKAVAESVTRPIQYFANNVQGTISLLEAMQAEGIKNLVFSSSATIYGEPQYLPLDEDHPVGAINPYGRSKVQIEEILKDATSDPEWRIACLRYYNPIGAHESGLIGDSPNGPNNLMPYIAQVASGKRKILNIFGKEYPTADGTAVRDYIHIMDLAEGHERALQFLSQETGWHAINIGTGRAYSVLEVVKAFENASGRKVPYEVAGRRPGDVAVCYANPEKANKLLNWTPCRTLEDMCMSAWKFQL----------- 294629322 ----NWLVTGGAGYIGAHVVRALTEGGESVVVYDDLSTGSADRVPDGPLVVGTVLDADLLERTLRDHDISGVVHIAAKKQVGESVERPLYYYRENVTGLQTLLAAMTATGVDRIVFSSSAAVYGMPDVDLVTEDTPCVPMSPYGETKLVGEWLIAAAARAHGLKAAALRYFNVAGA----ATPELSDSGAFNLVPMVFERLEAGRAPLIFGDDYATPDGTCVRDYIHVQDIASLAAARRLADAPEGTSLVLNIGRSEGSSVREMVDLILKTTGREDIPEVTDRRPGDPARVVASADRIRAELGWSARHGLTDMIESAWQWRHRH--------- 307130154 ----NILVTGGAGFIGSAVVRHIIQHTDRVMVVDCLTYAGNLASLRFLFEKVNICDRAGLDRVFATFQPDAVMHLAAESHVDRSIDGPSAFIETNITGTYTLLEAARQYWAFRFHHISTDEVYGDGTDDLFTETTPYAPSSPYSASKAASDHLVRAWLRTYGLPTLVTNCSNNYGP--------YHFPEKLIPLMILNALEGKPLP--------VYGQGNQIRDWLYVEDHARALYTVV---TTGEVGETYNIGGHNERKNIEVVKTICALLDYDELITHVTDRPGHDLRYAIDASKIYRELGWKPQETFESGIRKTVEWYLANEAWWRS--- 135307550 ME--KILITGGAGFIGAHVARQLYDRGEQVCIIDDFNDRYDPRLKRARIVEGDIRDLDLVRTIFKDNKFTGVIHLAAWAAVQTSIERPHIYTNVNVDGTVNMLETARQHDVRNFIFASSSSVYGGRQEVPFKEDDVSRPISPYAATKAAGEILCAAWHNLYGLPISCLRFFTVYGP--------WGRPEMALFKFSQAISND---------TPVPMRGQDTQRDFTYIDDCVHGILAALDHPH---DFEIFNIGEADTVSLPRFIAAIENALGKKATVEEVPLPPGDVPRTLADISKGRDMLNYNPSTNIESGVSKFVDWYNSY--------- 290562531 -KGKTVLVTGAGGYVGSHCVLELLIQDYNIVAVDNFVNCVQEHNSYPEFHEADLTDKATLVPLFEKYNIDCVVHFAALKAVGESCRLPLMYYSNNVTGSANLMDLMMEYGVKKIVFSSSATVYGDPQYLPVDEMHPVGATNPYGKTKYFMEEIMKDVCNANDWGTMILRYFNPVGAHPSGKIGEDPQGPNNLLPFVAQVAVGRRDKLTVYGNDYDTEDGTGVRDYIHIMDLAKGHALAINEKPEQQGVSIYNLGTGKGSSVLQVIEAFEKASGKKIPFEFAARRPGDVSSCYAKCELAKENLNFKSNYSLLDMCKDMWTWQSQNPSGY----- 39945784 ----TVLITGGTGYIGSFTSLALLENDYDVVIVDNLYNSSAVAIKRPAFHNVDITDEAALDKVFDAHEIDSVIHFAALKAVGESGEIPLEYYRVNVGGSISLLRSMQKHNVCNIVFSSSATVYGDPNMIPIPEHCPIGPTNTYGRTKSTIEDVISDHVNFDMWNGALLRYFNPCGAHPSGLMGEDPQGPFNLLPLLGQVATGQREKLLVFGDDYSSRDGTAIRDYIHVLDLAKGHLAALNYRDNKPGVKAWNLGSGRGSTVFEMIKAFSSVVGRDLPYEVVPRRQGDVLDLTANPALANKELGWKTELRMEDACQDLWKWVKNNPQGYRQD-- 21672267 ----KILVTGGAGFIGSAVVRHIIRDNDSVINLDKLTYGNLESLERYAFEQVDICNRAELDRVFAQHQPDAVMHLAAESHVDRSITGPADFIETNIVGTYMLLEAARAYWAFRFHHISTDEVYGDLPHPLFTETTPYAPSSPYSASKASSDHLVRAWRRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALDGKPLP--------VYGKGDQIRDWLYVEDHARALYTVV---TTGAIGETYNIGGHNERQNLDVVHTICDLLDYRDQITYVADRPGHDRRYAIDASKMSAELAWLPQETFESGIRKTVQWYLDNQQWVNN--- 293363761 ----KVLVCGGAGYIGGHTVWSLYDKGYDIVVFDNLSTGNLEYLPKNIFIKGDITNKKDLDELFKKHDFDCIMDFAAKIVVPESVIKPLEYFFNNTEGVRLLIEKVVEKNIKNFIFSSTAAVYGQIQSGICDENSFLNPINPYGDSKLAAEKIIQSSAYAYKFNYAILRYFNVAGAD-SKLRCGLKSNNLSHIVPIMTNSMLNKSIFKIFGDDYNTKDKTCIRDYVHVSDVALAHVKALEYLVNSNKSIIANLGSNDGFSVKQVVD--EGLKYHNFKYEYASRRAGDPDKLIASNKIAKEFLKWEPKISLKEMIKS----------------- 224538596 ----KILVTGAAGFIGSYVCKRLLSRGDEVVGLDNINSYYDVNLKYGRFVRINLEDKQAMRMLFANESFDKVVNLAAQAGVRYSIENPYAYVESNIDGFLNVLEGCRHYKVKHLVYASSSSVYGLNGKVPFSEDSIAHPVSLYAATKKSNELMAHTYSHLYGIPSTGLRFFTVYGP----------WGRPDMSPFLFADAMLHGRPIKVFNNGDMLRDFTYIDDIIEGILRVDWSAQNPDPSSSTAPYKIYNIGNSHPVKLMDFIQAIEGAIGHPAEKIYLPMQPGDVYQTNADTSALQNELGFKPDKPIKEGVQETIDWYRSFYQ------- 310830094 -KGSRFLVTGGAGFIGSAVCEALLSMGYFVRCLDDLSTGSKENIPGFEFIKGDIQDYDIC--LEACGGIDYVSHQAAWGSVPRSIKLPLLYEGINVKGTLNMLEAARQKGVRRFVYASSSSVYGDEERLPKTESQMGNCLSPYALTKKTAEEYAGLYTRLYGLETIGFRYFNVFGKRQN------PEGVYAAVIPKFVKSLMAGEAPRINGDG------SQSRDFTYIENAVEANLKGMLAPKEAAGA-AYNIACGGQISLNRLYYTLCGLLNRELPPVYGPERPGDIRHSCADITAARELLNYDPDYSFEDGIEKTIEWYREH--------- 167623417 ----KILVTGGAGFIGSAVVRHIINNTQDVINVDKLTYAGNESNERYVFEQVDICDRAELDRVFVKCKPDAVMHLAAESHVDRSITGPADFIQTNIVGTYTLLEATRAYWAFRFHHISTDEVYGDLPHPLFTETTSYEPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKPLP--------IYGKGDQIRDWLYVEDHARALYKVV---TEGVVGETYNIGGHNEKQNLEVVQTICAILDSKEQITYVTDRPGHDRRYAIDSSKMQRELGWTPIETFETGLKKTIEWYLTNQEW------ 159186243 ----RVLVTGGAGFIGSALVRYLVSEGADVLTVDKLTYAGNENAPNHRFLQADICDRAAMNDAFATFQPDYVMHLAAESHVDRSITGAADFIQTNINGTFTMLEAARQYWNFRMLHVSTDEVYGLGDDGLFEETTPYDPSSPYSASKAASDHLATAWQRTYGLPVVISNCSNNYGP--------FHFPEKLIPLIILNALEGKPLP--------VYGNGANIRDWLYVEDHARALWLIVQKGLA---GEKYNVGGRNEQKNIDVVNCICSILDYANLIQYVTDRPGHDARYAIDATKLETELGWKAQENFATGIRKTVEWYLDNAWW------ 253991611 ---RRILITGGAGFIGSAVVRHIIDNTQDVVVVDSLTYGNLESLARYAFERVDICQREALDRVFEQYQPDCVMHLAAESHVDRSIDGPAAFVETNIVGTYVLLEAARAFWTFRFHHISTDEVYGDGEDGFFTEETPYAPSSPYSASKASSDHLVRAWHRTYGLPTIITNCSNNYGP--------YHFPEKLIPLMILNALAGKSLP--------VYGEGKQIRDWLYVEDHARALYLVV---TKAEPGRTYNIGGHNERKNIDVVCAICELLEEFCPIAHVTDRPGHDMRYAIDAAKIEHELGWKPQETFESGIRKTVQWYLENENW------ 46114412 ---RSILVTGGAGFIGSHLVEALLADGYKVVVIDNFDEFYSPEIKRANVYEADIRDIPALTAIFADNDFSVVVHLAARAGVLPSLQVPDLYFDVNVTGTMNLLQCCKDFGVKQFVFGSSSSVYGLGAKAPFSESQKTQPISPYAASKSAGELLCHTWSHLYQIRCVCLRFFTVYGPRQRPDLAIH----------KFTRLIHQGKPIPLFGDG------SSIRDYTYIDDIIDGIFGAIDY--EGSMFEAINLGESQTITLIDLISAIEGTLGRKALIDWRGEQPGDMPLTYADISKAGSIIGYKPKTQVQQGIVKFVAWYLNH--------- 135269147 MSFERILVTGGAGFIGSNLVRYLLENTDHVINVDKLSYAGNETNERYRFHQVDITDAAAIQRIFSENRPDAVMHLAAESHVDRSIEGPGEFIQTNIVGTYTLLEHSLDYWNFRFLHVSTDEVFGLGAEGYFAEDTAYDPRSPYSASKASSDHLVRAWYHTFGLPTLVTNCSNNYGP--------YQFPEKLIPVVIHKALSGEAIP--------IYGKGDNVRDWLHVHDHVNALYTVL---STGELGETYLIGGNNEKTNLEIVSTICASLDEIQGIEFVADRPGHDKRYAIDASRIKRDLGWEPSFTFGDGIAQTVAWYLDNLDW------ 269796672 --------TGGAGYIGAHVVRLLHERGDKVVVVDDLSTGARSRVGDATVIELDVASQEVLAAALREHEVDAVIHFAARKQVGESVERPTYYYQQNVGGLTNVLAAMEEVGVHKLVFSSSAATYGMPSVSVVEEKLHAEPINPYGETKLVGEWLGRAASRAWGLKFVALRYFNVAGAGWD----DLGDPAVLNLVPMVLDRLERGEQPRLFGDDYPTPDGTCIRDYIHVLDLAKAHIAALQYLTHDTQPFDVFNGTGTGASVREVLDGLAAASGLTIDPIIEPRRAGDPPQLVGSPERINEVLGWRAEADLEEILGSAWAWQ------------ 21221432 ------LITGGAGYIGAHVVRAMTEAGEKAVVYDDLSTGIAERVPDGPLVVGSVLDGERVARALADHSVTGVVHLAAKKQVGESVDLPLHYYRQNVEGLRVLLDAVTAAGVPSFVFSSSAAVYGMPDVDLVTEETPCVPMSPYGETKLAGEWLVRATGRATGLATASLRYFNVAGAASPDLVDTG----VYNLVPMVFEKLTESAAPRIFGDDYATPDGTCVRDYIHVVDAEAHVAAARALQSSPGTALTLNIGRGEGVSVREMIDRINAVTGCDQPPTVTPRRPGDPARVVASADRAAVELGWKAKYDVEDMITSAWAWVRLHPEAARD--- 26988516 -----ILVTGGAGFIGSNFVLQWCAHNEEPVNLDALTYAGNEGNPQHRFVQGNICDAALLTKLFAEHRPRAVVHFAAESHVDRSITGPEAFVETNVMGTFRLLEAARAHWAFRFLHVSTDEVYGTPNDPAFTETTPYAPNSPYSASKAASDHLVRSYFHTYGMPVLTTNCSNNYGP--------LHFPEKLIPLMIVNALAGKALP--------VYGDGQQIRDWLYVEDHCSGIRRVLEA---GAFGETYNIGGWNEKANIDIVRTLCSLLDYAELIAYVTDRPGHDRRYAIDARKIERELGWKPAETFETGIRKTVAWYLANQKWVK---- 141598259 ----TVLVTGGAGYIGSHMVLALLDAGHQPVILDDFSTGHEQLVPNGPVFRGNVADAGLVAEICNSYEIDTVAHFAASIVVPESVSDPLKYYLNNTASLTRFISACVEAGIKRFVFSSTAAVYGNQDHNPINEDASTQPENPYGTSKLMSETILRDCARATDLSYVILRYFNVAGADPKGRAGQLSQPATHLIKIAVEAAVGKRSSIQLYGTDYPTPDGTCIRDYIHVSDLIGAHMAALTHLQAGGESMLANCGYGHGASVRDVLGVVATVAGKELSITDAPRRAGDAAILVADSTKLR---------------------------------- 146342016 MANEPILVTGAAGFIGFHLTQQLLAEGRQVVGLDNINSYYDPTLKEARFHKLDLVDRAAIKALFAAHRFPAVVHLAAQAGVRYSLENPHAYVDANLEGFINVLEGCRHHGCGHLLFASSSSVYGANTKLPFSVDNVDHPISLYAASKKANELMAHSYSHLYRLPATGLRFFTVYGP----------WGRPDMAMFIFAKAILAGQPVRLFNHGQMRRDFTYVDDIVPPQGNPDWNGNTPDPSSSRAPWRIYNIGNNHPEQLTDVITLLEKEFGRPAIKEMLPMQPGDVEATYADVSDLERDIGFRPATSIVDGIARFARWYRDYHR------- 302382271 ----RILVTGGAGFIGSALVRRLIEHDHEVLVFDKLTYAGVLSSLRYAFVQADICDPDAVAKALKDFRPDVVAHLAAESHVDRSIDGPGAFVQTNLVGTFVMLNQTLGYWAFRFHHISTDEVFGLGEDGFFTETTPYDPRSPYSASKAGSDHLVRAWGHTYGLPVLVTNCSNNYGP--------YHFPEKLIPLIIIRALNGEPLP--------VYGDGSNVRDWLFVDDHARALQAVFETGT---PGETYNVGGNAERRNIEVVTAICTILDRADQITFVADRPGHDHRYAIDASKIRNDLGWTPSVTFEQGIEQTIAWYLENKTWWQD--- 255534744 ----TILVTGGLGYIGSHTVVELLKNNFQVIIVDDMSNSNIEKVAGKRPVFFDLKRKELLSQVFDAYEIDGCINFAAFKAVGESQEKPLDYYENNLFSLINILQEFKTRNISNFIFSSSCTVYGQADQQPIDENTPLKPESSYGKTKQMGEEILKDFATVHQRKISLLRYFNPIGAHPTALLGELPIGPNNLVPYVTQTASGIREKLNIWGNDYPTEDGTAIRDYIYVVDLAKAHVKALQKLIASDEETVIDIGTGRGSSVLEVVEAYERANNVAVPYQICERRAGDITIAYANADKAERELGWKAETSLEEALRTTWEWQK----------- 142352779 ----KVLVTGGAGYIGAHVSDLLEKNGYQVRIFDDFSNGERRTKSFSDIYNGDITDRQAV--LSAMGGVDAVIHLAAKKAVGESVSNPLKYYTNNVGGTMNLLAAMSLKKVSKIVFSSSAAVYSPSDKDAIVESDPTEPLSPYGATKLLSEQLISKVGEAEGLSTISLRYFNVVG---SANIEFGDNSRDNLVPKVFTAFNEGKRP-EIYGDDYPTKDGTCIRDYIHVQDLAEAHLVALKQLEKGKVDEIYNVGSGTGYSVKEMMEQMSKSMGVDLNPVTVPRRAGDSPKLIASIKKIEKDLGWRPKATLKEMIDSAWA-------------- 284006580 ---KRILVTGGAGFIGSAVVRHIIEHNDNVLVVDSLTYGNLESLPRYTFTQINIADRPAMDKIFAQYQPDAVMHLAAESHVDRSIDGPAAFIETNIVGTYHLLEAARNYWNHRFHHISTDEVYGDLHEGLFTETTPYAPSSPYSASKASSDHLVRAWQRTYGLPTLVTNCSNNYGP--------YHFPEKLIPLIILNAIAGKSLP--------VYGQGEQIRDWLYVEDHARALHLVI---SQADAGKTYNIGGHNERKNIDVVKTICDLLEELRPITYVTDRPGHDMRYAIDASKIEQELGWKPQETFESGMRKTVEWYLSNETW------ 91789862 -----IFVTGGAGFIGANFVLDWLAQTDEPVVIDNLTYGNLENLPRHVFVKGDIGDSALVGRLLATHQPRAILNFAAESHVDRSIHGPEDFIQTNIVGTFRLLEAVRAYWGFRFLHVSTDEVYGAPADAAFTETHRYEPNSPYSASKAASDHLVRAYHHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLMIVNALAGKPLP--------VYGDGMQVRDWLYVKDHCSAIRRVLEAGKT---GEVYNVGGWNEKPNIEIVNIVCTLLDYREQISYVKDRPGHDRRYAIDASKIQRELGWKPAETFETGIRKTVQWYLANPDWVA---- 126667506 ----KILVTGSAGFIGFHLAQRLLDRGDEVIGVDNLNDYYDVNLKEARLARLDIADRDLMAALFEEHKPERVVHLAAQAGVRYSIENPQAYVDANLVGFMNILEGCRRNDVQHLVYASSSSVYGANEAMPFSHDNVDHPLSLYAASKKANELMAHTYSHLYNLPTTGLRFFTVYGP----------WGRPDMAPFIFTKKILAGEPIDVFNHGHHKRDFTYIDDIVEGVDQVAQPNPQWDPSTSRGPYRIYNIGSNNPVELARFIETIEQCTGKKAEKNLLPMQPGDVVATYANVDGLINDVGYKPETQLEQGIEQFVQWYRDFY-------- 71906876 ----TILVTGGAGFIGSNFADWLAQSNERVINLDVLTYGNLENLARHLFVKGDIGDFELVSKLLTEHRPRAVVNFAAESHVDRSIHGPEDFIQTNIVGTFRLLEAVRAFYGFRFLHVSTDEVYGATDDPAFTETHQYEPNSPYSASKAASDHLVRAYHHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLVIHNALAGKPLPIYGDGQ--------QIRDWLYVKDHCSAIRRVLEAGRL---GETYNVGGWNEKSNLEVVYTLCAILDYKELITYVTDRPGHDRRYAIDATKIERELGWKPDETFETGIRKTVQWYLDHQDWVEN--- 56476269 ----RILVTGGAGFIGSAVVRHLTDSTHHVANLDKLTYGNLESLNRYQFYQVDICNAAALDKVFAEFRPTAVMHLVAESHVDRSIDGPAEFIQTNMVGTYTLLEAARRYWAFRFHHISTDEVYGDGTDDLFTESTPYAPSSPYSASKASSDHLVRAWQRTYGLPTLVTNCSNNYGP--------YHFPEKLIPHMILNALAGKPLP--------VYGDGSQIRDWLYVEDHARAL---VEVVTRGKVGETYNIGGHNEKRNLEVVETICDLLDYRELITFVKDRPGHDRRYAIDASKIERELGWMPQETFESGIRKTVRWYLENHQ------- 117919827 ----KILVTGGAGFIGSAVIRHIINTNDSVINVDKLTYGNLESLKRYNFEQVDICDRATLERVFSQYQPDAVMHLAAESHVDRSITGPSDFIQTNIVGTYILLEAARQYWAFRFHHISTDEVYGDLPHPLFTETTPYAPSSPYSASKASSDHLVRAWLRTYGFPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKSLP--------IYGKGDQIRDWLYVEDHARALYKVV---TEGKVGETYNIGGHNEKQNIEVVKTICSILDSAEQITFVTDRPGHDRRYAIDASKMSAELNWQPQETFETGLRKTIEWYLANQEW------ 143000583 ----KVLITGGAGYIGSHVCNLLLDSGNQVVVVDSLITGNKNLIPKNAKLIIDIADEKKIEDLIIKEKFDLVMHFAGLIRVDESVKEPKKYHEFNFEKGKIFLNVCLKNGLNKIIFSSTASVYGNPEKEKVLESDTLNPLNPYASSKLKLEDFIKKKSKEIDMKFIILRYFNVAGSDEKLRSGLISKHSSHLIKIACEVAVGKREKIVINGNDYDTFDGTPIRDFIHVSDLADIHLISAKHLIKNGKSDTFNCGYGKGYSVKEVIENFNEILDKKINYEIGPRRDGDSKMIIANPEKFNKFFGWKPRFNIKYILKTAVDWEKK---------- 126178155 ----RILVTGGAGFIGSHIIERLLDDGHEVVCLDNFDPYYDPEIKKSNIEVGDIRNRDTLTRLL--EGTDYVFHEAAQAGVRISVEDPIKPHEVNATGTLNLLEASRDSGVKKIINASSSSVYGTVEYLPFDEDHPRRPVSPYGVSKLAAEEYCRVFSELYGLKSVSLRYFTVYGPRMRPDLAI----------SIFTRKALANEPITIFGDGTKTRDFTNIKDIVRANLIAM-----------QKGEGAYNIGGGHRVSIQTLAETIIETTGSSSEIRYADTVKGDAEHTFADTKKAERNLGWRPQVSLEEGLRRYAAW------------- 51243897 MSQRKILVTGGAGFIGSAVIRHILENTDDVVNLDKLTYAGNLESLEGVFEQVDICDREELVRVFAIHQPDAVMHLAAESHVDRSIDGPATFVETNIVGTSTILQVAQKYWCFRFHHVSTDEVYGDDPEEFFTEETSYAPSSPYSASKAASDHLVRAWQRTYGFPTIITNCSNNYGP--------YQFPEKLIPLMILNALEGKALPIYGNGQ--------QIRDWLHVEDHARALLLVLR---QGKIGETYNIGGHNEKSNLEVVHTLCDVLQYRDLISHVADRPGHDLRYAIDAGKIERELGWRPQETFESGIRKTVQWYLDNKGWWSR--- 289178237 --NMTVLVTGGCGYIGAHVVHALHEAGEDVVVVDDLSYGKPNRIGNARLYGMDIATPERLAEIMEAENVDSVIHFAARKQVGESVEKPLWYYQQNINGMLNVLEGMKEAGVKKLVFSSSAATYGVPPVDVVPEDEPMVPINPYGQTKLFGEWMARACEQPYGIRFCGLRYFNVAGCGPVQL----EDPAILNLIPMLFDRLKQGKAPAIFGDDYPTPDGTCVRDYIHVSDLADAHIAALKYDRDERKYDVFNVGTGEGTSVRQIVDEVKKVTGLPFKETVMGRRAGDPPHLIGSPERINTEMGWHAKYDVEDIVESAWNAWQANP-------- 135348699 -----ILVTGGLGYIGSHTVVELLENDFEVLIIDNLSNSNSIINKNIFFEQIDLCNAEDLSLIFQKYNIQAVIHFAAHKSVGESVSKPIVYYKNNISSILNIDEINKKSKFCPFIFSSSCTVYGKANRFPITEDESIKPASPYANTKKIAEEILYDVSLTNNLNVISLRYFNPIGAHSSLKIGELPLNPQNLVPIVTKTGIGSNDKLIVNGSDYDTSDGSCIRDYIHITDLARAHVISLKKLLSKDPFEVYNLGTGKGTSVLEIIKLFESISGLKLNYEIGPRRNGDVPISYANPAKAERELGWKANLSLKEALSSAWNWEKK---------- 91976096 MPKSAVLVTGAAGFIGYHLSRELLDAGYDVVGLDNVNDYYDRDNPRFDFVKLDLKDRSATDAVFAKGRFPVVFHLAAQAGVRYSISNPHAYADSNLGAFVNVLEGCRHNGCRHLVYASSSSVYGANAKLPFSVGDRTHPISLYAATKKSNELMAHSYSHLFGLRTTGLRFFTVYGP--------WYRPDMAIFLFAKAISSGAPIRLFNHGR--------MRRDFTHISDVTRVMRRLLDVPENAGDPRVYNVGNHRPEELMRVVDLLEAEFGRKAEKELLPMQPGDVPETFADIDDLKRDFGFSPETRIEDGIRDFASWYRKYY-------- 124485719 MSLDTILITGAAGFIGFHLSKKLLEQGIQVIGYDNINSYYDVNLKYARFVKGDLADKSEVENVFTKYKPDIVVNLAAQAGVRYSIDNPQVYIDSNIIGFFNILEACRHHPAEHLIYASSSSVYGNQEKTPFTDDDVSRPISLYAATKKSNELMAYTYSHLYGIPTTGLRFFTVYGP----------YGRPDMAYFSFTRKILAGETIQIFNNGDMY------RDFTYIDDIVQGIENMLEHPPAADENGDYNIGNNHPEKLMYFIEVLEKCIGREAKKEFLPMQPGDVYQTYADVDDLVWDFGFKPETSVEVGLGKFVEWYKKY--------- 295148975 ----KILITGGAGFIGSAVVRHIINDTQDVVNLDKLTYGNLESLERYAFEQVDICERSELDRVFAEYQPDAVMHLAAESHVDRSITGPAAFIETNIVGTFTLLEATREYWAFRFHHISTDEVYGDLPHPLFLETTPYAPSSPYSASKASSDHLVRAWLRTYGLPAIVTNCSNNYGP--------FHFPEKLIPLVILNALEGKQLP--------IYGKGDQIRDWLFVEDHARALYKVV---TEGKVGETYNIGGHNEKQNLEVVQAICSILDYAEQIRYVQDRPGHDRRYAINSSKMQRELGWTPIETFETGLRKTVQWYLDNQQWCKNVQD 136469942 ----KILLTGGLGFIGSHTTYVLNTLDYEVVIVDNLSNSNCPYPKRIAFYEGDVTDKSFLHEVFSKEKPESVIHFASRKAVKESIEHPLLYYRENLNGLLTLLDVMETHQCNRILFSSSATVYGTGPS-PLKEDAPVGSTNPYGQTKYFQECILQDYAKTRPMNVILLRYFNPVGSHPSGLLGEDPKGPNNLFPFIMRVASREYEELQIFGHDYSTRDGFCVRDFIHVMDLAEGHVASL--KQTKTGCHIYNLGTGEGVSVMEMVNTFEKVNDVKIPYQIKDRRPGDAAETYSDASKAKAELGWETKRSLEDICRDGYRF------------- 298290101 ---QRVLVTGGAGFIGSAVVRRLVRQGRQVLTYDKLTYAGNHNLPGHSFLQADICDGRAFREALESFRPDLVMHLAAESHVDRSIDGPGDFIATNIVGTYTLLDEALRYWRFRFHHISTDEVFGTGEDGLFREDTPYQPNSPYSASKAASDHLVRAWFHTYGLPVVMSNCSNNYGP--------YHFPEKLIPLTILNALEGAPLP--------VYGKGENVRDWLYVED----HAAALELIATRGRGESYNVGGSNERRNIDVVRTICAIMDRDALIRFVTDRPGHDARYAIDASKLKTELGWVPEHDFESGIEKTVRWYLDNAAWWE---- 254225683 ----KILVTGGAGFIGSAVVRHIIQNTQDVVNLDCLTYAGNETNGRYAFEQVNICDRTELDRVFAEHKPDAVMHLAAESHVDRSITGPAAFIETNIVGTYTLLEATRQYWNFRFHHISTDEVYGDLPHPLFLETTPYAPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKDLP--------IYGKGDQIRDWLFVEDHARALYKVV---TEGKVGETYNIGGHNEKQNLEVVQTICSILDYAEQITYVQDRPGHDRRYAIDSSKMQKELGWTPLETFETGLLKTVQWYLDNQQWCQNVQD 303327683 -----ILVCGGAGYIGSHNVRALLARGEEAVVIDNFLTGHRRAVPAGVRHEGDIRDPEALDRVFSENRIDAVLHFAASSLVGESMEKPLAYFNNNVHGMQMLLEAMRRHGVDKIVFSSTAAVYGEPRRVPIEESDPTRPANPYGESKLMMENIMRWVGLAHGMRSVVLRYFNVAGALPGGAIGEDHRPESHLIPLILQVPLGLREHITVFGEDYPTPDGTCIRDYLDVMDLADAHMRALDYLRRGGESVICNLGNGRGFSVREMIEAARRV-------------------------------------------------------------- 29726028 ----KILVTGGAGFIGSAVVRHIINTQDSVINLDKLTYGNLESLVRYAFEQVDICDRVELDRVFVKHQPDAVMHLAAESHVDRSITGPADFIQTNIVGTYTLLEAARQYWAFRFHHISTDEVYGDLPHPLFTETTPYAPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVTLNALEGKPLP--------IYGKGDQIRDWLYVKDHARALYKVV---TEGKVGETYNIGGHNEKQNLEVVQTICSILDSAEQITYVTDRPGHDRRYAIDASKMSCELDWQPQETFETGLRKTVEWYLANQEW------ 108805895 ----RVLVTGGAGFIGSHVAEQLLSRGHEVAVLDNLSTGKRENVPPGRFYEADVRD--GCAGVFEDFRPEAVSHQAAQMDVRRSVAEPDFDAEVNVIGTVRLLEACVRGGVRRVVFASTGAIYGEQEEFPATERHPQYPISPYGVSKLAGERYLHYYNAQYGLPYAALRYSNVYGPRQD------PHGEAGVVAIFCGRLAAGERAT-------INGTGEQTRDYVYVGDVARANVLALENELPPG---AYNIGTGVETSVNELYERLRRLSGRDDDPEHGPAKPGEQQRSCVDPSLAGRVMGWRPEVGLDAGLKETLRFF------------ 257052157 ----HILVTGGAGFIGGHLAEQFVTDGHDVVVLDNLDPFYDLDIKRHNFIEGDVRDADLVTELVT--DADYVYHQAAQAGVRPSVEDPRKYDEVNVDGTLNLLDACRDTGIERFVMASSSSVYGIPRSLPYEETHPTTPVSPYGASKLAAERYAMAYSQVYDLSAVALRYFTVYGPRMRPNMAISNFVSRCM----------NGEPPIVYGD------GTQTRDFTYIDDVVDANISLLDTDAADGQVLNI--GSTDNIEIRTLAEEIRDQLAPERELVYEERHDADAEHTHSDITRARELLGYEPSHTIREGVEEFVGWYQDNRGWYES--- 239503782 ----KILVTGGAGFIGSAVVRHIIKNNNHVLNVDKLTYAGNDQNSRYQFSQTDICDRKALDVLFKEFQPDLVMHLAAESHVDRSITGSAAFIETNIIGTYQLLEAARHYWAFRFHHISTDEVYGDGTDDLFHETTSYAPSSPYSASKASSDHLVRAWNRTYGLPVVITNCSNNYGP--------YHFPEKLIPLVILNALKGKALP--------IYGKGDQIRDWLYVEDHARALYKVV---TEAKVGETYNIGGHNEQKNIDVVKAICELLEELAPITYVKDRPGHDLRYAIDATKIKEDLGWVPEESFETGLRKTVEWYLNNQEWVEN--- 160896722 -----ILVTGGAGFIGANFVLDWLAAGDEPVNVDKLTYAGNLKSLRHVFVQADIGDGQTLARLLAEHRPRAVVNFAAESHVDRSIHGPEDFIQTNVVGTFRLLEAVRGYWAFRFLHVSTDEVYGAPAAPAFTEDHLYEPNSPYSASKAASDHLVRAWHHTYGLPVLTTNCSNNYGP--------LHFPEKLIPLMIVNALAGKPLP--------IYGDGMQVRDWLYVRDHCSAIRRVLEAGRL---GDTYNVGGWNEKPNVEIVNTVCALLDYSAQITYVKDRPGHDRRYAIDARKLERELGWKPAETFETGIRKTVQWYLDNPEWVAD--- 218558920 ----KILVTGGAGFIGSAVVRHIINNTQDVVNVDKLTYGNLESLERYVFEHADICDAAAMARIFSQHQPDAVMHLAAESHVDRSITGPAAFIETNIVGTYVLLETARQYWTFRFHHISTDEVYGDLPHPLFTEQTAYAPSSPYSASKASSDHLVRAWLRTYGLPTMVTNCSNNYGP--------YHFPEKLIPLVILNALEGKSLP--------IYGKGDQIRDWLYVEDHARALYTVVTKGI---PGETYNIGGHNEKKNLDVVHTICDLLDYREQITYVADRPGHDRRYAIDADKISRELGWKPQETFESGIRKTVEWYLANTSWVEN--- 254452858 ----KILLTGGAGYIGSHTYLALVEAGFEVVILDNFSNAKSDVPNRVDVFQGDVLNHADLDDVFAAHKIDGVVHFAAKKAVGESVAKPLDYMHNNIGGLLNLLAAMDAADVRRIVFSSSATVYGDTIIQPIPEDNARTYTSPYASTKIAGEQILEQLPDT--WAVGILRYFNPVGAHKSAMIGEDPEDPNNLVPYIAKVATGELAELSVFGDDYDTPDGTGVRDYIHVEDLADGHVLSLKSLLETGKSHTVNLGTGEGSSVLDVLAAYSEACGQDLAHTIAPRRDGDVAVLTARPEQAKAQLGFEAKRSLADMCKSSWEW------------- 197105699 -----ILVTGSAGFIGFHLSRRLLQRGEQVIGVDNLNAYYDPSLKGYRHARIDLADREAMAALFEETRPDGVVNLAAQAGVRYSLENPAAYADSNVVGFLNVLEGCRAVQPRHLVYASTSSVYGANGKLPFSHDHAVHPITLYAATKLANEAMAHAYAHLFGVPCTGLRFFTVYGP----------WGRPDMSPFKFLSAILEGRPIDVYGQGRMQRDFTYVDDIVDGVDRPAQANPEWDPQRPDPASRIYNIGASEPVELMRYIETFERKLGCKAKLNLMPMQPGDVVSTAADVSETVRDLGYRPTTSIEEGVGRFVDWYLDYY-------- 136185519 ---KRVLVIGGAGYIGSHVAQVLCDGGYEVRIFDDFSNGLERRVRFSDVVKADVLDRKALLEAMD--GIDSVIHLAAKKAVEESVSNPLKYYENNVGGTLNILAAMSARKVKRIVFSSTAAVYAPNEKSEIEETDLVSPLSPYGATKAISEELINNVGIAEGFSTVSLRYFNVVGATQ----VAFGDNSKDNLVPKVFTAVRQNQKPEIYRSDYPTKDGTCIRDYIHVGDLAEAHVSALRAIEKNEIHEVYNVGSGTGYSVLEMMNQISATMQKTLEPVRANRRAGDIPQLIASIEKIERDLGWKPHFTLKEMIDSAWE-------------- 163793776 -QPKTILVTGGAGFIGSAVVRLLIAETDVVVNLDVMTYAASEGNPRYAFEQADIRDADALADVFARHRPDAVMHLAAESHVDRSIDGPEDFIMTNVVGTFRLLQAARAHWDFRFHHISTDEVFGLGDDGYFTEETAYDPRSPYSASKASSDHLVRAWGETYGLPILLSNCSNNYGP--------YHFPEKLIPLMILKGLRGDPLP--------VYGDGSNIRDWLYVEDHARALWTVLSKGV---PGDSYNVGGHNEKTNLDVVHTICDLLDKRDQISFVTDRPGHDFRYAIDPGKIDRDLGWTPRETFESGIEKTVRWYLDNASW------ 182435277 ------LITGGAGYIGSHVVRAMTAAGETVAVYDDLSTGDRERVPDDPFVHGSTLDAVGLRRVMRDLSVRGVVHLAAKKQVAESVERPLHYYRENVEGLRVLLEAAADSGVGSFLFSSSAAVYGMPDVALVTEDTPCAPINPYGETKLAGEWLVRSVGRAHGMATASLRYFNVAGAAAPHLADAG----VFNLVPMVFEKLSQGAAPVVFGTDYDTADGTCVRDFIHVDDIASAHLAAARALVGRGPGLTVNIGRGQGVSVREMIALIGEVTGSAAEPVSAPRRPGDPARVVASADLIREELDWSASHDVRQMVESAWAWCLRHPAAWRD--- 217972651 ----KILVTGGAGFIGSAVVRHIINNTDRVINVDKLTYAGNDKNERYVFEQVDICDRAELDRVFAQHQPDAVMHLAAESHVDRSITGPSDFIQTNIIGTYTLLEATRAYWAFRFHHISTDEVYGDLPHPLFTETTSYAPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKLLP--------IYGKGEQIRDWLYVEDHARALYKVV---TEGKIGDTYNIGGHNEKQNLEVVKTICSILDAADQIIYVSDRPGHDRRYAIDAVKISNELNWQPEETFETGLRKTVEWYLTNQEW------ 114571006 ----KILVTGGAGFIGSAVVRRITQRGYTIANLDALTYAANQANLDSIFHRADIRDLDALVKVFAETDPDAVMHLAAESHVDRSIEGPIAFVETNVMGTANMLQAARTHWAFRFHHISTDEVYGLGPSGFFTETTRYAPNSPYASSKASSDMLVRAWGKTFKLPVVISNCSNNYGP--------FQFPEKLIPVVILKALAGEAIP--------VYGDGSNVRDWLYVDDHAEALLTILER---GKLGEIYNVGGDAEVSNLDLVKQICAIIDKELDIKFVTDRPGHDFRYAIDSAKIKSELGWTPSVTVEEGIAETVRWYLENREW------ 310778199 ---KTYLMTGASGFIGSHLVEKLLKEGNRVICVDNFNDYYDLSIKNYILEVVDIRDLESLEKIFSENKIDTVVHLAARAGVRPSIEDPILYQEVNGRGTQNILECCRKYGVKNIVAASSSSVYGNNKVVPFKETDVVDAISPYAATKKSNEVMGHVYHSLYKINMAFLRFFTVYGPR--------QRPDLAINKFTSLILAGEEIP--------VYGDGSTSRDYTYIADIVDGICRSIDYVENNENYEIFNIGSNSPVSLAEMIKTIEKVVGIKAKINRLPMQPGDVDRTYADVTKLKEMTGYNPSLTFEEGIRKFVDWYKK---------- 303246819 ----NILVTGAAGFIGFHLCRRFLSMGHTVTGLDNMSPYYSVALKQDRIDKADMADRQAMDRLFDEGKFSHVVNLAAQAGVRHSLTHPDAYIQTNLLGYFNILENCRQHKVDHFVFASSSSVYGLNTTMPFSHDNVDHPISLYAASKKSNELMAHSYSYLYGLPCTGLRFFTVYGP----------WGRPDMALFLFTKAILEDKPIQVFNHGRMERDFTYIDDIVEGVVRVDWNPASPDPGSSVAPYRLYNIGNNNSVSLMRFIETIEDALGKKAIKEMLPLQPGDVPATYANVDDLIRDVGFKPSTPIETGIARFIEWYRQYFRA------ 228471527 ----TILVTGGLGFIGSHTTVALQQAGHEVIIIDNLSNASIEVKDRPIFEQIDLREKDKVQDFFLRYNIDGVIHFAASKAVGESVEKPLLYYENNIASLIYLLQELQKRKNTAFIFSSSCTVYGQADKLPVTEDAFKQAECPYGNTKQINEEIIRDTCTIKNIKAISLRYFNPIGAHASALIGELPNGPQNLIPYITQTAIGLRESLSVYGDDYPTPDGTCIRDYIHVVDLAEAHLAALEYILQQGNYEVFNVGTGKGSSVLEVIKAFEKVTGQALPYKIVGRRAGDVVAAYADTHKVNEVLKWKAKQTLEEALLSAWNWEKK---------- 291615362 --NKT-LVTGGAGFIGSAVIRQFINDTCSVVNVDKLTYAGNSDNPRYRFEQVDICDAAEVARVFREHQPDAVMHLAAESHVDRSISGPADFIQTNIIGTYTLLEAARGYWNFRFHHISTDEVYGLGDTGFFTEETAYEPNSPYSASKASSDHLVRAWHHTYGFPVVTTNCSNNYGP--------YHFPEKLIPLVILNAVNGKPLP--------IYGKGDNIRDWLYVDDHARALRLVLER---GKLGETYNIGGWNEKTNLEVVLAICAALDELRPITYVADRPGHDKRYAIDATKLARDLGWKPQETFETGLRKTVEWYLQNTDWVK---- 237654112 ----KILVTGAAGFIGMHTTLRLLARGDEVVGLDNLNDYYDPTLKEARFVKMDVADRAGMEALFAAEKFDRVIHLAAQAGVRYSLQNPHAYVDSNLVGFMNILEGCRHAKVQHLVYASSSSVYGGNTKMPFSEDSVDHPVSIYAATKKANELMAHTYSHLYGLPTTGLRFFTVYGP----------WGRPDMALFLFTKAILEGRPIDVFNHGRMRRDFTYVDDIIRTLDRIAEPDPAFDPMQPNPGTGVFNIGNHDPVELMEFVAAIEDALGTTAQKNFLPLQDGDVPATYADTAALNAWTGFAPATSVREGVGRFIAWYREYYR------- 254467155 MTQKTVLVTGSSGFIGYHLCRRLLDDGFRVVGIDNLSDYYSVQLKRDRQAMLEIEDPGVVLSAFEEHKPDYVVHLAAQAGVRYSIENPRSYLESNITGTFEILEAARAHPPRHMLLASTSSAFGANTEMPYKETQKADHMSFYAASKKATENMAHSYAHLFDLPVTMFRFFTVYGP--------WGRPDMALFKFTKAILAGQPIDVYNYG--------GMQRDFTYITDLVEAVRLLMDAVPERAPHRVINIGNSEPVQLNDFIAAVETATGRTAERNLMPMQAGDVPATWADASLLRRLTGYTPQTGVAEGVAQFVEWYRAYY-------- 158423457 MDNKRVLVTGGAGFIGSAVVRHLVATGATVLNFDKLTYGPVADHPNYQFVQADICDAEAVRGALEGFKPDTVMHLAAESHVDRSIDGPGAFITTNINGTYVMQEALRYWRTFRFHHISTDEVFGLGAEGLFREDTPYQPNSPYSASKAASDHLVRAWHHTYGLPTVMSNCSNNYGP--------YHFPEKLIPLTILNALDGLKLP--------VYGTGENIRDWLYVEDHAEALALIA---ATGTPGESYNVGGLNERRNIDVVRTICAILDRENLITFVTDRPGHDARYAIDATKLTTELGWKARETFETGLRKTVHWYLDNRAWWE---- 119357538 ----NVLVTGAAGFIGFHVCRRLLDRGDSVTGLDNMNDYYDVHLKESRFVKMDLADRAGMEELFDASRFDRVINLAAQAGVRYSLINPYSYIDSNIQGFLNILEGCRHNGIEHLVYASSSSVYGANESMPFSHDNVDHPLSLYAASKKANELMAHTYSHLYGIPSTGLRFFTVYGP--------WGRPDMALFLFTEAILAGRPIEVFNFGNH--------RRDFTYIDDIVEGVLRTLDHPAERAPWRVYNIGNSRPVNLMDYIGALERELGKTAEKNFLPMQPGDVPDTYADVDQLIEDIGYKPETSVDEGIRRFVAWYREYY-------- 127512332 ----KILVTGGAGFIGSAVVRHIINNTQDVINVDKLTYGNLESLVRYAFEQVDICDRAELDRVFNEHKPDAVMHLAAESHVDRSITGPADFIQTNIVGTYTLLEATRAYWAFRFHHISTDEVYGDPDELPFTETTPYAPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKSLP--------VYGKGDQIRDWLYVEDHARALYKVV---TEGVIGETYNIGGHNEKQNLEVVKTICSILDELMQITYVTDRPGHDRRYAIDSSKMSQELNWLPEETFETGLRKTVQWYLDNQVW------ 136775517 ----KILVTGGLGFIGSHVVVKLQEEGFDVVIIDNLSNSDIKVMITPAFEQLDLREKAAVSGFFKKYDIGGVIHFAASKAVGESVENPLLYYENNIGTLVYLLQELQKKEQTSFIFSSSCTVYGQADQMPITETAIKKAESPYGNTKQIGEEIIQNTCKVSNIKATALRYFNPIGAHQSALIGELPIGPQNLVPFITQTATGQRAQLSVFGDDYPTPDGTAIRDYIHVVDLAQAHVTALKKLLENGNFDFYNVGTGKGSSVLEVITAFESVTGKKLNYKIVDKREGDVIQAFADTTKANNVLGWKAEHTLEQALLSAWKWEEK---------- 126175093 ----KILVTGGAGFIGSAVVRFIINNTQDVINVDKLTYAGNEKNQRYAFEQVDICDRVELDRVFNKYQPDAVMHLAAESHVDRSITGPGDFIQTNIVGTYTLLEAARHYWMFRFHHISTDEVYGDLPHPLFTEITPYAPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKALP--------IYGKGDQIRDWLYVEDHARALYKVV---TEGKIGETYNIGGHNEKQNLEVVQTICSILDSAEQITYVTDRPGHDRRYAIDATKMSNELKWQPEETFETGLRKTIEWYLANQEW------ 142883503 -----ILVTGGLGYIGSHTTVLLLEKGYDIVVVDDLSNSNIEVLKKPSFEKIDLKDKKAVSKLFQDYTFNGIIHFAAHKSVNESVNYPDKYFSNNVGSLENIDEIKLLENPINFIFSSSCTVYGQADSMPIDESFPLEAESPYGQSKRQCEEVLKSHQSGQSFKNITLRYFNPIGAHPSALIGELPLGPENLVPYITQTAIGKRECLTVFGDDYETVDGTCVRDYIHIMDLAEVHITCLEKLISSNDFKVYNVGTGKGTSVLELIHIFENVNDIKLNYKIGERRKGDVVTAYADTSKIEKELNWSTKYSLKNALKSAWNWELN---------- 209967270 ------LVTGGAGYIGSHTVLALRDAGAEAVVLDDLSTGVREAVPAGVAFEGSTLDREGLLRLLRRYGVTSVMHFAASLIVPDSVARPLAYYRNNVAGCLALAEACAEAGIGRLIFSSTAAVYGEPAAVPVAETAPALPLTPYGASKLMAERILADAAAAHGLDLAVLRYFNVAGADPRGRAGQRTRSATHLVKVAAEVAAGRRPVLEIYGTDYPTPDGTCVRDYIHVADLVEAHLLLLGHLRRDGGRHLVNVGYGRGFSVREVVRAVERAAGRPLPLREAGRRPGDAARLVADATLLRDRYGWRPSYDIDTIVRSALDWER----------- 285019457 ------LVTGGAGFIGGNFVLEAVARGVRVINLDALTYAGNEGNSDHVFVHGDIGDRALVSRLLTEHQPDAVLNFAAESHVDRSIDGPGAFIQTNVVGTLGLLEAVRDYWKFRFLHVSTDEVYGTGETGKFTETTPYAPNSPYSASKAASDHLVRAFHHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLVIAKALAGEPLP--------VYGDGKQVRDWLFVGDHCEAIRTVL---VKGRVGETYNVGGNAEKQNIEVVQAICALLDRGSQITHVADRPGHDRRYAIDASKLKNELGWEPTYSFEQGIAITVDWYLNHQDWV----- 136061250 -----ILVVGGAGYIGSHVVKALRDAGRQPVVFDNLSTGLRENLFPDPFIHGDLLIPEQVRDAMRGIR--SVIHLAALKAAGDSMLEPERYALHNLNGTVNLLHAAGTAGVRHFVFSSSAAVYGEPQYLPLDENHPTEPANFYGQTKLQIEMLLSWFSRLRNMRYAGLRYFNAAGYDPDGEVRGLEKEPNNLLPLVLETLLGWRENLEVYGTDYDTEDGSCIRDYIHVSDLADAHLRALSFLEEQDEDLVLNLGTSKGISVLEILDVAKKVSGMDLPVIHSDRRPGDPAVVLASAEKAERLLEWSPAFSVETILKTMLAAYRSHHR------- 226306605 ----KVLITGGAGFIGSTVASKCVDAGIDVVILDNLLTGRAEFAHRFPFYCGDIADEKLLDRIFADHDIVATVHCAALIVVGDSVSSPARYYENNVSKSLTLVSSVLRNGCERFLFSSSAAVYAPSDGGCVDEHWRIAPQSPYAHSKAQFETMLDDIVAATGLSAISLRYFNPVGADPE-LRSGPASARPTHALGKLFESYSTGTPFRVTGTDWPTRDGSGVRDYVHVWDLADAHVRALERFDDSSRMTVVNLGSGRGTTVWELVHTFENVLGEDVDVVAADRRTGDTAGAYASNDRALRLLGWVPTFTLEQGIEDTARW------------- 89094082 ----KLLVTGGAGFIGSALVRYILNATDDEIVVDCLTYGNLDSLPRYAFEQVDICDHQSLTKVFAKHQPDAVMHLAAESHVDRSIEGPAQFIQTNIVGTFNLLDVSRRYYIFRFHHISTDEVYGDDEGGFFTEETPYAPSSPYSASKASSDHLVRAWGRTYNLPVVITNCSNNYGP--------YHFPEKLIPHMILNALSGKELPIYGDGQ--------QIRDWLYVEDHAKALYTVV---TQGGLGETYNIGGYNEKTNLEVVHKICELLEELVPITYVKDRPGHDLRYAIDASKITAELGWKPEESFDSGIRKTVQWYLQNESWWER--- 310780221 -----IIITGGAGFIGSHLCENLIKNGEKIICIDNFNEYYDPMIKENNIFKGDIRDMPFLEKIFSENRVEMVINLAAMAGVRPSLEDPLLYEEVNIKGLMNILELCKKYKINKFIQASSSSVYGNNSKVPFSENDVVDAISPYAATKKSGEILGHVFHHLYNIDMIQLRFFTVYGPR--------QRPDLAIHKFTKFITEGKAVP--------VYGDGSSERDYTYIDDILDGIIKSIDYRENQGIYHILNLGESETISLNKMIQVIQDELGIKAIINHLPLQPGDVNKTYADISKAKKLLGYSPKTNFKIGIKNFISWYQEF--------- 283787530 ---KRILITGGAGFIGSAVARYIINETADAVVVDKLTYAGNLMSLRFAFEKVDICDRAAVDRVFQHYQPDCVMHLAAESHVDRSIDGPAAFIETNIVGTYTLLEAARAYWHFRFHHISTDEVYGDLHSTFFTETTPYAPSSPYSASKASSDHLVRAWLRTYGLPTLVTNCSNNYGP--------YHFPEKLIPLTILNALAGKPLP--------VYGNGQQIRDWLYVEDHARALYLVATAGT---VGETWNIGGHNERKNLMVVETICDLLEYRDLIAFVDDRPGHDLRYAIDASKIARELGWTPRESFESGMRKTVQWYLANEAWWK---- 294506605 ----TILVTGGAGFIGSHVADALLAEGHEVHILDNLSTGRRWKAPEGPLFEHDIR-SEAAASLFTEHQYDCLVHHAAQMDVRKSVEDPSFDADVNVRGLLNLMEAGIGQGLRRVLFASTGAIYGEPEYTPQDEKHPLRPVSPYGVAKLAAEKYLHYYQDQYEVETVSLRYANVYGPRQNP-------------GGEAGVVAIFTNEMLRGGQPVINGSGEQTRDYVYVGDVVEANLAALKCE----GSGTFNVGTGRETSVTELFRALRAETGADLDETHGPAKPGEQQRSVLGYERAEEKLGWVPRVSVEEGLARTVDWF------------ 153834837 ----KILVTGGAGFIGSAVVRHIIEDTSNVVILDKLTYGNLESLKRYYFEQVDICDRNELDRVFNEHQPDLVMHLAAESHVDRSIDGPAAFIETNVIGTYHLLESARQYWTFRFHHISTDEVYGDLEGTLFTETTSYAPSSPYSASKASSDHLVRAWQRTYGLPTVVTNCSNNYGP--------YHFPEKLIPLMILNALDGKPLP--------VYGDGMQIRDWLFVEDHARA----LYKVVTEGEGETYNIGGHNEKANIEVVKTICALLEYESLITYVKDRPGHDVRYAIDASKIARELNWTPEETFESGIRKTVEWYLNNKDWWER--- 3033381 ----HVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNLGAVKKLQFIYADLGDANAVNKIFSENAFDAVMHFAAVA----------YYYHNITSNTLVVLETMAAHGVKTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDIILDFSKNSIMAVMILRYFNVIGSDPEGRLGEAPRPELSEHGRCFDAARGIIPGLQIKGTDYKTVDGTCVRDYIDVTDLVDAHVKALEKAKPR-KVGIFNVGTGKGSSVKEFVEACKKATGVDIKVDYLERRAGDYAEVYSDPRKIKEELNWTAKHTLQESLKMAWRWQKLHRSGYGS--- 136482921 ---KNILVTGGAGYIGSHVVNLLIYKGYNVTVIDNLITGHFNLIKKAKFYNYDIADKPKVNKILTNNKFDIVMHFAGLIKVEESVKKPKKYYEYNYTKAKILIDLCIKNNLKKIIFSSTASVYGNPKKIKISESDNLKPLNPYALSKLKFEKYLIKQGKINKIKYIILRYFNVAGADKKLQSGLISKNSTHLIKIASEVAVGKRSELTINGNNYNTPDGTPVRDYIHVSDLADIHLVTAKYLLKNKKSNIFNCGYGRGFSVKEVITIFNKILKKKIKFKIGPKRPGDSGLIVANPNKFNRTLNWKPKFNLRYILKTSLNWEKK---------- 78779700 MSSNNVLVTGGIGYIGIHTCFTLLERGYNVYVLDSLINSSEKTLEIFKFYKGDIRNIKNIENVFSDAPIGGVIHFAGKKSVNESINFPMEYWETNLLGTINLIRVMNSYSCFNFIFSSSASVYGKNSISPIKEFERIEPINPYGNTKATIEKFLIDVFKPNSWRIILLRYFNPIGSHPSGLIGESPLEETNIFPKICEVAIRENNAFKIYGNDWPTKDGTCIRDYIHIMDLVEGHICSYNHLLSHDPEINFNLGTGKGTSVLELLSIFQEVNKCKIFYEYEQPRKGDVGVCFADNTKAKKIMNWYPKKSINEMCKDGWRWYKSNFKN------ 229493470 ----KVLITGGAGFIGSTVASKCVDAGIDVVILDNLLTGRAEFAHRFPFYCGDIADEKLLDKIFTDHDIGATVHCAALIVVGDSVSSPARYYENNVSKSLALVSSVLRNGCGRFVFSSSAAVYAPGKGGCVDESSPIEPQSPYAHSKAQFETMLDDIVAATDLSAISLRYFNPVGADPE-LRSGTPSARPTHALGKLFESYSTGTPFKVTGTDWPTRDGSGVRDYVHVWDLADAHVRALQRFDDSSGMTVVNLGSGRGTTVWELVRTFENVLGEDIDVVVADRRTGDTAGAYASNDRALRLLGWVPTFTLEQGIEDTARW------------- 59803191 ----KILVTGGAGFIGSAVVRHIIKNTDDVVNVDKLTYGNLESLSRYAFEHADICDKDAMDRILAKHKPDAVMHLAAESHVDRSITGPAAFIETNIVGTYVLLEAARAYWAFRFHHISTDEVYGDPDEHPFTETTAYAPSSPYSASKASSDHLVRAWLRTYGFPTIVTNCSNNYGP--------YHFPEKLIPLVILNALDGKVLP--------IYGKGDQIRDWLYVEDHARALYTVV---TQGKPGETYNIGGHNEKQNLDVVHTICDLLDYRDQITYVTDRPGHDRRYAIDANKISAELGWTPQETFESGIRKTVEWYLTNTEWVEN--- 238019556 -DTMNICVTGGAGFIGSHLVDRLIELGHNVLVIDNLSTGMRSFVHEAQFIEMDVRDPKLV-SIFEEFKPSIVFHEAAQTMVQSSMENPGYDCDVNLLGLINVLDACRKVKVEQFLMPSSAAVYGDLAVLPLTEELSGMPSSFYGLTKLTAEGYLRIYREAFGLNTVCFRYANVYGPRQG-------DGGEGGVISIFNRLIVEGKPLTVYGD------GEQTRDFIYVEDVVEAN---IKAMGNNNCTGIYNVSTNTGTSVNELITRFRTISGADFMVHYEAERIGDIKHSRLSNAKAERDFGFVASTTLDDGLQKTLEYFKAHHK------- 225849959 -KGQTVLVTGAAGFIGWKTSKLLLEGGFNVVGIDNMNSYYDVRLKEWRFFHIDIENLGALRVLFDSFQFDAVLNLAARAGVRYSMENPHVYLQTNAQGTLNLLEMMKDHGIKKMVLASTSS-LYAGQPMPFKEDLPVNPISPYAASKKAAEVMAYTYHYLYGLDITVVRYFTVYGP----------AGRPDMSIFRFIKWIDEGKPIKLFGDG------SQARDFTYVDDIAKG---TVLAMKNLGYEIINLGGGKNPISLKSIIQKIEDLLGKKAVIEYRPFHKADMKETWADIEKAEKILGWRPEISIDEGLKRTVQWYIENREWLKD--- 118581806 ----RVLVTGAAGFIGFHLSRHLLEQGYQVIGLDNLNDYYDEPLPGFSFLRASLEDARQMEELFSRERFDLVVNLAAQAGVRYSITNPHAYISSNVAGFLNVLEGCRHTGVKHLVFASSSSVYGANARVPFSEHHTDHPVSLYAATKKSNELMAHTYAHLFGLATTGLRFFTVYGP--------WGRPDMAYFSFTKAILEGRA--------IDVFNHGRMRRDFTYIDDIVQGIARVLERPPQSAPYRIYNIGNNRPVELGRFIETLEQLLGKKAIKNMLPMQPGDVPATCADIDDLARDAGFRPSTPIETGLRRFVEWYREYY-------- 227824404 -----IFITGAAGFIGYHLALRLLSKGYAVHGMDNLNAYYEVALKKERFTEGDISDKDAVEGVFTKLSPKIVVNLAAQAGVRYSIDHPRDYIDSNIVGFFTILEACRHHSVNHLVYASSSSVYGNQEKTPFSVTDPDHPISLYAATKKSNELMAYTYSHLYGVPTTGLRFFTVYGP----------FGRPDMAYFKFANKIMKDEPITIYNHGDMY------RDFTYVDDIVTGIENLLPHPPQDGFGGDYNIGNSTPVKLMTFIEILEKALGKEAQKEYLPMQPGDVYQTFADVSALEKDFGFKPTTTIEEGLKKFAQWYKAYYHWTA---- 193213441 ----KILVTGTAGFIGFHLAERLAQRGDEVVGLDNINDYYDQNVKYGRFIKLDLEDKAAIDALFAAEQFDAVCNLAAQAGVRYSLTNPDAYIKSNITGFINLLEACRHNKVGNLSYASSSSVYGLNERQPFSVHHNDHPVSLYAASKKSNELMAHTYSHLFGIPTTGLRFFTVYGP----------WGRPDMALFLFTKAALEGRPIDVFNYGNMQRDFTYIDDIIEGVVRVNWSGQNPDPGTSSAPYRVYNIGNNEPVRLLDFIEAIEKALGKTIEKNMLPIQPGDVPSTYADVTDLVEELGYRPATPVQEGINRFVAWYREFFN------- 296274100 ----NILITGGAGYIGSHVAKQLLETTYNITILDNLSTGSAKTIRDFKFIELDLKEFDKVNSALKEKKINTIIHFAASIVVPESVENPLKYYMNNTVNTTNLIKRAVENNVSKFIFSSTAAVYGEPTNIPVDESYPTNPINPYGMSKLMSEKVLQDASVNSDFKYVIFRYFNVAGASADLTIGECHEPETHLIPLVAKTALGKRDKILIYGDDYPTKDGSNLRDYVHVLDLADAHIKAIDF-LNENSSNIFNCGYGKGFSVKEVVKTMKLVTNTNFISEIVSRRAGDPAILVSDNRKIKSKMNWIPKYDLPLICKSAYDW------------- 114567456 ----KILLTGGAGFIASHICDRLISEGHEVVVIDNLSTGRLELLPRAYFYQLDLCDPA-IALVFQIEKPELVIHHAAQVSVSNSQENPVFDAVNNIIGSLNLYENCRQYKVKKIIYASSAAIYGEPLYLGIDEKHPVKPLSFYGLSKYLAEIYLRYYAGLFGLKFTILRYANVYGPRQRSDGEG-------GVIAIFLSSLLKGEAPMIFGQ------GEQSRDFIYVADIVEANIQAL----TRAENEVLNLGTGKELSINQLYASIEALLCSQLQPVYVPERPGDIMHSYFDQRKAAELLDWKASYPLQEGLRKTIEY------------- 294629814 MSGK-YLVTGGAGYVGSVVAQHLLEAGHEVTVLDNLSTGFSEGVPAGAFVEGDIRDAAKWLDASY----DAVLHFAAFSQVGESVVKPEKYWDNNVGGTMALLAAMREAGVRRLVFSSTAATYGEPVSTPITETDPTAPTSPYGASKLAVDHMITGEAAAHGLGAVSLRYFNVAGA--YGAYGERHQPESHLIPLVLQVAQGRRDAISVYGDDYPTPDGTCVRDYIHVA-DLAEAHLLALKAAAPGEHLICNLGNGSGFSVREVIETVRQVTGHPIPEVTAPRRAGDPAVLVASAAAARDKLGWTPSRDLAGIVADAWEF------------- 144130927 IRRMKILVTGGAGFIGSAVVRRAVAEEFAVVNVDKLTYANPENVPDYAFEQADICDGAAMTRIFAEHDPDAVMHLAAESHVDRSIDGPAAFIETNVVGTYALLEAARAHWAFRFHHVSTDEVYGTGETGRFVETTPYAPNSPYSASKAASDHLVRAWGETYGLPVVVTNCSNNYGP--------YHFPEKLIPVVILSALAGRPIP--------VYGRGENIRDWLFVEDHAVALLTVLRKGR---VGETYNIGGDSEARNIDIVRTICAILDHDHLVSFVTDRPGHDFRYAIDADKIREELGWRPSVTLEEGLRRTVTWYLENEAW------ 224826778 ----KVLVTGAAGFIGRAVCEKLLDKGVDVVAVDNLNDYYAVELKHARFHRLDIADWDGLEALFAAERVDYVIHLAAQAGVRYSIQNPHAYAQSNLTGFTNVLEACRRHPVKHLVYASSSSVYGQNAKVPFSEDDRVDPVSFYAATKKANEVMAHSYAHLYALPTTGLRFFTVYGP----------WGRPDMAPWLFTEAILKGETIKVFN------HGKMQRDFTYIDDIVEGVLRVMEHVPQGADGQPFNIGNHNPVELMKFIRATEAACGREAVKDYYPMQDGDVPVTYADTARLRAAVGFSPDTPLADGMQRFVAWYRGY--------- 136493878 ----TILITGGAGFIGSHMAGFLLQKKIDFIILDNLSRSNLNNLNRIVFINLDLRNRDELDNFFSNHKIESVIHFAALKSVEESFSKADLYHENNVIGSKNLIELVKEFKIKNFIFSSSCCVYGYPKYLPVDENHSINPINPYGQSKVDIENLLKDEFFSNFCNTTILRYFNPIGAFDDGLIGENPHPPSNLMPSILGVACKKFPYLNIYGDDYHTEDGSAVRDFIHIMDLIEAHYMALEH--NNHNIQIFNVGTGKGFSVFQILHTFQSINQVEIPFQIKSRRIGDADLIYANSDKIKDTLGWSPKRSLEDMCKDSY--------------- 289207803 ----KVLITGAAGFIGSHLALRLLERGDTVIGVDDMNDYYDPSLKRARLDRIDIADRAEMERVFREHRPERVVNLAAQAGVRYSLENPAAYVDTNLVGFGNILEGCRHFGVEHLVYASSSSVYGANTTMPFSHDNVDHPLSLYAASKKANELMAHTYAHLYQLPVTGLRFFTVYGP----------WGRPDMALFLFTKKILAGEPIDVFNYGHHRRDFTYIDDIVEAQPNLDWTGAEPDSATSAAPYRLYNIGAHRPVELMHYIEVLEDCLGCKAEKNLLPLQPGDVPDTYADVEALRTDTGYEPTTSVEEGVARFVDWYLGYYRA------ 241678691 MAKATVFVTGGAGYVGSHTTLELLKAGYDVVVMDNYHNAHPAKDGKMPFYKADLLDQDGIDAIFQKASPLGFFELAGFNAIPRCTGTPPQY----SLFALNLHQVMRKHGVKRLIFSSSCTVYGVPQYLPLDEDHPQRCTNPYGRTKYFIEEVLKDVNAEKGWTIVLLRYFNPVGAHESGDIGEDPQGPNNLMPYVSQVAIGRRSEVSVFGNDFDTPDGTGVRDYVHVVDLAKGHVVTLDKVLAGSLSGCFNLGTGRGFSVLEVIAAFEQASGAKIPYRVVERRQGDVDQLFAMPTLASQELGWKAEKSLLDMCKDMWNWQKKNPNGF----- 51893853 ---RTVLITGGAGFVGSHVVERFLAEGLRVVVVDNLTTGVREHVPPGEFHNIDIL-TPEFTSLVGKVKPDTIVHLAAQVSVAVSVRDPVLDADVNVGGTLRVLEAAREHQVPNFVFSSSAAVYGIPSSLPVTEDAPFSPLSPYGIAKVAAEGYIRAYCFLHGLKAVVMRYSNVFGPRQKAAGDG-------GVVANFVEAILRGHPPVFFGD------GGQTRDFIYVKDVADATLKAIDYLDKSGTSEYVNISSGVETSLRTLYTLLCELVKQAPEPILTPPREGDIRHSCLDNRKAREYLGWLPGYSLEQGILETV--------------- 295695529 ----RVMVTGGAGFIGSHVVDRLCEGGYEVAVVDDLSTGRKEQVHPSAVLYIQSVDSESVAHVFEAFRPEIVIHLAAQSNVPRSIQDPLSDTRINVLGTVNVLNQCRDYGVRKVIYASSAAVYGHPQYLAIDEEHPVRPVSFYGISKYTPELYVRTYGELYGLDYTILRFANVYGPRQDPTGEG-------GVVSIFVDKLLRGEPVIINGD------GEQTRDFIYVEDVAAANVAALTL----GSGEVLNIGTGCPTSINELWNTLSSLTGTAARAIHRENRARDIRHSCLANAKARRLLEWEPRFALRDGLERTWLYHER---------- 310634613 ----KVLVTGGAGFIGSHIVDALQAAGHTAVVLDNLSTGRKENLPDEVTHEVDICQRDAVRKVLEQERPDAVCHQAAQMSVGRSVQEPSFDAEVNILGFLNVLEAAAETGVKRIVFASSGGVLYGDVTEPQPETYPANPISPYGISKWAGERYLRFFTAEHGLETVALRYSNVYGPRQN------PHGEAGVVAIFCQRMLAGEATR-------INGDGKYIRDYVYVTDVARANFLALTQTLPE-QHIAFNVGTGIGLDVNELSEKLHQFAGEQPKSTYGPARPGDLRSNLVDAGLLQRTWDWQAKTSLDEGLDETVRWF------YADKQQ 238021506 ----KILVTGGAGFIGSAVVRHIINHTQDVVNLDKLTYGNLDSVERYAFVQADICDRAALDKIFAEHQPDAVMHLAAESHVDRSIDSAGEFIQTNIVGTFTLLEAARAYYAFRFHHISTDEVYGDGTDDLFTETTPYAPSSPYSASKASSDHLVRAWRRTYGLPTIVTNCSNNYGC--------YHFPEKLIPHMILNALDGKPLP--------VYGDGKQIRDWLYVEDHARA----LYLVVTQGRGETYNIGGFNEKQNIEVVRTICQLLEYADLITHVTDRAGHDVRYAIDASKIQRELGWAPQETFESGIRKTVQWYLDNQAW------ 163748893 -SNKTILVTGGAGFIGSALIRLLINETHTVINLDKLTYAGNSANRRYHFIHGDICNSELIQRLLSQYNPDIVMHLAAESHVDRSIDGSAEFIQTNIVGTYCLLEACRNYFAFRFHHVSTDEVFGLGDTGLFTENSPYQPSSPYSASKAAADHLVRAWSRTYKLPVVLSNCSNNYGP--------YQYPEKLIPLVLHKALAGESLPIYGDGQ--------QIRDWLHVEDHVRALYLVA---LRGKLGETYNIGGGNEKTNLAVVTEICERLDFKQLITFVADRPGHDRRYAIDASKIEQELSWQPLQNFESGLASTLNWYINNPEWY----- 261856085 -SQKKLMVTGGAGFIGSAVVRHLIENDHIVVNIDALTYGNLESIPRYHFEQADITNADAMTALFNKHQPDGILHLAAESHVDRSIDGPSAFMQTNIIGTYTLLEAARAYWAFRFQHISTDEVYGLGETGLFTEETAYQPNSPYSASKAASDHLVRAWHHTYGLPVITTNCSNNYGP--------YHFPEKLIPLVILNAIEGKPLP--------VYGKGNQIRDWLYVEDHARALVLVHE---QGAIGEVYNIGGHNEQRNIEVVQTICDLLEELKPITFVQDRPGHDQRYAIDASKIQRELGWTPMETFESGLKKTVQWYLDN--------- 308807725 -RKAHALVTGGAGFIGSHCVKALLARGYAVTSMDNLSRGNGGAIAAFRVVEGDLGRVEDIEWAFSNLGVDVVFHFAAIAYVGESMADPLRYYRNITVNTVNLLRVMDAHGVNNMIYSSTCATYGNVEKLPITESTPTKPINPYGKSKLYAENVIKDYAANPKFKTAILRYFNVFGSDPDGVLGELPRAELREHGRCFDAAMGKVDKLTVMGTKHPTRDGTTIRDFVHVIDLVDAHIAVAEKNKWDNPPSLYNVGTGSGVSMREFVDACKNVTGKQIEVYYEEPRPGDYAEVYANVDKIKHELGWSAKYDLSESLAHAWKFRQKF--------- 217076508 ----KALVTGGAGFIGSHVVDKLIENGYEVVVVDNLSRGKKENVKDATLVVADIRDEKTIEELFKEHNFSYVFHLAAQASVSVSVKDPVEDANVNIIGSLVLLKNSIKYGVKKFIFSSTGGAIYGDDVDPTPESVFPKPISPYGIAKFSFENYLKFANKEFGLNYTVLRYANVYGPRQD------PFGEAGVVAIFTMRMLNGED-------VIINGDGEYIRDYVYVEDVADANLRALKA----GDGMEINIGTSQGTSVNQLFNYLKKITGYTKDPVYGPPRKGDIRKSLLCYTRALEELRWKPKVDIEKGLRLTVEWFKRNFKA------ 300952630 ----KILVTGAAGFIGFHLCQHLLKRGDTVIGIDNLNDYYAVSLKEDRFYKLDLCDKFGIKNLFSEHQFEAVAHLAAQAGVRYSLQNPYAYIDSNLVGFINVLEGCRHHQIPHLVFASSSSVYGSNKTVPFSVGDYVDPVSLYAATKKANELMAHSYSHLYNIPTTGLRFFTVYGP--------WYRPDMAMFIFTKAILADQAIPVFNYG--------NMQRDFTYVDDVVEGVIRVIDKIPQPGSNQIYNIGNNKPVNLLYLIEVLENVLGKKAQKNLLPMQPGDVPITYANVDSLIADVGFKPSTPIEVGVEKFVAWYKSYY-------- 85711332 --SRKILITGAAGFIGFHTAKRLLSEGFHVVGIDNLNDYYSVQLKYDRLKQIDIDNPIALSDCLADYTIDDVIHLAAQAGVRYSIDNPQAYGRSNLIGFLNILEWVRSHPVDHFIYASSSSVYGNTERVPFSTNTADKPVSLYAATKRANELMAESYSHLYNIPATGLRFFTVYGP--------YGRPDMAPMKFAKQIMQG--------GQIDVYNHGDLSRDFTFIDDIVEGIYRLLDKPIEGARHRVLNIGRGEPVNLLKFIEILESAFEKPVKKRYLPMQDGDVSTTWADVTELSKITGYSPQVDIESGIQKFAEWYKKY--------- 142024903 IQNKHILVTGGLGFIGSHTVVSLQEKGHEVLIVDDLSNATIEVLTRPKLEVLNLQDNVGVAEIFQAYNITGIIHFAAAKAVGESVQKPIAYYQNNLGSLLNLLEIEKSHKPIPFIFSSSCTVYGEAETLPITERAPIQPMSPYGNTKQVGEEILADVNALEGFRVISLRYFNPIGAHASNAIGELPLGPQNLVPFITQTAAGLYPKLTVFGNDYPTADGTNVRDYIHVVDLAEAHVVALERITSNETSEAYNLGTGKGSSVLEVIQSFERVSGLKLTYEIGDRRAGDVVAAYADTKKANEVLGWKTQRSLDEAIASAWAWEKK---------- 124009110 ----KVLITGGTGFIGSHTVISLVKEGLNPVIVDNFDNSSPYILEKPSFYNVDCNDAQALDQVFMQENIQGVIHFAAHKAVGESVANPLKYYRNNLGSLINLLDPMLRHKVSSLVFSSSCTVYGQPATLPVTETAAVVPASPYGNTKQVCEEIIKDTPEQSAMNAVLLRYFNPIGAHPSGQIGELPLGPGNLVPFITQTAAGIRPQLTVFGNDYNTPDGTCIRDYIHVLDLADAHVKALRFAANKGLCEAFNIGTGKGHSVMELVKTFEQVSGLSLNYLLGERRSGDIEQIYASVDKAQQQLGWVAQRDIEEGLRDAWNWQ-KNLESLA---- 291296517 ----KVLITGGAGYIGSTIAHALLDTGHTPVLLDSLVTGPRVFTEGKIFYEGDIADRALLERIFREHDIHSTIHCAARIVVPESVEQPYLYYRENVCKSLELFKNLEELGYPRVVFSSSASIYDAVPGFKVTETSPLKPSSPYARTKYMMEMVLEDLSKATRLRGIALRYFNPIGADPKLRSGIHVREPSHVLGKMVDVALGKLPEFTITGVNWPTRDGSGIRDYIHVWDLAMAHVKAVEQFDQGSPYVVINLGTGHGVTVKELVAAFERVYGRPIPKREAPPRPGDVAGAYANADRALELLGWKAEHSIDEGIASALAW------------- 195953909 ----KLLVTGGAGFIGSEFVREGVRIGYEIVVVDKLTYAGDLERLKGTFYKADITNKEFIEHIFKTEKPKVVVHFAAESHVDRSILDASPFIKTNVEGTQVLLDVAKDIGVDKFINIATDEVYGLGQEGTFKEDSPLVPNSPYSSSKAAADMLGRAYYKTYKLPVITVRPSNNYGP--------WQYPEKLIPVVILKALNNEKIP--------VYGTGQNVREWLYVSDCAEAIFEIMEK---GKIGEIYNVGSNQERRNIDVVKTILKLLHKEDLIEFVKDRPGHDFRYSLDTTKIKNELGWEAKTTFEEGIEKTVKWYIENMAWVEKK-- 157413748 ----RILLTGGFGYIGSHTAAQLAEKGEDFIIYDNFCNCNSNIVEKINFIEGDIRDISKLEAIMSTNKITSVAHFAALKYVGDSVKSPLDYYDVNVLGTINLLKIMQKYGVNKFLFSSSASIYGEPEYLPIDEMHPLKAINPYGETKLMVEKVLEDLSRSDDWSIISLRYFNPLGAHQFGFIGDDPFSKNSQNPSIIRAALGLSRKIKIYGDDYDTKDGTGVRDYIHIIDLANAHLKALIHLNSNKGINVFNLGTGRGFSVLEVINTFEMVTGKHVPKQIIKRRKGDVSSCYADPSRANTFLDWKTKLDLKEMCLSAWNF------------- 260905455 -----VLVTGGAGYIGSHVVRLLSERGDDVLVVDDLSTGIESRVTGLPIVSLDLADAEDLVAAIEEHSVDSIIHFAAKKQVGESVEEPIMYYRQNIGGLTNVLAAAERTGIESLVFSSSAATYGMPDVEMVAEDLDCRPINPYGQTKLIGEWMISAKMRGAKFNAVKLRYFNVAGAGWT----ELADTAVMNLIPIVLGRIADGKAPIIFGDDYDTADGTCIRDYVHVKDLAEAHIAALDYMKSGNTSETVFNGTGTGASVKEVIDAIAEATGRDIVPEMGERRAGDPPALVADVSRIGALLSWKAEFDLDEIVRSAVE-------------- 149173451 ----NYLVTGGAGFIGSHLATRLIKDGHRVRVFDNLSTGNLEHIKDDEFVQGDLRDLAAVEQATAGVEI--VFHQAALASVPRSVEHPLDTHEACVTGTVHVLDAARRSGVQRVVYAGSSSAYGNQEQMPKHEGQTPEVLSPYAAAKLAGELYCQAFANSYDLETVRIRYFNVFGPRQDPN-----SPYSAVIPLFTSALLEGRRPM-------IFGDGLQSRDFTFVDNVVQANILASQAPADKVSGNVYNAACGSSLNLIDLLKFICNQLDKPYDPDFQPARTGDVKHSWADISAAQRDLGYEPVVEIEEGLRKTIDWY------------ 88858863 ----KILVTGGAGFIGSAVIRHVINNTEDVVNVDKLTYGNLESLERYSFEQVDICDAVAIKRVFEQHQPDIIMHLAAESHVDRSIDGPAEFIGTNIIGTYTLLEAARQYWHFRFHHISTDEVYGDGPEDLFTETTAYAPSSPYSASKAASDHLVRAWLRTYGLPTLVTNCSNNYGP--------YHFPEKLIPLMILNALEGK--------TLPVYGNGLQIRDWLYVEDHARALYLVATKGV---VGETYNIGGHNEKANIDVVNTICALLGFAGLITYVKDRPGHDVRYAIDANKIANELGWTPEESFESGIRKTVQWYLDNQAWWSR--- 134949937 ---KTIFITGGAGFIGSHVVRLFVKNNDRIINVDSLSYGNLENIQDVQNEKVDIRKSELVNRVFEKYNPDSIIHLAAESHVDRSIENPLEFVETNVVGTVNLLNSARKQWKNSFYHVSTDEVFGLGEEGLFTEKTAYSPNSPYSASKASSDHFVRAYHETYGLPIVISNCSNNYGPNQFP----------EKLIPLMISNILEKKPLPIYGDGKYT------RDWLYVQDHAQAIDRVYQK---GKYGETYCIGGWNEFQNIDLVHLLCDLMDSKLNITFIKDRPGHDKRYAIDASKIEKDLNWRPKETFQSGIEKTIDWYLKNSFWLKN--- 144123557 ----NVLVTGGAGYVGSHVAAELLAEGYAVTILDNFENASPDAPRRVADEVADVRDARALDRVFARRRYDAVIHLAGRKAVGESVAAPLRYYDANVVGAVALFEAMGRAGVRRLVFSSSATVYGAPDRLPIAEDAPLRPTNPYGRTKRMIERILSDLVASDPAAVVSLRYFNPVGAHDSGLIGEDPEAPNNLFPYIAQTAAGLRPHVRVFGDDWETSDGTGLRDYVHVVDLARGHVGWLLGGGGRGRHLAVNLGTGCGSTVLEALGAFSRACGFEVPRVIAPRRPGDVAACVADPGLAERLFGWRAERDLDAMARDHWAFQSA---------- 114566248 ---KTVIVTGAAGFIGSKVSKELLKQGYTVIGIDNLNDYYDVQIKYWRLYTADVENIEAIRFIFKFHQPDAVINLAARAGVRYSMENPHIYMTTNAHGTLNLLELCKEFGVRKFVLASTSSLYAGQEMPFKEELPVNTPISPYAASKKAAEMMAYTYHYLYGLDITVFRYFTVYGP----------AGRPDMSIFRFIKWIMEGTPLQVFGD------GSQRRDFTYVDDIARGTVLGLKPM----GYEIINLGNSNPDTLSKTIELIEEYTGKKTEIQYKEFHKADISATWANISKAQNLLGWQAKTNLPEGIKRTVQWMKDNWEWIQS--- 302873798 ----KILITGGAGFIASHIADRLVELDYDVVIFDNLSSGKVENIPKCKFYKGDITNYEAMKLVFDIERPEVVIHHAAQIDVQTSLKNPAFDAQINIIGTINVLECCRETKVRKIIYPSSAAVYGNPKYLPVDENHPVEPISFYGISKHTPCHYIKAYSELYNIKYTIFRYANVYGPRQDN------HGEGGVVSIFANRLLRKE-------TCYIYGDGKQTRDFIYVKDVANANVLALDR----GDNEIIDISSNKPVTINQLHKVMKEISKCSVAVEYKEARNGDILHSYLSNEKASGCLGWKDKHDIKSGLKETLNYY------------ 256667433 ----KLIVTGGAGYVGSVCAARLIEAGHQVTVVDDLSTGHADAV--HPQARFVEGDAAEAAADLLGEGFDGVLHFAAKSLVGESMADPAKYWEGNVVTSLRLLEAMKKHGTPRLVFSSTAATYGEPDVSPIPETAPTQPTNTYGATKLAIDHAITSFARAHGIAAVSLRYFNVAGA--YGAYGERHATETHLIPLVLQVATGDREHISIYGDDYPTPDKTAVRDYIHVVDLADAHLLALRHAV-EGEHRIYNLGSGTGFSVLEVVEACRRVTGHAIPAVVAPRRAGDPSVLVASSDKANGELGWTPKTDLDGIVSDAWQF------------- 289191516 -----ILVTGGAGFIGSHIVDKLIENNYDVIILDNLTTGSKNNIPKAEFVNADIRD-EDLDEKINFKDVEVVIHQAAQINVRNSVENPIYDGDINVLGTINILEMIRKYDINKIIFASSVGVYGEPNYLPVDENHTINPLSPYGLSKYVGEEYIKLYNRLYGIEYAILRYSNVYGERQD------PKGEAGVISIFIDKMLKNENP-------IIFGDGNQTRDFVYVGDVAKANIMALNW-----KNEIVNIGTGKETSVNELFNIIKDEIGFKGNAIYDKPREGEIYRIYLNIKKAKS-LDWRPEVDLKEGIKRVVNWMK----------- 257054675 ----RVLVTGGAGFIGSHYVRQALSRDAEVIVLDKLTYAGNRSNLRFRFVQGDICDSALVREVMTGV--DLVVHFAAESHVDRSIVGSADFVLTNVLGTQTLLQAACDAEVGKFVHVSTDEVYGSIDEGSWPEDHPLEPNSPYSASKASSDLIARSFHRTYGLPVCITRCSNNYGP--------YQFPEKVIPLFITNLLDGKRVPL--------YGDGLNVRDWLHVDDHCRGVQLVADRGR---PGEIYNIGGGTELTNRDLTKRLLDAVGADWMVEQVEDRKGHDRRYSVDITKISTELGYRPEVDFETGLAETVRWYTENRQWWE---- 298372679 --KEKILVTGGLGYIGSHTVVELIESGFDVIVVDNLSNSNIDVLKGPKFENIDCSDYVAMDKFLKNEGIQAIIHFAALKAVGDSVKRPLPYYRNNIGSMIALLELMQVHKIPNMVFSSSCTVYGQADKMPVSENTPIKAQSPYGNTKQICEEIITDALAANDLKAVVLRYFNPIGAHPSSYIGEQPNGPENLVPYIVQTAAGIRKKLSVFGNDYKTPDGTCIRDYINVVDLAQAHIAALKRLLDGKMEEIFNLGTGEGTSVLELINKFEQATGVKVPYDIVGRRAGDIEAIWADPQKANKILKWKTEVSLEDTLLSAWNWQR----------- 145294452 -------VTGGAGFIGSHLVDLLIKEGHEVVVIDNLSRGRLENLSDAEFVEADLLDV-DFNEFLGEHKPEVIFHLAAQIDVRHSVVDPLHDAETNILSTIRIADAARQHGVRKVVFTSSGSIYGEPSEFPVAETVPVDPHSPYAASKVSGEIYLNTFRHLYGLDCSHIAPANVYGPRQD------PHGEAGVVAIFALRLLGGLDT-KVFGDGGNT------RDYVYVGDVVRAFYL---ASGEIGGGERFNIGTSVETSDRQLHTLVATAAGSKDDPEYAPARLGDVPRSALSFGKAKEVLGWEPEVNIEQGVAKTVEYFRTH--------- 303312641 MAKGNVLVTGGMGYIGSFTSLALLEAGYSVVIADSLYNSSDEVLKRPGFFKLDVTDEAAFDRLFDENSIDSVIHFAALKAVGESVERPLDYYNTNVYGTLCLLRSMVRHNVTNIVFSSSATVYGDATRIPIPEECPLGPTNPYGNTKVIAETAITDAEDAEKWNAALLRYFNPAGAHPSAIMGEDPQGPYNLLPLLAQVATGKREKLFVFGDDYASHDGTAIRDYIHILDLANGHLEALNYRDNRPGVRAWNLGTGKGSTVFDIIKAFSKAVGRDLPYEVVARRDGDVLDLTGNPSRANRELGWKATRTLEQACEDLWRWTKNNPQGYRQ--- 298207370 ----KILVTGGLGYIGSHTVVALQNKGFDVVIIDNLSNSSLDVLKSPDFEKIDMRQKEDVISFFEKHKDIGVIHFAASKAVGESVNKPLAYYENNLGTLTTLLQELQKIASAPLIFSSSCTVYGQADKLPITESAPIKPASPYGNTKKVGEQIIEDTCNAYNFSAIALRYFNPIGAHSSALIGELPIGPQNLVPFITQTAIGKRAELSVFGDDYPTQDGTCIRDYIHVMDLAEAHVVALERLLNKDNYEVFNIGTGTGNSVLEVINAFESANQKSLNYKIAERRKGDVIAAYADTTKSKTVLGWTPKYSLQNALKSAWKWELA---------- 172037016 ----KVLVTGAAGFIGFHVSQKLLHQGETILGIDNLNSYYDVFLKKARFYQLDIADRKSISELFTQHNFDYVIHLAAQAGVRYSLENPYAYVDSNLVGFVNILEGCRHQNIKHLMYASSSSVYGKNKKIPFTDDSVDHPVSLYAATKKANELMAHTYSHLYGIPTTGLRFFTVYGP----------WGRPDMAYFLFTKAILEEKPIKVFNYGKMKRDFTYIDDIVEGIIHVMNNIPQSDN--SSVPYKVYNIGNNQPVELGHFIEVLEDCIGKKAIKEFLPMQPGDVPMTYADVDELIKDVGFQPNTSLKTGLEKFVNWYRDYYQ------- 229587855 ----RILITGGAGFIGSALIRHLIENTHQVLNLDKLTYGNLESLTRYEFVQADIVDQATVSAVLARFEPQAIMHLAAESHVDRSIDGPSDFIQTNIVGTYSLLEATRAYWAFRFHHISTDEVYGDLHDDLFTETTPYAPSSPYSASKAASDHLVRAWQRTYGLPVLLTNCSNNYGP--------FHFPEKLIPLVILNALAGKPLP--------VYGDGLQVRDWLFVEDHARAL---LTVVTEGVVGETYNIGGHNEQKNIDVVRGICALLEEADLITFVKDRPGHDQRYAIDASKIERELGWVPEETFESGLRKTVQWYLDNLEW------ 156743027 ----HVLVTGGAGFIGSHLVEALLRRGDQVRVFDNFSTGRYDNLAHIRDDEGDLRDEDAVRRAVAGV--EVIFHQGALASVQRSVDDPITTNAVNVTGTLHVLTAARDAGAHRVVFASSSSVYGDTPTLPKVETQAPHPLSPYAVSKLAGEQYCMAFSSVYGLPAIALRYFNVFGPRQDP-----HSEYAAVIPRFIDRMVRGVPP-------IIYGDGLQSRDFTYIENVVDANLAAADAPADC--SAVFNVGAGARTSLLELAAQINHLLGSNLAPDHQPPRPGDVRHSQASIDAIRETLGYAPRISLTEGLARTLAWFR----------- 158056584 ----KILVTGGAGFIGSQFVRALLSKGAQVTVLDNLTYSGNEANLGYTFVHGDIRDYAVVDDAMRGQ--DAVVHFAAESHVDRSILDSSPFVTTNVLGTQVLLDAAKRHGVGRFVHVSTDEVYGSISEGSWTEDWPLAPNSPYSASKAGSDLLALSYHRTHGMDVVVTRCSNNYG--------QYHFPEKMIPLFTTNLLDGKKVPL--------YGEGLNIRDWLHVSDHCRGIEMVLRGGRA---GEVYHIGGGTEVTNRELTGLLLDACGAGWMVEHVEDRKGHDLRYSLSIAKIQEELGYTPQVTFAQGLADTVQWYRDNRAWWE---- 163847195 MTAKRILVTGGAGFIGSELVTQLAAAGHRVVVVDNLVNGKRANLAHLADVEVDIRQREVIARLVQGVEI--VYHLACL-GVRHSLHDPFENHDVNATGTLILLDLARRADVPRFVYVSSSEVYGTARWVPMTEEHPTYPMTVYGGGKLAGECYTRAFWESYRYPTVVVRPFNSFGPR------SHHEGDSGEVIPKFMLRAMAGLPMVIFGD------GTQTRDFTYVSDTARGI--MLAGMVDAAIGGTFNLGQGREISINELARTVATVVGRPDAIVYDIPRPGDVLRLYADSTRAQHVLGFTPTVSLQEGLQRLQEWYL----------- 121598415 -----ILVTGGAGFIGANFVDWLAQSDEAVLNVDKLTYAGNQGNPKHVFARVDICDRAAIDALLAQHKPRAIVHFAAESHVDRSIHGPADFVQTNVVGTFTLLEAARQYWAFRFLHVSTDEVFGSPADPQFSETTPYAPNSPYSATKAGSDHLVRAYHHTYGLPVLTTNCSNNYGP---------YQFPEKLIPLMIANALGGKP-------LPVYGDGQNVRDWLYVGDHCSAIREVLARGV---PGETYNVGGWNEKKNLDVVHTLCDLLDEARQITYVTDRPGHDRRYAIDARKLERELGWKPAETFETGLAKTVRWYLDHQEWVDE--- 220909661 ---RHLLITGGAGFIGSNFVRYWCDRQDRVVVLDALTYGNLETLHRLHFVHGDICDRALVDQILAKHEIDTIAHFAAESHVDRSILGPEAFVRTNVLGTFTLLEAFRHHWHLRFLHVSTDEVYGGPTDPAFSETTPYAPNSPYSASKAGSDHLVRSYYHTYGLPTLITNCSNNYGP--------YHFPEKLIPLMCINLLMGKPLP--------VYGDGLNVRDWLYVEDHCRALETVIQH---SAPGETYNIGGNNEVTNLELVQTLCDLMDCRQLITFVKDRPGHDRRYAIDASKIKTQLGWTPAVNLAEGLRRTVTWYVHHPHW------ 142788680 ----KILVTGGAGFIGSAVVRHIIENTEDVVNVDKLTYGNLESLVRYAFEKVDICDRSELDRVFAIHQPDAVMHLAAESHVDRSITGPSDFIQTNIVGTYTLLESAREYWAFRFHHISTDEVYGDPDEPLFTESTPYAPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKALP--------IYGKGDQIRDWLYVEDHARALYKVV---TEGQIGETYNIGGHNEKQNLEVVQTICTILDAAEQITYVTDRPGHDRRYAIDASKMSRELNWHPQETFETGLQKTVEWYLANHEW------ 291327290 --NMKYLVTGSAGFIGFTLCQRLLESGHEVVGIDNLNAYYDEQFPLFRFIHLDITDRETVRVLCTQEQFDRVIHLAAQAGVRYSLENPFAYADSNLTGHLAILEGCRQAKVKHLVYASSSSVYGVTDKTPFTTDMATHPISLYAATKKANELMAHSYSHLYQLPTTGLRFFTVYGP----------WGRPDMALFKFTKAILAGEPIDVYNNGNLSRDFTFVDDIVEIIPQANPNNHSASPAESSAPYRIYNIGNGQPVKLIEFISALEKALGKEAIKNFLPMQAGDVYTTWADTEDLFNVTGYRPQVSIEQGVQAFVDWYRSYY-------- 63054924 ------LVTGGAGFIGGNFVLEAVARGVRVVNLDALTYAGNDGNPDHLFVHGDIGDRAFVAQLLADHQPDAVLNFAAESHVDRSIDGPGAFIQTNVVGTLGLLESVRDYWAFRFLHVSTDEVYGTGETGKFSETTPYAPNSPYSASKAASDHLVRAFHHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLVIAKALAGEPLP--------VYGDGKQVRDWLFVSDHCEAIRTVL---AKGQVGETYNVGGNSEKENIEVVHAICALLDRSSQITYVADRPGHDRRYAIDASKLKDQLGWEPKYTFDQGIAFTVDWYLDNQEWVN---- 300778711 -----ILVTGGLGYIGSHTVVELINNGFEVVIVDDLSNTERFILVKPAFYPFDLRRKELLTQVFDAHQIDGCINFAASKAVGESQVKPIDYYENNLFSLINILQEFKERKISNFIFSSSCTVYGQADVMPIDENTPLKPESVYGKTKQMGEEILIDFAKAYGSKISLLRYFNPIGAHPSAKLGELPIGPNNLVPYVMQTASGVREKLNIWGDDYPTEDGTPIRDYIYVVDLAKAHVAALKKLIEDSSAEIYNLGTGKGSSVLEVVKAFEKANNVEVPYQICDRREGDITIAYANPEKAERELNWKSETSLEEALKTVWEWQK----------- 143733542 ----KILVTGGLGYIGSHTVVELINNNYEVVIIDNLSNSELFILVRPIFYNQDLLDFIKTNQIFKKEKIKGVIHFAAFKSVSESVKSPLKYYENNLLSLINILNAMKENNVSNFVFSSSCTVYGQPDKLPVSESSFKNAESPYAESKQISEQIIKDFTNHYNISSVSLRYFNPVGAHESALIGELPKGPDNLIPYITQTAAGIREELSVFGKDYNTHDGTAIRDYIHVEDLAKAHFNFLNDSEDKNIYEFFNVGTGIGYSVLDVINSFENVNNLKLNYSFKDRRDGDIEKIYSDVIKSKKVLKWESERSLDDMMSSSWNWQKN---------- 271499222 ----KILVTGGAGFIGSAVVRHIIKNTADVINVDKLTYGNLESLARYEFKHIDICDAVALKSVFETCQPDAVMHLAAESHVDRSIDGPAAFIETNIVGTYTLLEAARVYWAFRFHHISTDEVYGDGTDSLFTETTPYAPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLMILNALEGKALP--------VYGDGMQIRDWLFVEDHARALYKVV---TEGQVGETYNIGGHNEKANVDVVKTICTLLEYQDLITYVKDRPGHDVRYAIDAGKIGRELGWKPQETFESGIRKTVEWYLNNRSWWSR--- 171059755 ----KILITGAAGFIGMHTAQRLIADGHQVVGIDNLNDYYDVQLKRDRFVQVDVADRDALMALFDAHAVTRVVHLAAQAGVRYSITNPHAYGEANLVGFLNMLEACRQHRIEHLVYASSSSVYGGNRKMPFSEDSVDHPVSLYAATKKANELMAHAYSHLYAIPTTGLRFFTVYGP----------WGRPDMAYFSFTKAIVEGRPIQVFNNGDMLRDFTYIDDIVDGVVATLYRPATADAAFDQKPFRVFNIGNQDPVALGDFIAAIEAAVGKSAIKEMLPMQPGDVQATYADVSALAEWTGVQPKTSIRTGIDRFVAWYKAYYR------- 303229691 ----RVCVTGGAGFIGSHLVDRLIALGHTVLVIDNLTTGVREFVPKATFIEMDVRD-ANIESIFADFKPQVVFHEAAQTMVPASMENPKMDCDVNLMGLINMLEAARKHNVSHFLMPSSAAVYGDLDTLPLTEDMSGKPTSFYGLTKLTAEGYLRIYEQAFGLKTVCFRYANVYGPRQG-------DGGEGGVISIFNRLINEDKPLTIFGD------GEQTRDFVYVADVVEANIKAMNHPDLTG---IYNISTNTSTSVNELVGYFASISGKEIVTNYEAERVGDIRHSRLCNKKAKKDFGFEATVSLDCGLGDTFSYFK----------- 159037932 ----RILVTGGAGFIGSHYVRSLLAGEYSVTVLDKLTYAGNRANLPATHVQGDICDQGLLRDLFKGH--DAILHFAAESHVDRSVDGGATFVRTNALGTQSVLEAAVAVGVPRVVHVSTDEVYGSIEHGAWTETWPLLPNSPYAASKASADLIARSYWRTHGLDVSITRCSNNYGP----------YQHPEKLVPLFITNLLEGLPVPVYGTGV------NVREWLHVADHCRALHRVLTRGR---PGEVYNVGSGNELTNLELTERLLHLCGADRMIRYVTDRKGHDQRYSLDDTKIRECLGYRPRVSFADGLAETVAWYRDNPQWWK---- 84386900 ----KILVTGGAGFIGSAVIRHIINNTDSVINVDKLTYAGNDSNEHYVFEQVDICNRSELDRVFVEHKPDAVMHLAAESHVDRSITGPAAFIETNIVGTYTLLEATREYWNFRFHHISTDEVYGDLPHPMFLETTSYEPSSPYSASKASSDHLVRAWLRTYGLPTMVTNCSNNYGP--------YHFPEKLIPLVILNALEGKDLP--------IYGKGDQIRDWLFVEDHARALYKVV---TEGKVGETYNIGGHNEKKNLEVVSTICEILDYAEQITYVQDRPGHDRRYAIDSSKMQRELGWTPEETFKTGLRKTVQWYLDNSTWCQNVQD 9957834 ----KILVTGGAGFIGSAVVRHIIKDTDTVVNVDKLTYGNLESLHRYHFEHADICDKAAMERIFVTHQPDAVMHLAAESHVDRSITGPAAFIETNIVGTYVLLEAARSYWAFRFHHISTDEVYGDLPHPLFTEKTAYAPSSPYSASKASSDHLVRAWLRTYGFPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKALP--------IYGKGDQIRDWLYVEDHARALYTVVTQGV---VGETYNIGGHNEKKNLDVVQTICDLLDYRDQLIYVTDRPGHDRRYAIDAAKISDELGWKPQETFESGIRKTVEWYLNNTQWVEN--- 117925406 ----RVLITGAAGFIGSALSRKLLDRGDEVIGIDNLNDYYDVTLKQARLARVDLEDSQAVAQVFATHKPQRVVNLAAQAGVRYSLVNPHAYINANVVGFLNILEGCRNHDVEHLVYASTSSVYGANTNMPFSEHSTQHPVSLYAATKKSNEMMAHAYSHIFRLPVTGLRFFTVYGP----------WGRPDMALFMFTRKMLAGEPIDVFN------HGRHMRDFTYIDDIVNGVERVLDKIAQPNEYRIYNIGNNEPVELMRYIEVLESTLGIAAKKNFLPLQKGDVPDTYADVSNLVEDIGYRPQTTVEEGIGKFVAWYRDYYQ------- 157376125 ----KILITGGAGFIGSAVIRHIINHTQDVVNLDKLTYAGNENSSRYCFEQLDICDSVELKRVFEQHKPDCVMHLAAESHVDRSIDGPAAFIETNIVGTYALLEATRAYWIFRFHHISTDEVYGDLEGTVFTETTPYEPSSPYSASKASSDHLVRAWQRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLMILNALEGKPLP--------VYGDGMQIRDWLYVEDHARALYKVV---TEGMVGETYNIGGHNEKANIEVVTTLCSLLEYSDLILFVKDRPGHDVRYAIDASKIERELSWKPEETFESGIRKTVLWYLNNKEWWSR--- 53713127 ----NVLVTGAAGFIGSHVCKRLLQRGDEVVGLDNINSYYDINLKYGRFVRMNLEDRQAMQMLFANGNFDVVINLAAQAGVRYSIENPYAYVESNIDGFLNVLEGCRHSQVKHLVYASSSSVYGLNGQVPFSEDGIAHPVSLYAATKKSNELMAHTYSHLYNIPSTGLRFFTVYGP----------WGRPDMSPFLFADAILHGRPIKVFNNGNMLRDFTYIDDIVEGVDSIPEGNQCWDAEVADAPYKIYNIGNSRPVKLMDFIRAIEMSIGREADKIYLPMQPGDVYQTYADTSSLSREIGFQPNTSLEAGVKKTISWYKEFYN------- 300821854 ----KILVTGGAGFIGSAVVRHIINNTQDVVNVDKLTYGNLESLERYIFEHADICDAAAMARIFAQHQPDAVMHLAAESHVDRSITGPAAFIETNIVGTYVLLEAARNYWSFRFHHISTDEVYGDEELPLFTETTAYAPSSPYSASKASSDHLVRAWKRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKALP--------IYGKGDQIRDWLYVEDHARALYIVV---TEGKAGETYNIGGYNEKKNIDVVLTICDLLDYREQITYVADRPGHDRRYAIDAEKISRELGWKPQETFESGIRKTVEWYLAKMQWVEN--- 143334850 ----KILVTGGAGYIGAHVCDVLTNNGYQVRVFDDFSNGLKRRIRFEGVFEGDITDRDALVKALD--GIDGVIHLAAKKAVEESVANPLKYYSNNVGGTLNLLAAMSLRNVKKLVFSSSAAVYSPSDKPAIEEIDPTVPLSPYGATKLLSEHVISKVAQAEGISAISLRYFNVVGSSR----VEYGDNSKDNLVPKVFAAYKVGDRPEIYGSDYPTKDGTCIRDYIHVGDLAEAHLAALRKAESGLVDEVYNVGSGSGYSVKEMMDQMAKSMGIDLNPKYSARRAGDSPQLIASTLKIEKELGWKPKATLEEMIESSWAAEKANP-------- 25026889 -------VTGGAGFIGSHLVDLLIAHGHEVVVIDNLSRGRVENLRDAEFVEADLLDV-DFNEFLAEHTPEVIFHLAAQIDVRASVADPLHDAETNILSTIRIADAARSHGVRKVVFTSSGSIYGEPSEFPVSEDVPVDPHSPYAASKVSGEIYLNTYRHLYGLDCSHIAPANVYGPRQDP-------HGEAGVVAIFSQRLLAGEPTRVFGDGGNT------RDYVYVGDVVRAFYL---ASGEIGGGMRFNIGTSVETSDRQLHTLVAEAAGAQDDPEYAPARLGDVPRSALSFARAKEVLGWEPEVDIKQGVANTVEYFRHN--------- 227494942 -----IMVIGGAGYIGAHVVRLLQERNDEVLVVDDLSYGTSDRIGESPLLVCDVAQTDSVENAMREHGVTAVIHFAARKQVGESVMKPAWYYQQNIGGLANVMLAMEIAGVNQMIFSSSAAVYGMPPVEVVSEDIEKHPINPYGETKLIGEWMMADCERAWGLRWVGLRYFNVAGSGWD----DLGDPATLNLIPMIFDRLAKGENPKIFGTDYPTPDGTCIRDYIHVHDLAVAHIAALDKSGEEMKYHQYNVGTGKGTSVKEIVDAVRKSSGVDFVADEEDRRAGDPPQLIGDATRIQEDLGWEAKFGVAEIVDSAWAWQ------------ 269102099 ----KILVTGGAGFIGSAVVRHIINNTQDVVNVDKLTYGNLESLLRYAFEQVDICDRAELDRIFAKHQPDAVMHLAAESHVDRSIDGPAAFIETNIIGTYTMLEAARQYWAFRFHHISTDEVYGDGTDDLFTETTSYSPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLMILNALEGKQLP--------VYGNGMQIRDWLYVED----HASALYTVVTQGEGETYNIGGHNEKANIEVVKTICSLLEYQDLITYVTDRPGHDVRYAIDATKIAAELGWKPAETFESGIRKTVEWYLNNEKWWSR--- 136158685 ----KVLVTGGAGYIGAHVASELLNDGYSVRIYDDFSNGLHRRVKFRDIVEGDILDREKL--IQAMHGVDAVIHLAAKKAVEESVKNPLKYYENNVGGTLNVLAAMSVKGVKKIVFSSSAAVYSPNDKDAIEETDPTVPLSPYGATKLLSEELISRVGGAAQISHISLRYFNVVG----SAIPEFGDNSKDNLVPKVFLALKTGKLPEIYGTDYPTPDGTCIRDFIHVQDLAKSHTAALKRVQNKLLGESYNVGSGVGYSIREIISQICQSLNITTNVREMPARLGDAPKSIASVKKIERELGWRPTSTLKEMIDSSWEAEKAN--------- 291298419 ----KYLVTGGAGYVGSVVATMLLEAGHEVVVLDDLSTNGDTGIPAGATVRGRIHEAAEVLD----DSFDGVLHFAGYIANGESMAKPDIYWDVNVVGTLSLLNAMHAAGVRSLVFSSSASVYGNPSELPATENAITRPTSTYGANKLAADYAITNYCTAFDIAAVSLRYFNVAGAWKDASGVWHHDPETHLIPLILAAANGDRGALKLYGEDYDTPDGTCVRDYIHVA-DLARAHLLALANQQPGEHQIYNLGNGNGFSNREVIAAVERVTGLTVPFKPAPRRPGDPDTLVASSAKAAAELGWRPEKSLDDIIADAWEFYR----------- 258636285 ----KILITGGAGFIGSAVVRHIINNNDEVINVDKLTYGNLESLERYSFKKVDICDGAALQAVFNEFAPDAVMHLAAESHVDRSITGPAEFVQTNVVGTYALLEASRQYWAFRFHHISTDEVYGDGELPLFTETTPYAPSSPYSSTKAASDHLVRAWGRTYGLPVIVTNCSNNYGP--------YHFPEKLIPLIISNALEGKPLP--------IYGKGDQIRDWLYVEDHARALYTVV---TKAATGTTYNIGGHNEKKNLDVVLKVCELLDYAEQIVYVQDRPGHDRRYAIDADKIKKELGWSPQETFESGLRKTVEWYLHNQTWVE---- 289549231 ----KLLITGGAGFIGSEFTRQAVKEGYQCVVVDKLTYAGDEVLEKITFYRCDINNREFLEYIFSKEKPDVVVHWAAESHVDRSISDPSPFFTTNVLGTLNLLDTAKKYGVKSFINISTDEVYGLGDEGSFKEDSCLKPNSPYSVSKASADMLGRAYFRTYGLPVITVRPSNNYGP----------WQYPEKLIPVVIVKAIKNEPIPIYGRGL------NVREWLYVSDCAEAV---LEIIKKGEPGEIYNVGSGEERRNIEVAKLILSILGKPEDISFVQDRPGHDYRYSLDVSGTWEKLGWKAKTKLEEGIRRTVEWYLDHIDW------ 292488710 ----KILVTGGAGFIGSAVIRHIINNDDTVLNIDKLTYGNLESLPRYHFSKTDICDSESLKRAFNDFEPDVVMHLAAESHVDRSIDGPAAFIETNIIGTYVLLEAARMYWAFRFHHISTDEVFGDLHGTLFTEQTAYAPSSPYSASKASSDHLVRAWQRTYGLPVLVTNCSNNYGP--------YHFPEKLIPLTILNALAGKPLP--------IYGNGRQVRDWLYVEDHARALYRVATTGV---VGETYNIGGHNERQNIEVVNTICSILNRATLITFVQDRPGHDLRYAIDATKIEKELGWLPEETFETGLEKTVQWYLKNTEW------ 152991158 ----KILVTGTAGFIGFHLAKRLLGRGDEVVGIDNINDYYDVRVKYGRFYRIDLADKTALEEVFQKENPQRVCHLAAQAGVRYSLTNPDAYIQSNFVGFANILECCRHHEVEHLAYASSSSVYGLNERMPFSEDNVDHPISLYAASKKSNELMAHTYSHLFNIPTTGLRFFTVYGP----------WGRPDMALFLFTKAILEDKPIDVFNYGKMKRDFTYIDDIVEGVVRVIDNPPKSDPCWSKAPYRVYNIGNGSPVELMDFIKAIEKTLGKEAKKNLLPIQPGDVPATWADTYALEHDLGYKPSTPIEEGVKKFIEWYRNFY-------- 149278942 ----KILVTGGTGFIGSHTVVELHNAGYEVVIVDNFANSNPKILIKPEFVELDLCDEAKVAEFAAKHDIAGVIHFAAYKAVGESVQQPLKYYRNNFYSLINVINAFNSN--LNLVFSSSCTVYGQPDVLPVTEAAPKKAESPYGNTKQIAEEILQETCAVSDLKVISLRYFNPVGAHETALIGELPIGPQNLIPFITQSAIGKRGPITVYGNDYDTKDGSAVRDYIHVVDLAKAHIQRLEQQKAESNYEVFNLGTGRGTTVLEVIGAFEQATGEQLNYTIGARREGDVEKVWGDVTKSATALGWTAELGINEMMSSAWNWYLKNP-------- 308047868 ----KILVTGAAGFIGFYVSSRLLEQGHQVVGLDNLNDYYDVSLKEARLAQLDLSDREAMAALFQNHQFDRVVHLAAQAGVRYSLDNPMAYVDSNLTGMVTILEGCRQTKVPHLVYASSSSVYGMNKKVPFSEDAVDHPISLYAATKKSNELMAHTYSHLYGIPTTGLRFFTVYGP--------WGRPDMAAFKFTKKILAGEPIDVYNYGKL--------SRDFTYIDDIVEGVLRVMEAIPSAAPYALYNIGNHQPVELLTFIQTLEKALGVEANLNMMPMQPGDVYTTYADTDNLRDAVGFSPDTSLADGLQRFADWYRSFYQ------- 258654969 ----KALVVGGAGYIGSVVTRLLLAQGHDVTVLDDCSTGHADSVPTGPFHQIDIT---AAGTVLAADRYDAVLHFAAKSLVGESVARPAPYWHTNVGGTRALLDAITEHHVPTLVFSSTAATYGEPDTIPITETAPTRPGNTYGATKLAVDMMITNQTTASPLAAVSLRYFNVAGAALGAGERHTTETHLIPNALRAITDTGSSGPMTIYGTDWPTPDGTPIRDYVHVLDLAHAHVQALTA-AQPGHHLIANLGSGDGYSVHQVLTTIETVTGQRVPTTTGPRRAGDPARLVASNTLAQEKLGWTPQLTLTHMIEDAWAFH------------ 239930917 ------LITGGAGYIGAHVVRAMTEAGERVVVYDDLSTGLADRVPDGPLVVGSTLDGERVARALAGHGVTGVVHLAAKKQVGESVERPLHYYRENVEGLRVLLEAAGAAGATSFVFSSSAAVYGMPDVEVVTEETPCVPLSPYGETKLAGEWLVRATGRAAGLSTACLRYFNVAGAASPGL---ADAGAFNLIPLVFEKLTGNASP-RILGDDYPTPDGTCVRDYIHVVDAEAHVAAARALRSSPGRDLTLNIGRGEGVSVRGMIDRINALTGHDRPPVITARRPGDPARVVASADRAAAELGWKPRHGVDDMITSAWAWLHHHPEA------ 310783814 ----NCLVTGGAGFIGSNLVDALLADGHGVTVLDDLSSGYGENLAHLRGREGDVRDEALLAQAARGQ--EAVFHLAASVGNKRSIDNPLTDADINVLGTLKVLEAARSAGCRKVVFSSSAGIFGELKTLPIAEDHPVEPDSPYGCTKLCAEKLCLAYAKLYDLEAVALRYFNVYGPRQRFDAYGNVIPIFVF-------QILRGEPITVFGD------GEQTRDFVHVRDVVQAN---VKAALSREVSGAFNIASGTRITLNDLVDLLRE-TGLSPKVLSGPPRPGDVRHSLADLRQARTLLGFEPRVDLREGLKEYVAWARE---------- 226306287 ----KLLVTGGAGYVGSVCSTVLLERGHEVVVIDDLSTGNADAVPAGEFIEGDVG--ALAADILGNAGFDGVLHFAAQSLVGESVLHPEKYWRGNVVTTIELLEAIRVSGTPRLVFSSTAATYGEPEQSPIVETAPTRPTNPYGATKLAIDHAITSYSIAHGLGATSLRYFNVAGAYKSA--GENRVIETHLIPLVLQVALEQRDKISVFGTDWPTPDGTAVRDYIHVLDLAEAHLLALESSV-PGEHRIYNLGSGAGFSVREVIAACARVTGLPINVEDASRRLGDPAVLIASSDKAIAELGWTPRTDLDVIVADAWQF------------- 121534554 ----KVLVTGGAGFIGSHIVDRLIEAGFQTVVLDNLSAGCANVNPAARFMQKDVRDR-DLADLLRAEPFDFVVHQAAQTTVPKSLTDPYYDCDVNIMGLVNVLEACRSSGVKRIVFASSAAVYGDPADLPLSEEADKQPTSFYGLSKLVAEKYLELYYKNFGLEYVALRYANVYGERQT-------DSGEGGVISIFLTKALVDEPLTVFGD------GTQTRDFIYVRDVAEANYRAL---FTANANRSYNISTGQEISVNELIGLMQQLVEKPLITQYAPPRAGDIYRSVLNNAAARAMLGWQPNYSLAEGLGRTLA-------------- 29827487 --------TGGAGFIGSHFVRRLLTTGAEVVVLDKLTYAGRLENLAPIFVHGDICDGPLVADLMDGS--DMVVHFAAESHVDRSVADAAEFVRTNVLGTHTLLRAATDAAVDRFVYISTDEVYGSIDSGSWTEDAPLEPNSPYSASKASSDLLARSFHRTHGLPVIITRCSNNYGPH--------QFPEKLIPRFVTHLLNGTKVPL--------YGDGENVRDWLHVDDHCRGIALVAERGR---PGEIYHIGGGTELSNRELTARLLDLLGVDWMVEPVTDRKGHDRRYSLDISKISAELGYAPRVPFEEGLAQTVQWYVEN--------- 121606380 ----TILITGAAGFIGANFVDWLAQSSEPVINLDKLTYGNLETLARHIFVQGDIGDSELVNRLLALHQPRAVLNFAAESHVDRSIHSPEDFIQTNIVGTFRLLESVRAYWAFRFLHVSTDEVYGGKDEPAFTETRRYEPNSPYSASKAASDHLVRAYHHTYGLPVLTTNCSNNYGP--------CHFPEKLIPLMIVNALAGKALP--------VYGDGLQVRDWLYVKDHCSAIRRVLDA---GALGEVYNVGGWNEKTNIEIVRTVCALLDYAAQIASVKDRPGHDRRYAIDASKIERELGWRPAETFESGIRKTVEWYLANPEWVA---- 307824555 ----KILVTGAAGFIGSSLSLKLLERGDEVVGIDNLNDYYDVNLKLARLERLDIADRAAVDELFAREKFQRVMHLAAQAGVRYSITHPHAYIDSNIVGFINILEGCRLCAVEHLAYASSSSVYGANTKMPFSHDNVDHPVSLYAASKKANELMAHTYSHLYKLPTTGLRFFTVYGP----------WGRPDMSPIKFARNIIEGKPIDVFNYGNHRRDFTYIDDIVEAQANADWVGDNPDPGTSFAPYRLYNIGSNNPVHLLTFIETLEKCLGKEAIKNLLPIQPGDVLDTYADVSDLVHDLGYKPATLLEDGVRSFVEWYKCFYR------- 119509616 ----KILVTGAAGFIGFHLSQRLLNRGDEVIGIDNLNNYYDVSLKQARFTQLDLGDQEGINNLFTTHQFDVVVNLAAQAGVRYSLQNPHAYINSNILGFTNILEGCRHSQVKHLVFASSSSVYGANTKTPFSHDNVDHPISLYAASKKANELMAHTYSHLYGLPTTGLRFFTVYGP----------WGRPDMALFLFTKAILSGQPIDVFNYGKMKRDFTYIDDIIEGVVIVHWSGDKPDPGTSKAPYKIYNIGNNNPVELLHFIEVIEDCLGMKAQKNMLPLQPGDVTMTYADVDDLIADVGFKPATPIEVGIRRFIDWYRDYYQ------- 153007280 ----NVLVTGGSGFIGANLVRLLLRPGWRVVNLDALTYGNAENLARYRFVRGDICNGELVADVLETERIDAVLHLAAESHVDRSILSPPVFIETNVRGTQVLLEAARELGVRRFVHVSTDEVYGLGPSGLFTEETPLDPSSPYSASKASSDLLALAYARTFELPVVVTRCSNNYGP----------YQFPEKLIPLAIANALRDLPLPVYGDGL------HVRDWIHVEDHCRGLLAALEKGES---GQVYNLGASSERHNLDVVKQVLRLVGKPESIQHVADRPGHDRRYAIDSTKARTVLGWAPRHRFEEALAATVRWYVEWERIISGEY- 307250736 ---KKILVTGGAGFIGSAVVRHIINDTQDVVNVDKLTYGNLESLLRYSFEQVDICNRAELDRVFAQHQPDAVMHLAAESHVDRSIDGPAAFIETNIVGTYTLLEAARHYWAFRFHHISTDEVYGDGTNDLFTETTPYSPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------FHFPEKLIPLMILNALEGKKLP--------VYGNGQQIRDWLFVEDHARA----LYKVVTEGEGETYNIGGHNEKANIDVVRTICSLLEYEDLITYVTDRPGHDVRYAIDASKIGRKLGWKPQETFETGIRKTVEWYLNNTEWWSR--- 241763229 -KPQHVLLTGAAGFIGSHVAARLLERGDRVLGLDNVNDYYDPALKWARFVRLDLHDRARMAELFATERFDGVIHLAAQAGVRYSITHPHAYLDSNLTGFGHVLEGCRAQGVAHLVYASSSSVYGGNTKMPFTETDAVDPVSLYAATKKANELMAHTYSHLYGFPTTGLRFFTVYGP----------WGRPDMAYHLFTRAILAGEPIPVFNHGDMRRDFTYIDDITEGVLRVLDRPATPEH-VGTAPYRVFNIGNSEPVQLLDFINCIESALGKKAIKQLLPMQPGDVPATYASTQSLRDWVGFAPSTPLVEGLRKFVHWYRDYYR------- 289522642 ----KILITGAAGFIGFHLAKFMLERRYFVVGLDNLNNYYDPKLKEDRFYRADLKKKPVVDKVFAACRPEYVVNLAAQAGVRYSLENPYAYVDSNLVGFVNVLEACRSYPVKHLLFASSSSVYGGNKTVPFTEHNTDHPVSLYAATKKANELMAHTYAHLYGIPSTGVRLFTVYGP----------WGRPDMAYFSFTRDILAGVPIKVFNHGRMSRDFTYIDDVVKALYRLIDLTPKANYDWNERGGKIYNLGNNSPVELSRFIAVLENCLGKKAQKVYLDMQPGDVIMTYADVADLEKAIGFKPETPIEEGLAKFVEWYKKYYK------- 145350279 -RKAHALVTGGAGFIGSHCAEALLRRGYAVTTVDNMSRGNAGAVEALRRVRGDLGVVEDVDAAFTNMPVDAVFHFAAIAYVGESMADPVRYYSNITTNTVNLLRVMQAKDVRKMIYSSTCATYGNVEKLPITESTPTRPINPYGKSKLYAENAIKDYAANPKFKASILRYFNVFGGDPEGVLGELPRAELREHGRCFDAAMKNIDKLTVMGTKHPTRDGTTIRDFVHVVDLVDAHIAVAEKNKFDNPPSLYNVGTGSGVSMREFVETCKKVTGVDIEIHYAEPRPGDYAEVYANVDKIKHELGWEAKYDLHESLTHAWKFRK----------- 114321464 ----RILVTGGAGFIGSALVRQLIAETDTVINVDALTYAEARHHPRHVFEQEDIRNRPALDRLFREHRPDAVMHLAAESHVDRSIEGPAAFIETNVTGTQNLLEAAREYWQFRFHHISTDEVYGDPNDPAFTEETPYAPSSPYSASKAGSDHLVRAWHHTYGLPVLLTNCSNNYGP--------YQFPEKLIPLMILNALEGKPLP--------VYGQGDNVRDWLHVEDHAQALRRVLEAGR---PGRTYNIGGRAERTNLEVVHAICAHLDHEALIQFVTDRPGHDRRYAIDDSRISQELDWQPQESFESGLRRTVEWYLENTEWV----- 296103688 ----KILVTGGAGFIGSAVIRHIINNTQDVVNVDKLTYGNLESLRRYVFEHADICDKIAMEKIFAAHQPDAVMHLAAESHVDRSITGPAAFIETNIVGTYVLLETSRAYWTFRFHHISTDEVYGDLPHPLFTEKTAYAPSSPYSASKASSDHLVRAWIRTYGLPGIVTNCSNNYGP--------FHFPEKLIPLVILNALENKALP--------IYGKGDQIRDWLYVEDHARALYAVL---TTGKTGETYNIGGYNEKKNIEVVHTICDLLDYRDQITYVTDRPGHDRRYAIDARKITAELGWKPQETFESGIRKTVEWYLNNQEWVSN--- 299131836 MSKQSILVTGAAGFIGFHVSQRLLQGGHRVVGLDSINDYYDPSLKEARFEKIDLADRAATRSIFERHRFPVVIHLAAQAGVRYSIDHPTVYIDANLQGFANVLEGCRHNGCEHLLFASSSSVYGANTKLPFSHDNVDHPISLYAASKKANELMAHSYSHLYGLPTTGLRFFTVYGP----------WGRPDMAMFLFAKAITEGKPIRLFNNGDMMRDFTYIDDVTEATPNASWDAQHPDPASSRAPWRIYNIGNNQPEKLMDLVQALEKEFGRTARKELLPMQAGDVYATYADIDDLQREVDFHPSTPLADGVARFVAWYREYHR------- 91204592 ----KILVTGGAGFIASHLVDNLIAKGHDVVIVDNLSTGREENIPKVRFYKMDICNVAALADIFDKERPDVVNHHAAHADVRKSVEMPAYDANINILGSLNLCQLSMKYQVKKFIYASTGAVYGEPKRMPVTEESPIEPLSQYGVSKHTVEHYLSVFNKLYHMDFTILRYPNVYGPRQS------PYGEAGVVAIFSEHILNNKRP-TIFGDGSKT------RDYVFVADIIEANLKALEK---EGNGEIFNLGWGLEIPDLAVFQAIRDALNSKIEPIFGNKRNGEIERICLDSTKAIKMLHWMPKINFKEGIKLSTNYYKQ---------- 91976050 --TKKFMITGGAGFIGSAVVRRLIETDHEVLVVDKLTYGNLESLPKFSFERVDITDVEAMRRVFAEFSPDIVMHLAAESHVDRSIDGPGEFIQTNLVGTFVLLQAALNHWGFRFHHVSTDEVFGLGPSGSFNEETAYRPNSPYSASKAGSDHLVRAWHHTYGLPMVMTNCSNNYGP--------YQFPEKLIALMIINALEGRPLP--------VYGTGENVRDWLYVEDHAEALLLVAE---TGGVGESYNIGGDSERTNISVVRSICRIVDRDKLIEFVVDRPGHDLRYAIDATKIERELGWKPRHSFETGLRHTVQWYLDN--------- 88813322 ----KILITGGAGFIGSALVRYLIETEHCVVNVDALTYAGDPSTPRYRFYHTDICDAAALRALFASEKPDAVMHLAAESHVDRSIDGPAEFIRTNIHGTYCLLEAARAYWTFRFHHISTDEVFGLGANGCFTEASPYRPNSPYAASKASSDHLVRAWCHTYGFPAVLSNCSNNYGP--------YQFPEKLIPLQITRALAGRSLP--------VYGDGRNVRDWLFVDDHARALVRVLTRGR---VGESYNIGGNAERTNLQVVETLCAQLDRARLIEFVSDRPGHDFRYAIDAGKVRRELGWSPEESFDSGLEKTVRWYLDRPDW------ 220919522 ----NVLLTGGCGFIGSNLVRLLLAEGWRVVNLDKLTYGNAENLAQYRFVRGDIGNGELVAEIFRTERIDVVMHLAAESHVDRSILAPAVFIDTNVRGTQVLLEAARQHGVKRFLHVSTDEVYGLGPTGYFTETTPLDPSSPYSASKASSDLLALAYAHTFKLPVVVTRCSNNYGP--------YQFPEKLIPLMIANALRDLPLP--------VYGDGMNVRDWIHVEDHCRGLLAALEH---GHDGEVYNFGASSERHNIDIVKQVLRHVGKPETITYVKDRLGHDRRYAIDATKARTKLGWAPRHRFEDALGETVRWYREH--------- 302697411 ---KNVLVSGGAGYIGSHVVYVLQKRRYKVISIDNYHNSHPEAYRRIDYYEADLTNEAAVRKVFEKYGIWGVIHIAAWKAVGESTEIPLTYYHNNVAGTINLMRLMDEFDCTRIVYSSSATVYGIPPDIPIPETTRMQALSPYGNTKIVCENIIKDVCEPKRWQALSLRYFNPGGAHPSGLIGEDPVGKPLNLLPILAQMAVGRLPPQVFGDDYPTEDGTCVRDYIHVVDLAAGHQLALDALSEGSRYKEYNLGKGHGMSVYQMVEAMRKATGFDYKTEVIGRRRGDVPNLTADPTLAEKELGFKAPQSLETMCRDLWNWQSKNPNGYADAAE 148832474 -TNRTILVTGGAGFIGSALVRYLLEKTHNKIVVDKLTYAGNENHPRYAFVQADICDTKALSYIFAQYQPDAVIHLAAESHVDRSINASSEFIQTNIVGTYCLLESTLDYWHFRFLHISTDEVYGDSNEGLFTETSAYKPSSPYSASKAASDHLVQAWYRTYGLPTIITHSSNNYGP--------YQYPEKLIPLMILNAIEGK--------TLPIYGDGLQIRDWLFVEDHIDALYNVL---MKGNIGETYNIGGNNEKSNIDVVRSICSLLEYEDLICYVQDRPGHDLRYALDTSKINHKLGWIPKETFESGLRKTVIWYLNHQEW------ 307302363 ----KILVTGGAGFIGSAVVRHIIDNTDSVVNLDKLTYGNLESLLRYTFEQVDICNSTELNRVFKQHQPDAVMHLAAESHVDRSITGPSDFIQTNIVGTYTLLEAARQYWVFRFHHISTDEVYGDLPHPLFTEATSYAPSSPYSASKASSDHLVRAWQRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKPLP--------IYGKGDQIRDWLYVEDHARALYKVV---TTGKVGETYNIGGHNEKQNIEVVETICTILDELEQITYVTDRPGHDRRYAIDATKMSIELNWQPQETFETGLRKTVEWYLANLKW------ 294791627 ----NICVTGGAGFIGSHLVDRLIELGHDVLVIDDLSTGMRSFVHEDRFIEMDVRDPKLL-SVFEEFKPSIVFHEAAQTMVQSSMENPSYDCDVNLIGLINVLDACRKVKVEQFLMPSSAAVYGDLAVLPLTEDLSGMPSSFYGLTKLTAEGYLRIYHEAFGLNTVCFRYANVYGPRQG-------DGGEGGVISIFNRLIVEGQPLTVFGD------GEQTRDFIYVDDVVDANIKAMENGQCTG---IYNVSTNMGTSVNELITRFRAISGTDFMVYYENERIGDIKHSRLSNVKAERDFGFIATTTLEAGLQKTLEYFKAHHK------- 242310075 --------TGAAGFIGSHTAYCFLKNDCKIIVLDNLCTGFLENIQRIEFVQGNFGDSSCLETIFLKHKIDGIVHFAGSLVVSESVVNPLLYYNNNVANTLKLLEVVAKYGVNQLLFSSTAAVYGQPNSEPISEESQTLPINPYGESKLMVEKILRDFEANPNFRSVILRYFNVAGALSEGGLGQRSKNATHLIKVACECACRKREKMGIFGEDYPTKDGTCIRDYIHIDDLANAHFETLKTLEKEEHSQIYNVGYGVGFSVKEVIECVKKVSGVDFEVEIQPRRAGDPAMLVSNNHKILTYTNWKPKYDLELICKSAYEWEKK---------- 255533494 ----KILVTGGTGFIGSHTVVELYNAGYEVIIVDDFSNSNPKILQQPEFVELDLCDEAKVDFVSKNNDITGVIHFAAFKAVGESVQQPLKYYRNNFYSLINLINA--FNSKVNLVFSSSCTVYGQPDVLPVTEDAPTKAESPYGNTKQIAEEILQETCATPDLNVTSLRYFNPVGAHHTALIGELPIGPQNLVPFITQSAIGKRGPITVYGNDYDTPDGSAIRDYIHVVDLAKAHIKRLESNKAATNYEVFNLGTGKGSSVLEIIAAFEKSTGVKLNYTIGARREGDIEKVWGDVTKSAKDLGWKAELDVNEMMSSAWKWYLQNP-------- 134500328 ----KILVTGAAGFIGFHVSQWLCNRGDEVVGIDNLNDYYEEPLSNFRFIKLDIANRDGLAKLFAGEQFDRVVHLAAQAGVRYSIENPHAYADSNLVGFLNILEGCRHNQVEHLVYASSSSVYGANETIPFSENDNIHPVSLYAASKKANEAMAHSYSQLYNLPTTGLRFFTVYGP----------WGRPDMSPILFAKAITDDKPLKVFNYGKHRRDFTYIDDIVRTLDRVEWSGLNPDPATSKAPWRIYNIGNSQPVELLYYIECIENSLGKTTEKELLPLQPGDVEHTYADVTALMRDTGYQPNTPIEEGVEKFIEWFKTYY-------- 261338190 ----TVLVTGGCGYIGAHVVHALHEKGENVVVVDDLSYGKPTRIGNSRLYGMDVADPERLTQIMKDENVDAVIHFAARKQVGESVEKPLWYYQQNINGMLNVLTAMRDAGVKKLVFSSSAATYGEPPVDVVPEDVVPMPINPYGQTKLFGEWMARACEQPFGIRFCGLRYFNVAGCGP----VELEDPAILNLIPMLFDRLKQGKAPAIFGDDYPTPDGTCIRDYIHVSDLADAHVAALDYDRDEREYDVFNVGTGKGTSVRQIVDEVKKVTGLPFTETVMARRAGDPPQLIGDATRINTVMGWHAQYDVDDIVKSAWEAWQANPE------- 70733811 ----RILVTGGAGFIGSALVRHLLQHTHEVLNLDKLTYGNLESLHRYEFVKADIVDQATVSAVLARFQPHAIMHLAAESHVDRSIDGPSDFIQTNIVGTYSLLEATRAYWHFRFHHISTDEVYGDLHDDLFTETTPYAPSSPYSASKAASDHLVRAWQRTYGLPVLITNCSNNYGP--------FHFPEKLIPLVILNALAGKPLP--------VYGNGLQVRDWLYVEDHARALFKVM---TEGAVGETYNIGGHNEQKNIDVVRGICSLLEYADLITFVQDRPGHDLRYAIDAGKIERELGWVPEETFTSGLRKTVQWYLENLEW------ 253572911 ----KILVTGAAGFIGSYVCKYLLSRGDEVVGLDNINSYYDINLKYGRFIRMNLEDKQAMQMLFANERFDKVVNLAAQAGVRYSIENPYAYVESNIDGFLNVLEGCRHYRVKHLIYASSSSVYGLNGKVPFSENDSVHPVSLYAATKKSNELMAHTYSHLYAIPTTGLRFFTVYGP----------WGRPDMSPFLFASAILNNRPIKVFNNGDMLRDFTYIDDIVEVIDHVNWNDQNPEPSSSKAPYKIYNIGNSHPVKLMDFIEAIEKAIGHPADKIYFPMQPGDVYQTNADTTALERELGFKPNKSIIEGVRNTIDWYRSFYQ------- 108762258 ----NVLVTGGCGFIGSNLVKYLRREAWTVVNLDKLTYAGNEGDPQHVFIRGDIGNRELVEHLMSVHAIDAVMHLAAESHVDRSILGPEVFVTTNVLGTQQLLEASRARGVRRFLMVSTDEVYGLGPTGAFTESSPLQPSSPYSASKTSSDLVALAYHHTFNLDVVVTRCSNNYG--------RYQFPEKLIPLMVVNALHDKPLP--------VYGDGGNVRDWLHVEDHCHALLLALEKGRA---GEVYNIGGGAERRNIDIVKAILGLVGKPESIQYVKDRPGHDRRYAIDPSKIRAELGWTPAHTFEQGLAETVRWFVDHPAW------ 303399369 -----ILVTGGAGFIGGNFVLDWLQRSEEVLNVDNLTYAGNEGNPLHWLARVDISDRSALDALFARHAPRAVVHFAAESHVDRSIHGPAAFVQTNVVGTFTLLEAARAYWAFRFLHVSTDEVFGSPDDPQFCETAPYAPNSPYSATKAASDHLVRAYHHTYGLPTVTTHCSNNYGP--------YQFPEKLIPLTIVSALAGKPLPIYGDGQ--------NVRDWLYVRDHCSAIRKVLFY---GEPGQTYNVGGWNEKTNIEVVQSVCDLLDALKPIAFVADRPGHDRRYAIDARKIERELGWKPAETFESGLKKTVQWYLDNQDWVNE--- 187880500 ----NVLVTGGLGYIGSHIVVDLLNSQFKVAVVDNLSNSNINTKRKVKFYECDVCDNESINKIFNNNDFYAVIHLAGLKSVAESIQEPVRYYANNLEGTLCVIKNSIKYNVKKFVFSSSATVYGLPERIPLDENCQVGTINPYGTSKFFSEQILHDANANSQIDITILRYFNPVGAHSSMSIGEDPRGPSNLVPYITKVAAGKLPFLSIFGGDYDTKDGTGVRDYIHVMDLAKGHIAALRMAADSSKSHIYNLGTGKGYSVLELVNTFETITGKKINYKICDRRKGDVAECWSDPSLALEELHWKAEKDLSDMLKDAWAWEIR---------- 294635547 ----KILVTGGAGFIGSAVIRHIIKQDDEVVNVDKLTYGNLESLARYCFEHADICDKAAMVRIFSEHQPDAIMHLAAESHVDRSISGPAAFIETNIVGTYVLLEACRQYWAFRFHHISTDEVYGDLPHPLFTEQTAYAPSSPYSASKASSDHLVRAWMRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKALP--------IYGKGDQIRDWLYVEDHARALYTVV---TQGKAGETYNIGGHNEKQNLDVVHTICDLLDYRDQITYVADRPGHDRRYAIDAQKISVELGWKPAETFESGIRKTVQWYLDNLQWVNN--- 289166476 -----ILVTGGLGYIGSHFVIQALSSGFNVTVIDNLSNSSISIKNSIDFANIDLRNKDMLFDVFRSSKFDLVVHFAGLKAVSDSCQRPLDYYENNVIGSWNLLQVMNCLNVKKIIFSSSATVYGMSQVNPLTEEYPKAPFNPYGQTKSCIEDMIADLCRSDEWSAVSLRYFNPIGAHPSGKIGENPEGPNNLMPYIIKVAAGEFRTLQVFGSDYATPDGTGIRDFIHVLDLVDGHIKALKFLDKYKGYEVFNLGTGQGHSVLDIVKTFERVNHVKIPLMFKPRRIGDMAASWANPLKAEIILKWKAIRSLDEMVKDSWQWQKA---------- 307826124 -----ILVTGGAGFIGANFVDWLAQFDETVINLDVLTYAGNRENLRHHFVQGDIGDSTLVAGLLAQYQPRAIINFAAESHVDRSIHGPEDFIQTNIVGTFRLLEAVRAYWNFRFLHVSTDEVYGAKDDPAFTETYRYEPNSPYSASKAASDHLVRAYHHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLMIVNALAGKPLP--------VYGDGQQIRDWLYVKDHCSAIRRVLEA---GKVGEVYNIGGWNEKPNIDIVHTVCTLLDYREQITYVTDRPGHDRRYAIDASKIERELGWKPAETFDTGIRKTVQWYLENQSWVAN--- 220915364 ------LVTGAAGFIGFHLAKALLDRGDVVLGVDSMVPYYDVRLKEARFERLDLADREATSRLFERGGFGAVVHLAAQPGVRYSLENPHAYVDANVTGFLDVLEGCRRHPVRHLVYASSSSVYGGNTKVPFAVTDNDHPVSLYAATKKANELMAYTYSHLFAIPATGLRFFTVYGP----------WGRPDMAPMLFARAILEGQPIKVFN------HGQMRRDFTYVDDIVEGVIRVLDRPPAAGPHRLYNIGNSQPVELLRFIDVMEAALGKKAVRELLPMQPGDVPATFADVSDLERDVGFRPATSIEEGVRRFVAWYRAYHRA------ 225025757 ----NILLTGGAGFIGSAVIRHIIRHTRDVINLDKLTYGNLESLPRYTFEQVDICNRAELDRVFAQHQPDCVMHLAAESHVDRSIDSAGEFIQTNIVGTFNLLEAARTYWVFRFHHISTDEVYGDLHGTLFTETMPYAPSSPYSASKASSDHLVRAWLRTYGLPTIITNCSNNYGP--------YHFPEKLIPLMILNALAGKPLP--------VYGNGQQIRDWLFVEDHARALYQVI---TQGKIGESYNIGGHNEKNNLEVVQTICTLLEYADLITFVPDRPGHDLRYAIDATKIGHELGWQPQETFESGMRKTVQWYLDN--------- 307544986 ----KFLVTGGAGFIGSAVVRELINEDHEVVNVDKLTYGNLESLIRYNFVQADICDAPAINKLFEKHQPDVVMHLAAESHVDRSIDGPAEFVQTNVVGTTVLLEAARNYWKFRFHHVSTDEVYGDLDETLFTEDTPYAPSSPYSASKAGSDHLVRSWYRTFGMPTLVTNCSNNYGP--------YHFPEKLIPLMILNALAGKPLP--------VYGDGKQVRDWLYVEDHARALVKVA---VEGEVGETYNIGGHNEKTNLEVVETLCSLLQYRDLITFVTDRPGHDLRYAIDAGKIERELGWTPRETFETGLRKTVYWYLENTDWWKR--- 282856404 ----KIVVTGGAGFIGANFVYYLLHKGDRVVCFDALTYGNMETLPRFSFVKGDIADRGQVEALFERYRPDVIVNFAAESHVDRSILDPGLFLRTNVLGTGVLMDACRKYGIARFHQVSTDEVYGDRPDLFFTERTPLHASSPYSASKASSDLLVLAYCRTFGLPVTISRCSNNYGP---------YQFPEKLIPLMIANALADKP-------LPVYGKGENVRDWLYVEDHCAAIDLIVRRGR---GGEIYNIGGHNERTNLQVVKTILKELDKPESIRFVTDRPGHDLRYAIDPAKIHAELGWLPETTFDEGIRRTVRWYLSHKEW------ 148549141 ----KILVTGGAGFIGSAVIRHIISNTADVVNVDKLTYGNLESLPRYAFEHVDICSREEMDRVFREHQPDAVMHLAAESHVDRSITGPSAFIETNIIGTYVLLEAARGYWAFRFHHISTDEVYGDGPEDLFTEATPYQPSSPYSASKASSDHLVRAWARTYGLPTLVTNCSNNYGP--------FHFPEKLIPLVILNALEGKPLP--------IYGKGDQIRDWLFVEDHARALYKVV---TEGEVGETYNIGGHNEKQNIEVVRTVCELLDELRPVTYVTDRPGHDVRYAIDASKIQRELGWVPEETFESGIRKTVEWYLSNAEWVA---- 309303707 --------TGGAGYIGSHVLLCLLTRKYKVITIDNFHNSFPPAIERVSRYKGDITCKADIEKVFETEKVWGVIHIAAHKAVGESGEKPIQYYENNISATINLLDVMNRHDCHHLVYSSSATVYGAPTTIPIPETTPLAPESVYGRTKMMSELIIKDLCQPTKWKAISLRYFNPAGAHPSGLIGEDPVGRPGNLLPLLAQMAVGKIPHKVNGNDYPTRDGCCIRDYIHVMDLAAGHINALDFGTSGGKYKAYNLGKGRGQTVFEMIEAMRKATGFDFKYEIISRRAGDVPDLTADPTLAMKELGFSAPRELDEMCRDLWNWQSKNPKGYA---- 113867833 ------LVTGGAGFIGANFVLWLSQDGTDGIIVDKLTYAGNRNTLRHIFSQTDICDRAALDKLFAAHQPRAVVHFAAESHVDRSIHDPAEFIQTNIVGTFNLLEAARTYWAFRFLHVSTDEVFGGPGDPQFSETTAYRPNSPYSASKAASDHLVRAYHHTYGLPVLTTHCSNNYGP--------YHFPEKLIPLMMTNALAGRSLP--------IYGDGLNVRDWLYVGDHCAAICAVLARGR---VGETYNVGGWNEKTNLDVVHTLCDLLDYRDQITFVKDRPGHDRRYAIDAHKLERELGWKPAETLETGLRKTVQWYLDNQAWVRN--- 33864957 ------LITGGAGFIGSHTGLVLLEAGHELLVFDDFSNSSPIALPRLRQMQGDIRSPRNLEQAFTKAGIDAVIHFAGLKAVGESVEKPLHYWDVNLNGSRCLLEAMDAHSCHTLVFSSSATVYGNPETVPIPATAPISPINPYGHTKAAVERMLSDLHAQDAWRIACLRYFNPVGAHPSGRIGENPLGPNNLFPFVSQVANGRREQLQVFGGDWPTPDGTGVRDYIHVMDLADGHRAALDCLLAEAQMVTLNLGSGQGQSVLEVVQSMQAASGRSIPNTITDRRPGD----------AAQRLGWRTQRSLEDICRDGWAWQQQNPERY----- 145298428 ----KILVTGGAGFIGSAVVRHIIRNTDAVVNLDKLTYGNRESLERYAFEQVDICNRSELDRVFALHQPDAVMHLAAESHVDRSITGPADFIETNIVGTYTLLEAARAYWTFRFHHISTDEVYGDQPHPLFTETTPYAPSSPYSASKASSDHLVRAWRRTYGLPTLVTNCSNNYGP--------YHFPEKLIPLVILNALDGKPLP--------VYGKGDQIRDWLYVEDHARALYKVVTTGL---VGETYNIGGHNEKQNLDVVHTVCDLLDYRDQITYVADRPGHDRRYAIDASKMSAELDWQPEETFESGIRKTVQWYLDNQQWVNN--- 300781371 ------LVTGGAGFIGSHLVDQLVMAGHEVAVLDNLSSGRLENISHQTAVEGDVGDK-GLADVVDKLAPEVIFHLAAQIDVRKSVEDPILDAQANILGTINVAEAARKAGVRKIVHTSSGSIYGTPSEFPVDESFPVDPHSPYAASKLAGEQYLGIYRHLYGMQASFIAPANVYGPRQNP-------HGEAGVVAIFSENLLHGRPTKIFGG------GTNTRDYVYVGDVAR---AFVLAAGERGDGVRFNIGTSVETTDRELHTLVAKHAGAPDAPQNFPPRLGDVPRSALSAARAKEILGWEPTVSLDEGVARTVDDFRR---------- 148652789 ----KILVTGAAGFIGFHVCQKLLARGDQIIGVDNLNDYYDVSLKMARFIKLDIADRAAMESLFAEHQFDAVCHLAAQAGVRYSIENPHVYVETNVVGFLNILEGCRQHNVDNLCFASSSSVYGLNQSQPFTSDHTDHPVSLYAATKKSNEMMAHTYAHLFGIRCTGLRFFTVYGP----------WGRPDMAPMLFADAISNNRPIKVFNHGDMS------RDFTYVGDIAEGILAILDTPAGSKDVGAYNIGNNSPVNLMVFIRTLEAEFGTEAQKIMMDMQPGDVASTYADSSSLTQLTGFTPNTELAEGIKHFANWYRDYFK------- 139604476 MNDQKILVSGGAGYIGSHACKALKQAGFEPVTFDNLITGWKEAIKFGPFEQGDLLNKNDLDRVFYKYAPVAVMHFAALSQVGESMQKPGLYWQNNVMGSLNLIQAAVDHGCLDFVFSSTCATYGDQDYVVLDEHSAQHPINAYGASKRAVENILADFGVAYGLNSVIFRYFNVAGADPDGAVGEFHQPETHLIPLILDAIDGKRDALTIFGTDYDTPDGTCIRDYVHVCDLVDAHVLGLKWLQSGRGSRVFNLGTGDGFSVRQVIDQGQACYQPA---------------------------------------------------------- 110666559 ----KILVTGGAGFIGSAVVRHIINNTQDVVNVDKLTYGNLESLERYVFEHADICDAAAMARIFAQHQPDAVMHLAAESHVDRSITGPAAFIETNIVGTYVLLEAARNYWSFRFHHISTDEVYGDLPHPLFTEMTAYAPSSPYSASKASSDHLVRAWKRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKALP--------IYGKGDQIRDWLYVEDHARALYTVMTQGV---VGETYNIGGRNEKKNLDVVNTICDLLDYRDQIIYVTDRPGHDRRYAIDASKISDELGWKPQETFESGIRKTIGWYLNNLEW------ 302038314 ----RILVTGGAGFIGSHLVRRLIQSGHSVVNLDALYSGNLENLPQYAFVQADICDQKAVHATLQTHRIEGIINCAAETHVDRSILDPGAFARTDVVGTGILLEEARQAGVQRFLQVSTDEVYGSVEQGSSTEGDRLEPRSPYSASKAGGDLLVLSYWTTYRFPVVVTRGSNTYGPN--------QYPEKFIPLFATNAIDGEPLPL--------YGDGRQCRDWLSVYDHAAGIQHVFE---QGEPGTVYNVGGGNERENITVAEQIVATLGKSRSIRFVEDRPGHDRRYSIDCRRLRA-LGWSPQVSFEEGLKQTVEWYRTHERW------ 146343782 FKGSTIFVTGGAGFIGSAVVRHLLRDTHRVVNIDKLTYAGAAGNPHYAFEQADICDAAALRKLFDKYQPDAVMNLAAESHVDRSIDGPGEFIQTNIVGTFTLQEALRHFRGFRFLHISTDEVFGTGDTGLFTETTAYAPNSPYSASKASSDHLVRAWRETYELPTMITNCSNNYGP---------YHFPEKLIPHMIIKGLG-------FETLPVYGDGQNIRDWLFVEDHARALTLVLERGQ---VGETYNVGGRNERTNLHVVETICDLLGRRQLISFVTDRPGHDRRYAIDASKLERELGWRAEETFETGIAKTVRWYVQ---------- 284123344 --SKTLLVTGAAGFIGSHTAQALVARGDRVVGLDNLNDYYDPARKRANFIQGDIRNRQTVEEIFLSHEIEGIVHLAAMAGVRVSIENPHLYCDVNINGTLNLLDVAVGRIGSRFVFASTSSVYGNTQAVPFQEHDCDRPLAPYAASKKAGELLGYSYHHVYGLPFTAVRFFTVYGPR--------GRPDMMAYKVLDNICFGHEVPLYNSGNMY--------RDWTFVGDIVQGVVSAVDRPF---GYEVINLGRGEPTSLAEFVQVIEECVGQKASVVSAPMPEADIISTCADISKARELLGYVPQFTVHEGVRQFWVWYQKN--------- 12232608 ---KTILVTGGAGFIGSAVVRYIIENTQDVVNVDKLTYAGNKNNPRYIFEQVDICDAKALARIFEQHQPDAVMHLAAESHVDRSIDGPATFIETNIVGTYILLEAARAYWGFRFHHISTDEVYGDGTNNLFTETTPYSPSSPYSASKASSDHLVRAWLRTYSLPTIVTNCSNNYGP--------FHFPEKLIPLIILNALDGKPLP--------VYGNGQQIRDWLFVEDHARALYKVV---TEGKIGETYNIGGHNEKANIDVVRTICALLEYEDLITYVKDRPGHDVRYAIDATKISRELGWKPQETFESGIRKTVEWYLNNRKWWSR--- 310827994 ----KFLITGGAGFIGSNLCEALLKRGHFVRCLDDLSTGKAENIQNFEFIKGDIRDLDTC--MNACKNIDYVSHQAAWGSVPRSIEMPLVYEEINIKGTLNMLEAARQNNVKKFVYASSSSVYGDEPTLPKKEGREGNLLSPYALTKRTNEEYARLYTELYGLETIGFRYFNVFGRRQD------PDGAYAAVIPKFVSSLLYNIAPKINGDG------TQSRDFTYIENVIEANLKGMQA-PREAAGEAFNIAYGGQVNLNELYQKLSELLGKKIEPVYGPERAGDIKHSNADIDKARKFLKYAPEYSFERGIELTIAWYRE---------- 257068108 ------LVIGGAGYIGSHVVRLLLEQKQEVVVVDDLSTGLRRRTEGAELLELDVTLPDAREKLMRACGADSVIHFAAHKQVGESVANPEMYWHDNIGGLANVLAACASADVRDVVFSSSAAVYGVPDVDLVTEELTPQPINPYGATKYVGEWMLADAERAHGMRTVALRYFNVAGAG----WPELADTAVMNLVPIVLDRLERGLAPVVFGDDYDTPDGTGIRDYVHVLDLAHAHIAALDYRGEERPHRTFNVGTGEGSSVLEVIDAIARAKGIEITPEHGPRRAGDPARLICSGDRIAQTLGWKSEHGLDDIVRSAVE-------------- 169831835 ----RLLVTGGAGFIGANFIRFVLREDWHVTNLDKLTYAGNEDNPRYTFVRGDIADREAVAGLFAGGGFDAVVNFAAESHVDRSILDAGPFIETNVRGTQVLLEAARRYGVRVFLQVSTDEVYGGPDDPPFTEEHPVKPNSPYSASKAAADLLCRAYHETYGLPVVVNRCSNNYGP----------YQFPEKLIPLMIANVLENKPLPVYGDGL------NVRDWIHVEDHCRGIAAALRRGR---PGAVYNFGGRAERTNLDVVRTILRILDRPESIRFVADRPGHDRRYAMDIARAERELDWRPRWTFEDGLEATVRWYVDNAEWV----- 295687540 MTKPPIIVTGAAGFVGYHVAERLLDRGEAVIGVDVFNAYYDPALKEARMVRMDIAEHEALAALVNASGAKQVIHLAAQAGVRYSIDNPFAYERSNLAGHLSVLEACRHAGVEHLVYASSSSVYGDNGDGFKESDPAESPVSLYAATKRSCELLSQSYAKLYGFPQSGLRFFTVYGP----------------WGRPDMAYYGFTEKMLKGQEIEVYGEGKMARDFTYIDDIVDGILGVLDNPPAQGGHEVYNIGDNDPVGLMDMIATLEKALGIEAKKVFRPMQPGDVTATYANIDKLHALCGYKPKVKLAEGLQRFVDWRRAY--------- 268593353 ----KIFVTGGAGFIGSAVIRHIINNNDSVINIDKLTYAGNLESLASISEQTDICDSAAIKQLFELHQPDAVMHLAAESHVDRSIDGPSEFIQTNIIGTYTLLEAARHYWAFRFHHISTDEVYGDLESTLFTETTSYAPSSPYSASKASSDHLVRAWQRTYGLPTIVTNCSNNYGP--------YHYPEKLIPLIILNALEGKPLP--------VYGNGQQIRDWLYVEDHARALYKVV---TEGKISETYNIGGHNEKANIDVVKTICSILDYEELITYVTDRPGHDLRYAIDATKIKNELNWVPEETFETGLRKTVEWYLANSEW------ 237732092 ----KILVTGGAGFIGSAVVRHIIKDTDTVVNVDKLTYGNLESLARYYFEHTDICDKSAMERIFATHKPDAVMHLAAESHVDRSITGPAAFIETNIVGTYILLEAARGYWAFRFHHISTDEVYGDLPHPLFTEKTAYAPSSPYSASKASSDHLVRAWLRTYGFPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKALP--------IYGKGDQIRDWLYVEDHARALYTVVTQGI---VGETYNIGGHNEKKNLDVVHTICDLLDAREQITYVADRPGHDRRYAIDAAKISDELGWKPLETFESGIRKTVQWYLANQKWVKN--- 113474758 MET-RLLITGGAGFIGSNFTHYWCQTNHRVVVLDALTYAGNKKTLADRFVQGDICDRSLVDSLLQEEDIDTVAHLAAESHVDRSILGPAAFVQTNVVGTFTLLEAFRSHYQCIFLHVSTDEVYGSPQELAFTETTPYSPNSPYSASKAGSDHFARAYYHTYGVPTIITNCSNNYGP--------YHFPEKLIPLMCINILLGKELP--------VYGDGQNIRDWLYVID----HCRALDTVIHKGKPGQYNVGGNNEVKNINLVQMLCQIMDCEKLITFVKDRPGHDRRYAIDATKIKTELGWQPSVTVEEGLRQTVKWFLNNRDWLSEEYQ 217970541 -----ILVTGGAGFIGANFVLDWLQGSEEPVILDALTYAGNRETLRHLFVHGDICDRALVERLFAEYKPRAVVHFAAESHVDRSIHGPGAFVRTNVDGTFTLLEAARAHWAFRFLHVSTDEVYGGPNDPAFTETKAYEPNSPYSASKAASDHLVRAWHHTYGLPVLTTNCSNNYGP--------YQFPEKLIPLMIVNALAGKPLPIYGDGQ--------NVRDWLYVGDHCSAIREVLARGRL---GETYNVGGWNEMANLEIVHTLCALLDHARLITYVKDRPGHDRRYAIDARKIERELGWRPAETFQSGIRKTVRWYLDNPAWIAN--- 282163443 ----NILITGGAGFVGSHLCDKYTLNGDKVICLDNFMNGSLTNIRHLIGHRGDIRNFDLLEKIMR--DVDVVFHLAAQIHVDRSVVEPKLTYDINVIGTQNVLEAARMYDVQKVIHASTSEVYGSTQYAPMDEDHPLNAPHPYGASKIAADRLCFSYINTYGMNICIMRPFNLYGPRQ-------KDTGYGGAISIFTKRVLNNMPPIIFGDGEQTRDYTYVEDIVEAYDLILHHEGRM--------GQPMNFGTGNEIKILDLARLIIKMCGKEGQIVCVEPRPGEVVRLIADISRAKSVLGWKPHYSIEMGLGKYLDWYANY--------- 258574037 MTKGSVLVAGGAGYIGSFTSLALLEAGYKVVIADNLYNSSDEVLKRPGFYNLDVTDEAAFDRVFDENDIDSVIHFAALVRVGESGERPLDYYNVNVYGTLCLLRSMVRHNVTNIVFSSSATVYGDATRIPIPEICPLGPTNPYGNTKVIAETAITDVIDAEKWNAAFLRYFNPAGAHPSGIMGEDPQGPYNLLPLLAQVAVGKREKLLVFGDDYASHDGTAIRDYIHILDLAAGHLEALNYRDHHPGVRAWNLGTGKGSTVFDIIKAFSKAVGRDLPYEIAPRRDGDVLDLTSNPSRANQELGWKATRTLEQACEDLWRWTENNPQGYRQ--- 212703877 -DSMHILVTGAAGFIGYHLCDRLLAQGHTVVGLDNLNDYYDVQLKKDRLARLDMAEREAMSALFAAEKFTHVINMAAQAGVRYSLINPMAYVDSNLVGFANLLEGCRHNGVQHFVFASSSSVYGLNTSQPFSEHNNDHPVSLYAATKKSNELMAHSYSHLYGLPCTGLRFFTVYGP----------WGRPDMALQLFAHAIMKDEPIKVFNGGRMRRDFTYIDDIVEPKPDPQWDAATPDPATSSAPWRIYNIGNNQTVELNDFIAALEDALGKKAIRDLLPMQPGDVEATWANIDALSQVTGFAPVTPLKTGIERFVAWFKEYY-------- 135387170 MKKNKILVTGGLGYIGSHTTVELINQGFQVTIVDNLSNSERFILTRPKFYEFDLTERKKTQNCFKENNFDAVIHFAALKSVSESVKKPLEYHNNNIKSLENILESMKTHSVRNIVFSSSCVVYGQPNKLPVDESAFKKAESTYAETKQISEKILEKFTNNNNISAISLRYFNPVGAHSSALIGELPKMPDNLVPFITQTAAGIRDRLVVFGDDYDTHDGTAVRDYIHIEDLSKAHIAALEYLKNKNPYEYYNVGTGKGYSVMDVISSFEKVNNIKLNYVFSERRKGDIEKIFSDNKLSKKALNWSSKKSLDDMMRSAWNW------------- 242238646 ----KFLVTGAAGFIGFHLCQSLSDAGHDVVGIDSLNSYYDRELPGFRFERMDIADSPAVAALFAAERFDRVVHLAAQAGVRYSLENPMVYAESNVIGHLNILEGCRHSGVGHLVYASSSSVYGLNSKTPFTGDSVDHPISLYAATKKSNELMAHAYSHLYGIPTTGLRFFTVYGP----------WGRPDMALFKFTRAILAGESIDIYNHGDMWRDFTYVTDIVDGVISVADLIPQRDPSWTSAPYRIYNIGNGQPVKLMDFVTALERELGIEAIKNFMPMQAGDVYQTYADTDDLFAVTGYRPRVGVEQGVRAFVEWYREYYHA------ 148657682 ----RYLITGGAGFIGSHLSDALLARGDQVVCIDNFNDYYDPTNPGYTLVEADFRDADAMDRIFAHYRPQRVAHIGAMAGPRPSMQNPALYEDVNVRGTLIVLETAARYQVDGLVLASTSSVYGLSPTPWSEESPTDRPLSFYAATKKAAEVLAYTAHRRHGIPIRIVRFFTVYGPR----------GRPDMTPHLFVDAMVAGKAITLFNGGIGV-----YRDWTYIADIVAGVIAALDMDAA---FEIFNLGHSSPVQLIDFVRTLEEVTGLRAGIVAQPLPAADPPVTFARIDKATQMLGFQPRTSLEEGLARFWEWYR----------- 119469060 ----KILVTGGAGFIGSAVIRHIIDNTQDVVNLDKLTYGNLESLKRYKFERVDICDRKAIDRVFAQHKPDAVMHLAAESHVDRSITGPAEFIQTNIVGTYNLLEAAREYWNFRFHHISTDEVYGDGELPLFTEETSYAPSSPYSASKASSDHLVRAWLRTYGFPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKDLP--------IYGKGDQIRDWLYVEDHARALYKVV---TEGIVGETYNIGGHNEKQNIEVVKSICSILDISEQITFVADRPGHDRRYAIDSSKMSAKLNWTPVETFETGLRKTVQWYLDNQTWCQNVQD 136786020 --KKKIIITGGTGYIGSHTSLALIQEGFDVVIVDDLSNSEASVLVKPQFEKIDLSNFEHAYNFLKKHSDACVINFAAFKAVGESVQKPLVYYRNNLSILINILECMQEFNMTNFIFSSSATVYGIPNQLPITEDEPTRPTSPYGNTKKIGEEILDDFSRNQPMSAISLRYFNPIGAHPSGKLGESPVGPNNLMPFITQTASGIREELKVFGKDYKTKDGTNIRDYIHVVDLAEAHVLALKRLLNKDNFEIFNIGTGIGYSVLDVITSFERTTKQKLNYSFTDRRQGDVPELFADSTKANQLLNWQAKFNLDDMTSSSWNFQ------------ 143041755 ---KKVLVTGGAGYIGSHTVVELFNAGYEPVLLDDFRNSNESVIDGLSNIKHDVCNTDYLRDYIRKHEVCGIIHFAAYKAVGESVENPLRYYQNNVEGLVSILKIVSENPEIPFVFSSSCTVYGEPQGEVSEKTPKTIPTSPYGFTKWLGEKIIDDFFSQKKLRLMSLRYFNPIGAHSSTQIGELPIGPNNLLPFITQTAAGLHEKLTIFGDSYPTIDGTCVRDYIHVVDLAEAHVKALDYLINDGCHEIVNIGTGNGSSVLQVVNAFEKVNDIKLNYEFGPNRPGDVIEIYASVDYAKKLLGWSAKKTINDAVKDAWNWEK----------- 253999156 --NNTILVTGGAGFIGANFVLDWLAAGLRVVNLDKLTYAGNLQNLQHVFVHGDIGDRALVAKLLAEHKPRAILNFAAESHVDRSIHGPEDFIQTNVVGTFHLLEEARHYWAFRFLHVSTDEVYGTAHDPAFTETKPYAPNSPYSASKAASDHLVRAYQHTYGLPTLTTNCSNNYGP--------YHFPEKLIPLCLLNALAGKPLPIYGDGQ--------QIRDWLYVKDHCSAIRRVLEAGR---VGETYNVGGWNEKANLDVVQLLCDILDSREQITFVKDRPGHDRRYAIDASKLYSELGWKPQESFETGIRKTVAWYLEHQDWVEN--- 296440415 ---KNILVTGGAGFIGSNFVKYMLETDYNIINLDVLTYGNLENLIRYTFVRGDIRDRELVDSLFTEHEIDTVVNFAAESHVDRSIEDPEIFLTTNILGTQVLLDTAKKYWVKYLQVSTDEVYGTLGKEGMFTETTPLAPNSPYSASKASADMIVRAYHETFGMPVNITRCSNNYGP---------YQFPEKLIPLMINNCLNDR-------QLPVYGDGMQIRDWLHVKD----HCTGIDTVLHKGEGEVYNIGGNNEKANIQIVKLIIKTLGKEQLIKYVQDRPGHDRRYAIDNSKIATELGWAPSYTFEVGMEETIQWYLKHDEWMNN--- 167032392 ----TILVTGGAGFIGANFVLDWLAGSDEPVVLDKLTYAGNLQTLRHIFVHGDIGDSQLVAELLKAHQPRAIVNFAAESHVDRSIHGPQAFIETNVVGTFHLLEAVRAYWAFRFLHVSTDEVYGTAGEPAFTETHQYQPNSPYSASKAASDHLVRSYHHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLMIVNALAGKPLP--------VYGDGQQIRDWLFVKDHCSAIRRVMEAGKA---GEVYNVGGWNEKPNLEIVNRVCALLDYAEQITYVTDRPGHDRRYAIDARKLERELGWKPTETFETGIRKTVAWYLDNQEWVQN--- 189460913 MSLTKVLVTGGAGFIGSNLCEYLLAHDYDVICLDNFSTGKPENIFPFLQRYGDIRNLNDCKKAVENV--DYVMHEGALGSVPRSIKDPITTNETNISGFLNMLTAARDANVKRFIYAASSSTYGDSQSLPKVEDVIGKPLSPYAITKYVNELYADVFAKTYGMECIGLRYFNVFGRRQDP-----FGAYAAVIPLFVKKFMAHESP-------VINGDGEYSRDFTYIDNVIQMNMLAMTTTNPNATNQVYNTAFGERTTLNQLVGYLKEFLSANVKIIHGPNRLGDIPHSLACIDKAKSLLGYNPQYSMRDGLKEAVKWYWKN--------- 269121691 ---KTYLVTGGAGFIGSHLVEKLLKNGNKVINIDNFSTGKIQKNDDGEIEIVDITNMEILEEIFQRNEIDTVIHLAAMAGVRPSIEKPLLYEKVNIRGTMNILELINKYNIKKFICASSSSVYGNNEKVPFSEDNVDRAISPYAATKKSCEIIGHSYHHLYDIDTIMLRFFTVYGPR--------QRPDLAIHKFTKAIIEEKEIPF--------YGDGTTQRDYTYIDDIIDGVLKSIIYEKNKNIYEIINLGESETISLRKMVKTLENEIGKKAVLKKLSMQPGDVNRTFADISKAKELIGYDPKTKFEEGIKKFVNWYRN---------- 310638534 ---KTYLVTGGAGFIGSHLASALVAQGNQVRVFDNLCTGRKKNLAHLDWIHGDVRDLAAVEKAVAGV--DVVYHQAALASVPLSIEKPLEVHDVCVTGTVNVLDAARRLGVKRVVYAASSAAYGDRPEMPKRESHTPQTLSPYAAAKLAAELYCESFAECYDLETVRLRYFNVFGPRQD------PNSPYSAVIPLFVTALLQGKTPRIFGD------GSQSRDFVYVGDVVQAN--LLAGHADGASGNVYNVATGERVSVAEMLKQICDVLDVPFMPEFCPPRAGEVMHSWADISATQRDLGYSPATPFATGLAKTVEAYRA---------- 153954716 ---KIILVTGGAGFIGSNICDKLLDLNYRVVNLDNFNSYYNPKIKEKNIERGDILNKDLLNNIFDENNVELVIHLAAMAGVRNSLKDPLEYVDVDIKGTVNLLQICVERGVKKFINASSSSVYGINHKIPFSEEDNVEQISPYAAAKRAAELFCSTYTRLHDINIACLRFFTVYGPR--------QRPEMAIHMFTKSIYEGK--------SINMFGDGSSKRDYTYIDDVVDGIVSLIDKDF---KFEVFNFGNSQTISLLDLIKTIENIVGKKAIINRVRIQKGDVPVTYADISKAKKFIGYNPMVNIKQGIKKFYDWY------------ 163758304 ----KALVTGGAGFIGSNLCRLLRSHGHDVVVIDSLLSGYRRNLDFDPDIEADIRDAKAVEAAVDGC--DVVFHLAAAVGNKRSIDDPRLDADINVMGTVTLMEAARKAGVGRVVVSSSAGIFGELKKLPISEDHPIDPDSPYGASKLFKEKFSLSYSKLYDIGVVALRYFNVYGINQRFDAYGNVIPIFAY-------KMLRGEPITVFGD------GEQTRDFVNVQDVAQANY---QAGLAEGVSGAFNLGSGTRITINKLIEMMQEVSGIKATVEYGPPRPGDVRDSLADISAARASLGFSPSADFEAGLRDYMAW------------- 301060720 -SGEKVLVTGSAGFIGFHLSSKLLDLGYHVVGLDNLNPYYDVTLKKARLEKGDIQDLEALRELFREQKITHICNLAAQAGVRHSLKDPFSYQKSNIEGFLNLLEMAREVQITNFVYASSSSVYGKNKKNPYSEDRVDNPISLYAATKKANELMAHAYSHLYEIPCTGLRFFTVYGP--------WGRPDMALFLFTDAILHNRPINVFNYG--------NMRRDFTYIDDIVAGTISAIERPV---PYEIFNLGNSDSTSLKDFIEAIESELGQKAEKNMLPMQPGDVAETSADITSSREKLGFTPKTPLKEGIRAFIAWYRKYY-------- 290955854 ------LITGGAGYIGAHVAKVMTEAGEQVVALDDLSAGVRGRLPGHPLVHGSALDGDLLKRVLAEHTVTGVVHLAARKQVGESVAQPTRYYQENVGGLAGLLEAAAGAGVERFVFSSSAAVYGNPDVDLITEETPCAPMSPYGETKLAGEWLVRAAGRAHGMATVCLRYFNVAGAAEPALADTG----VFNVIPMIFDRLTRDEAPRIFGDDYPTPDGTCVRDYIHVADLAEAHLAAARRPTGGATGLTVNIGRGEGVSVRELIDLVGEVTGDRRPALVEARRPGDAPRAVASAARAGRELGWTARRDVREMVESAWAWRL----------- 261409577 ----KLLVTGGAGFIGSNFVIYMLQQKYEIVNMDALTYAGNENNANYTFINADIANKEAVEQIFEQHQIEVVVNFAAESHVDRSILEPEVFVNTNVLGTQVLLDAAKKHNVTKFVQVSTDEVYGLGETGLFTEETPLAPNSPYSASKAGGDLLVRAYHETFGLPVNITRCSNNYGP--------LQFPEKLIPLMISRALNDEALP--------VYGDGLNIRDWLYVED----HCSAIDLVIHKGVSGEVYNIGGNNERTNVHIKILQELGKPESLISYVDDRPGHDRRYGIDPTKIMNELGWKPKHNFETGIKETIQWYLDNKEW------ 123968930 MNNNRILITGAAGFIGSALILRLLENEKTIIGVDNLNNYYDVRLKKWIFHEFHIEDKKSLDFITEKYSPSIVIHLAAQAGVRYSLDNPKSYADSNLIGFFNILEFCKENKVKNFVFASSSSVYGLNKKIPFVEDDNDHPISFYAATKKSNELMAHSYSHLYDIPTTGLRFFTVYGP----------FGRPDMAPMIFANAILNSKPINIFN------YGNLHRDFTYIDDIVNGLFGCCYKPAIKSENQIFNIGNSNPIKIDYFISMLELNFNKKAIINLMPLQPGDVKFTYADISKIQKWIGYKPKVSFEKGIREFSKWYLDFYE------- 145593353 ----KLLVTGGAGFIGSVVTRMLLDAGHEVVVLDDLRTGHRVAVPDATHVVAPLDDVAQV--VTPQAGFDAVLHLAALIAAGESMVRPELYWHTNVVGSLALLDAVRVAGVPKVVFSSTAAVYGNPVELPISETAITAPTNTYGATKLTVDMALTSAAAGNGLAAVSLRYFNVAGAHLGAPVPERHDPETHLIPIAFDVASGRREKLQLFGDDYPTVDGTCVRDYIHVTDLAHAHLLALDAAV-GGQHRIYNLGNGSGFTNRQVVEVVREVTGQPVPVVVAPRRVGDPAELVAAADLARTELGWTPKPTLHDIVSDAWAFYRDH--------- 116194810 MAVGTVLVTGGTGYIGSFTTLALLDNGYDVVIVDSLYNSSKVAVKRPAFYQVDITNEAALDEVLAKHEIDSAIHFAALKAVGESGEIPLEYYRVNVGGSISLLRCMEKHNITNIVFSSSATVYGDPNMIPIPEHCPIGPTNTYGHTKVMIETVITDHIEYEQWNGALLRYFNPCGAHPSGIMGEDPQGPYNLLPLLGKVATGEREKLLVFGDDYASKDGTAIRDYIHVLDLASGHLAALNYREHKPGVKAWNLGSGRGSTVFEMIKAFSKVVGRDLPYEVAPRRLGDVLDLTANPALANKELNWKTQLTLEDACADLWRWVENNPKGYRQE-- 50429170 ----KILVTGGAGFIGSAVVRHIINNTQDVVNVDKLTYGNLESLERYVFEHADICDAAAMARIFALHQPDAVMHLAAESHVDRSITGPAAFIETNIVGTYVLLEAARNYWSFRFHHISTDEVYGDLPHPLFTETTAYAPSSPYSASKASSDHLVRAWKRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKALP--------IYGKGDQIRDWLYVEDHARALYTVV---TEGKAGETYNIGGHNEKKNIDVVLTICDLLDKREQITYVADRPGHDRRYAIDAEKIGRELGWKPQETFESGIRKTINWYFNNNDW------ 297565547 MVGMKVLVTGGAGYIGSTIANALKDAGHTAVILDSLVTGPRVFTQGHIFYQGDIADRGTLERIFREHDIHSTIHCAALIVVPESVEKPYLYYRENVCKSLELFKNLEELGYPRVVFSSSASIYDAVPGFKVTEDSPLKPASPYARTKYMMEMVLEDLSRATRLRAIALRYFNPIGADPLYRSGIHVREPSHVLGKMVDVALGKLPEFQITGVDWPTRDGSGIRDYIHVWDLAMAHLKAVEQFDQVMEYVVINLGTGNGVTVKELVAAFERVYGREIPKREAPPRPGDVAGAYANAERAWELLHWKAERSIDEGIASALEW------------- 189205915 -----VLVTGGTGYIGSFTALALLEADYKVVIVDNLYNSSPEVVKRPAFYQCDVTDEAALDKVFEENDIDNVIHFAALKAVGESGEIPLTYYRVNVGGSIALLSSMVKHNVTNIVFSSSATVYGDPNMIPIPEHCPIGPTNVYGRTKSTIEGAIKDEKDIKKWNAALLRYFNPAGAHPSGIMGENPLGPYNLLPLLAQVAIGKREKLLVFGDDYKSKDGTAIRDYIHVLDLARGHLQALNYLRDQQPGVKWNLGTGKGSTVFEMIKAFSNVVGRDLPYEVAPRRQGDVLDLTANPTLANKELNWKTEFTLEDACADLWKWTENNPEGYDQQ-- 136149214 ----RVLVVGGAGYIGAHVCDVLVNNGYQVRIYDDFSNGLRRRVKFTDVVIGDILDRTKL--IQSLEDVDSVIHLAAKKAVGESVENPLKYYQNNVGGTLNLLAAMSAKSVKTIVFSSSAAVYAPNDKLAIEESDLTKPLSPYGETKLLSEQLISKVSGAEGISAISLRYFNVVG----SAKPEFGDNSKDNLVPKVFNALNAGKSPEIFGDDYPTKDGTCIRDYIHVGDLADAHLVALQEASNEYINEVYNVGSGTGYSVKEMMEQMARSMNLTFKPVVSDRRPGDSPQLISSIKKIEQELGWKPKATLKEMIDSSW--------------- 295695518 ----KLLVTGGAGFIGSNFVHYMLNATYRVVNLDVLTYANVEDDPRHRFVKGDICDRSLVFDLVSD-GFDVIVNFAAESHVDRSILDASPFVRTNVLGTQVLLDAVREFGVSKYIQVSTDEVYGLNGDGYFTEDTPLSPNSPYSASKASADLLVRATHHTYGIDVNITRCSNNYGP--------FQFPEKLIPLTISNALENRSIP--------VYGDGQQVRDWLHVLDHCRAIDRVIHHGR---PGEVYNIGGHNERTNLEVVKRILSLLNKPESIQHVQDRPGHDRRYAIDATKIRRELGWAPQYGFEEGLRQTIQWYLDHQEWL----- 225320663 ---KSILVTGGLGFVGSHVVVELTKSEYNPIIIDNLSNSNSIVLRKIDFIEGDINDQEILNKIFSENSIFSVIHLAGSKAVGESVRMPLKYYQNNVGGAMTLLKVMDDHGVRNFIFSSSATVYGDPVYLPIDEKHPVGCSNPYGRTKFVIETILTDLCASNSWRAISLRYFNPIGNHESGLIGEDPVGPNNLLPYVTRVCKGSLPVLPIYGQDYLTLDGTGVRDYIHVVDVAVAHVKALAVIEERIGHSFYNLGTGNPYSVLQVVESFQKVAGKQIPCEFKPRREGDVASCYADCSLSQKELNWKAQYNIDDMCESLWKWINMNPTGYQS--- 91778394 -----ILVTGGAGFIGANFVLDWLRLHDEPLVVDKLTYASRQHDPLHIFVRADICDRSALDALFAEHKPRAVLHFAAESHVDRSIHGPADFVQTNVVGTFTLLEATRQYWAFRFLHVSTDEVFGSATDPQFSETTPYAPNSPYSATKAGSDHLVRAYHHTYGLPVLTTNCSNNYGP--------YQFPEKLIPLMIASALAGKALP--------VYGDGQNVRDWLYVGDHCSAIREVLARGT---PGETYNVGGWNEKKNLEVVHTLCDLLDRHDQITYVKDRPGHDRRYAIDARKLERELGWKPAETFETGLAKTVRWYLDN-QAWSNE-- 229821973 ------LVTGGAGYIGAHVVRSFREAGIDVVVLDDLSSGHASFVPDGPFVRGTILDTALVERTLREHEVTGVVHVAAFKYAGVSVQRPLHTYAQNVTGTASVLEAMESADVDAVVFSSSAAVYGTPETDLVTESTPTAPESPYGESKLVGEWLLADAGRASGLRHTSLRYFNVVG----SASPDLPDTSPHNLFPLVMDALAEDRTPRINGTDYPTPDGTCVRDYVHVADLAASHVAAARALTEGCTLQPVYNGSGEGVSVRQIMDTVAEVTGIAFTPEVAPRRPGDPARIVADGSAAARDIDWAMRHTLREMVASAWE-------------- 296108815 -----ILVTGGAGFIGSHVVDKLIDQGYEVLVIDNLTTGNLKNLPKAKFLKHDIREALDIKDV------EAIIHHAAQINVRHSVEKPRYDADVNVLGTINLLELARKADA-KFIYASSMAVYGNPKYLPVDENHEIDPISPYGLSKYCGELYIKLYNNLYGLEYSILRYSNVYGERQN------PKGEAGVISIFISKILSGERP-------VIFGNGEQTRDFVYVGDVAKANVMALNW-----KNEVVNIGTGTEITINKLYKIIKEKMNYNEEPIYDKPRKGDVYRVYLDITRAKK-LGWEPEVSLNEGLDRVISWMRK---------- 310634614 MSYKTILVTGGAGFLGSHLCDRLIEQGNDVICVDNFFSGSKQNIAHLIGHRFELIRHDIVRPLF--VEADQVYNLACPASPKAYQFNPIKTIKTSTVGMVNVLGLAKRCS-SRVLHTSTSEVYGDPEVHPQVEEYWIGPRSCYDEGKRVAESLCMNYHLAHGLEVRIVRIFNTYGPRM--------HPDDGRVVSNFIMQALRGEPLTLYGDG------EQTRSFCYVDDLVDGLMRMMNQEETTGP---VNIGNPGEFSMKELAEAVLAVTGSSSDITHVPLPQDDPKQRCPDITKAKRILGWEPKTQLREGLEATVEYYRE---------- 284929761 ----KILVTGAAGFIGFHLSQYLLKNNNTIIGIDNLNSYYEISLKKARLDQVDIADQKHISQIFTEHQFDYVIHLAAQAGVRYSIENPYTYVDSNLTGFINILEGCRHGNIKHLVYASSSSVYGANKKIPFSSDNVDHPLSLYAATKKANELMAYTYSHLYNIPTTGLRFFTVYGP----------WGRPDMAYFLFTKSILSGQPINVFNQG------NMKRDFTYIEDIVEGIAKVIDRIPMSSKHKLYNIGNNKPVNLEYFIEVLEECLGCKAVKNYLPMQPGDVPITYADIDDLEKDIGFKPNTDLRVGLEKFVSWYQEYY-------- 20090051 ----NILVTGGAGFIGSHLIEKLLGEGNEVICLDNFDNYYDPQIKRNNVEEGDIRDKVLLEEIVQTV--DYVFHEAAQAGIRISVKEPMRPHEINATGTLNLLEATLNSNVKKIINASSSSVYGKVEYLPFDENHPNHPVSPYGVSKLLAEHYCRVFEELYGLKSVSLRYFTVYGPRMRPDLAI----------NIFTKAALKNETVAIFGDGKKT------RDFTYIDDIVRANLICM-----KKGSDVYNIGSGHSITINELASKIIEINESESEIVYTDSMKGDAEHTLSNSKKAWKEIGWKPEVTIEDGLERYAKW------------- 298209186 MSKKQILITGGAGFIGSHVVRRFVKNNYHIYNLDALTYGNLENIKDYTFVKGDITDEPFINSLFEKHQFDGVIHLAAESHVDRSITDPLAFVKTNVIGTMTLLNAAKTIWKDRFYHVSTDEVYGLGDTGLFTEDTAYDPNSPYSASKASSDHFVRAYGETYGLPYVISNCSNNYGS--------YHFPEKLIP--LFINNIIQEKPLPVYGDG------NYTRDWLFVEDHAVAIDLVFHKGKNH---ETYNIGGFNEWKNIDLVRLLCQQMDSEELITFVKDRPGHDLRYAIDASKINKELGWEPSVTFEQGLAKTIDWYLDNEKWLKN--- 241763176 ----TILVTGGAGFIGANFVLDWLATCDEPVVLDKLTYAGNLHNLRHIFVQGDIGDSALVQRLLAEHRPRAIVNFAAESHVDRSIHGPEDFIQTNVVGTFRLLEAARHYWAFRFLHVSTDEVYGTATDPAFTEDNTYEPNSPYSASKAASDHLVRAWHHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLMIVNALAGKNLP--------VYGDGMQIRDWLYVKDHCSAIRRVLQAGQL---GETYNVGGWNEKPNIDIVNTVCALLDYRAQITYVQDRPGHDRRYAIDARKIERELGWKPAETFDSGIRKTVQWYLDHPEWVAQ--- 85085481 MAVGTVLVTGGTGYIGSFTTLALLDNGYDVVIVDSLLNSSKAALKRPTFYQVDITDEAGLDDVFSKHDIDSVIHFAALKAVGESGEIPLEYYRVNVGGSITLLRSMAKHNVSNIVFSSSATVYGDPGMIPIPENCPIGPTNTYGHTKVMIETVISDHIEFEQWNGALLRYFNPCGAHPSGIMGEDPQGPYNLLPLLGKVATGERDKLLVFGDDYASKDGTAIRDYIHVLDLASGHLAALNYLREKKPGVKWNLGSGRGSTVFEMIKAFSKVVGRDLPYQVVDRRLGDVLDLTANPALANEELQWKTKLTLEDACADLWRWVENNPKGYRQD-- 298353020 ----KILITGGAGFIGSAVVRHIIKNTDTVVNIDKLTYGNLESLNRYNFEHADICDSTEITRIFEQYQPDAVMHLAAESHVDRSITGPAAFIETNIVGTYVLLEVARKYWSFRFHHISTDEVYGDLPHPLFTETTAYAPSSPYSASKASSDHLVRAWRRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKSLP--------IYGKGDQIRDWLYVEDHARALYIVV---TEGKAGETYNIGGHNEKKNLDVVFTICDLLDYREQITYVADRPGHDRRYAIDADKISRELGWKPLETFESGIRKTVEWYLANTKWVEN--- 142497063 LKRMKILITGGAGFIGSHVVKRFLKDSYDVFNLDKLTYAGNLNNLNYTFVHGDITDAKFIQNLFEQHKFKHVIHLAAESHVDRSISDPFVFAKTNILGTLNLLHSFKATHGGLFYHVSTDEVYGLGETGLFTESSPYQPNSPYAASKASSDHFVRAYAETYKLPVLISNCSNNYGPN--------QFPEKFIPVCIEAIVNNKNIP--------IYGDGNYTRDWLYVEDHAHAIKTILEH---GKIGETYNIGGLNEWKNIDLVKVLIRETDKAPLITFVKDRPGHDKRYAIDNAKIMRELHWKPEHTFEEGIAKTINWYLSNQEWID---- 83645207 ----KILVTGGAGFIGSAVVRYIINTGDSVVNVDKLTYANIENSERYEFVQADICDRAVIANVLSSYKPDAVMHLAAESHVDRSIDGPGDFIQTNIVGTYTLLEESRKYWAFRFHHISTDEVFGDLDGVLFTEDTPYAPSSPYSASKASSDHLVRAWGRTYGLPVIVTNCSNNYGP--------YHFPEKLIPLMILNALEGRPLP--------VYGKGDQIRDWLYVEDHAAALYKVV---SEGVVGETYNIGGHNEKKNIEVVQAICQLLEYQDLISFVKDRPGHDVRYAIDASKIQRELGWVPAETFESGLRKTVEWYLTN--------- 142402416 ISNLKILVTGGLGYIGSHTCVELIQQGFEVVIVDDLSNSSLSVLKTIPFFKLNLKDKNQVSKLFKVYEISGVIHFAAYKAVGESVLKPLEYYENNLGSLINVIEIEKKENDFPFIFSSSCTVYGQADKLPIDEAPIKRAESPYGKTKQFGEEILIDASGANDLKVISLRYFNPVGNHRSNEIGEISGAPQNLIPFITQTASGVYKKLSVFGDDYPTRDGTCVRDYIHVVDLAKAHVQALDRILNKNHSKNYNLGTGKGHTVMEVIKIFEKISGEKLNYEIGPRRKGDIAAAYADTRKANDVLSWKAELTLEEALISAWSWEIKNRN------- 91794014 ----KILVTGGAGFIGSSVVRYIINNTDTVINLDKLTYAGNENDERYIFEQVDICNLVDLVRVFKEHQPDAVMHLAAESHVDRSITGPSDFIQTNIVGTYTLLEAARAYWAFRFHHISTDEVYGDLPHPLFTETTSYEPSSPYSASKASSDHLVRAWHRTYGLPTLVTNCSNNYGP--------YHFPEKLIPLVILNALEGKPLP--------IYGKGDQIRDWLYVEDHARALYKVV---TEGKVGETYNIGGHNEKQNIEVVNTICSILDYAEQITFVADRPGHDRRYAIDATKIHKELNWLPEETFETGLRKTIEWYLANQNW------ 91776360 --NNTIIVTGGAGFIGGNFVLDWVKAGLTVINLDKLTYAGNEGNPNHIFVHGDIGDRALVAKLLAEHKPRAVINFAAESHVDRSIHGPEDFIQTNVVGTFHLLEEVRAYWNFRFLHVSTDEVYGTPKDQAFTETTPYAPNSPYSASKAASDHLVRAYHHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLCLLNALAGKPLPIYGDGQ--------QIRDWLFVKDHCSAIRRVLEAGQL---GETYNVGGWNEKANLDVVQTLCSILDYKDQITFVKDRPGHDRRYAIDASKLERELGWKPAETFESGIRKTVEWYLQNQAWVHN--- 135872495 ----NILITGGAGFIGSAVIRMAIKQGHRVVNLDMLTYANLNTVFDHPFEKVDIRNRSSLDDIFSKYQPEVVLHLAAESHVDRSIDGPSNFIETNIVGTFNLLQSSLTYWNFRFHHISTDEVFGVNSSIKFTETTAYDPQSPYSASKACSDHLVQAWHKTYKLPIIITNCSNNYGP--------YQFPEKLIPVIILNALNCEPLP--------VYGDGSNIRDWLHVEDHAEALLLVL---GKGTVGRRYNIGADNEHSNIDVVKTLCEILNRLDLITFVTDRPGHDKRYAVDASRIKNELGWTPKITFKDGLEKTVKWYMQNRKWWE---- 83309157 ----RVLVTGGAGFIGSALVGQLLASGHSVLTFDKLTYAGHLANLDGWFVQGDIAERAQVEAVVEQFQPTTIMHLAAESHVDRSIASAGEFVRTNVIGTFTMLEAAAAHRAFRFLHVSTDEVFGLGPDEAFDENSRYQPNSPYSATKAASDHLARAWSHTYGLPVLVSNCSNNYGPR--------QFPEKLIPLMILNAVEGKPLP--------VYGDGCQVRDWLHVEDHARALATIVERGR---PGEVYCVGGESERTNMEVVHTLCALLDHAELIRHVTDRPGHDRRYAMNIARIRAELDWKPRETFESGLAKTVEWYLAN--------- 52082470 -----VLVVGGAGYIGSHAVYTLIEKKERVVVVDSLATGHAEAVHEAAFYKGDIRDRHFLKQVFENEDIEAVMHFAASPISSKSKNVFTSFNE-NITGMETLLDVMKEYDVGRIVFASSAAVYGSPEDLPVTEETEPEPVHAHGKVKWMMEKMLMEAEKAYGLKYVILRSFNACGAHPSAIIGEDRGSETHLISNVLRTALGHLP----FVHIDQSEDGTGVRDYVHVQDLAEAHVLAINHLRKGKDSRIYNLSYGESYSAEQIILAAQYVTGIPIAAKLTETDIDSQATFAASSSRARKELGWTPQHSLIAIIRDAWNWHSANPNGYASEK- 253996733 --NNTILVTGGAGFIGSNFVLAWVKQGLTVVNLDKLTYAGNEHSAHHVFVHGDIGDQHLVAKLLAEHKPRAVVNFAAESHVDRSIHGPEDFIQTNIVGTFHLLEAVRAYWNFRFLHVSTDEVYGGKNDPAFTETTPYAPNSPYSASKASSDHLVRSYHHTYGLPTLTTNCSNNYGP--------YHFPEKLIPLVIHNALAGKPLP--------VYGNGLQVRDWLYVEDHCAAIRRVLEA---GKVGEVYNVGGWNEKTNIDIVRTLCRMLDAKQPITYVADRPGHDIRYAIDATKIATELGWKPAETFETGIEKTVNWYLAHQDWVNN--- 222528109 ME--TILVAGGAGFIGSNFVKYMIKEEYKIINYDALTYAGNENHPYYTFIKGDIVDRSKVEEVFKNYQIDYVINFAAESHVDRSIKDPDIFVKTNVLGTQVLLDVSRKFGIKKFIQISTDEVYGLGPEGYFTEESPLAPNSPYSASKAGADMLVRAYFKTYGLPVNITRCSNNFGP---------HQHPEKFIPTVILNALQNKP-------IPIYGDGQNIRDWLYVED----HCRAIELVLKKGRGEVYNIGGNNEWRNIDIAKLILKLLGKPENIQFVADRPGHDRRYAIDSSKIQKELGWKVEYKFDEAIRKTIEWYKN---------- 297625468 ------LVTGGAGYIGSHIVQAFQQAGIDPVVIDDLSSGHEDFVPGGPFVRGSVLDTGLVQRTLEEHHCEGVVHVAGFKYAGVSVTRPLHTYDQNATGTVSVLRAMRSAGVHRYVFSSSASVYGTPDVDEVTEQTALHPESPYGETKLIGEWLNHDMEPVDDWQGVNLRYFNVVGSGSDQLYDTSPHN----LFPIVFDLLLSGGTPRINGDDYPTPDGTCVRDYVHVADLARSHVAAAEQMMAGTKLEKYNLGSGTGSSVREIMDAIARVTGIAFTPEIAPRRPGDPARIVARGDKAARDIDWKMRHSLDQMVESAWNARRAHPQG------ 149375129 ----KILVTGTAGFIGSHLAHRLLDRGDEVIGVDNVNDYYDPTLKEARLERLDVADRPAMEALFREHKPERVVHLAAQAGVRYSLENPHAYIDANLVGFTNILEGCRHNGVKHLVYASSSSVYGANETMPFSHDNVDHPLSLYAASKKANELMAHTYSHLYNMPTTGLRFFTVYGP----------WGRPDMALFIFTKNILAGEPIDVFNHGHHRRDFTYIDDIIRTLDNVATPNPQWDPGTSKAPYRLYNIGSNNPVELSRFIEIIEERVGKKAEKNLLPLQPGDVPATYANVDDLIDDVGYKPSTTVEEGIANFVDWYRDFYK------- 90021776 ----KIMVTGGAGFIGSAVIRYIINQTHSVVNVDKLTYAGNLQSLVGTFEQVDICDAVALKRIFKLHEPNIVMHLAAESHVDRSIDGPSVFMQTNIIGTYTLLEQARAYWQFRFHHISTDEVFGDGELPLFTEETGYNPSSPYSASKASSDHLVKAWAKTYGLPTVITNCSNNYGP--------YHFPEKLIPLVILNAVAGKPLP--------VYGEGNQIRDWLYVEDHAEALILVA---TEGKVSETYNIGGHNEKKNIEVVHAICKILDYADQITFVADRPGHDMRYAIDATKIQKELGWQPKETFETGLRKTVLWYLQNEAWWGN--- 309780786 -----ILVTGGAGFIGGNFVLNWLADADGIVNVDKLTYAGNRKTLRHVFSQTDICDRAALDRLFAQYRPRAVVHFAAESHVDRSIHGPGEFIQTNIVGTFTLLEAARAYWAFRFLHVSTDEVFGGPTDPQFSETTPYAPNSPYSASKAASDHLVRAYHHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLMITNALSGKPLP--------VYGDGQNVRDWLYVGDHCAAIR---EALARGRLGETYNVGGWNEKTNLDVVYTLCDLLDYRDQITFVKDRPGHDRRYAIDARKLERELGWKPAETFETGLRKTVQWYLDNQAWVQD--- 239616609 MEN--IVVTGGAGFIGSHVVNELIEKNMGPIVIDNLSSGKIENLPRALFYQQDITDLEMMERVFMLHKPRYVFHLAAQISVSQSVKDPIEDARINILGTLNLLNLSVKYGVEKFIFSSTGGAIYGDDEIPTSEKEFPKPFSPYGIAKFSVENYLRFYSEQYGLKYVALRYANVYGERQD------PHGEAGVVAIFSKRMLAND-------EVIIFGDGENVRDYVYAGDVAHANVLAMEKV----ENEVINIGTGIGTSVNELFALLKEITGYQKEPVYADPRPGDLRKSILKWDKAKELMGWEPTVKLEDGLVKTVEFFR----------- 159900948 ----TYLVTGGAGFIGSHLCETLLQRGERVIAFDNFNDYYSPERKRRNVAEGDLRDPASLLALFEQHRPSHVAHLAGMANPRYSLQYPALYSAVNVEGSVNVWQAAIQYGIQAFVQASTSSVYGLAPTPWHEELATDRPLSPYAATKKASELLAYTFHYQTQIPTRVVRFFTVYGP----------KGRPDMTPTIFVEAMRKQEPIVLYN-----GGVDVYRDWTYVDDIVSGVIAVLDSDRA---FDIFNLGNSTPVMLRSFIDTLQAITGLNAIIEAKPLSSADPPITFADTSKAQQLLGWKPTIDIEDGLERYWHWYK----------- 77460292 ----KILVTGAAGFIGFHVSQALLARGDEVVGIDNLNDYYEVALKDARFIRMDIGDRTAMAELFETEGFEKVVHLAAQAGVRYSLENPHAYADSNLTGFLNVLEGCRQTHVKHLVYASSSSVYGANESMPFVQDNVDHPVSLYAATKKANELMAHSYSHLYRLPTTGLRFFTVYGP----------WGRPDMSPFLFVRAILEGKPLKVFNYGKHRRDFTYIDDIVDGVIRVLDHVAAPNAQWSGAPWRLYNIGNSQPVELLDYIKHIEQALGKTTHKELLPLQPGDVEHTYADVEQLKIDTGYAPDTPIESGIQRFVNWYKDFYN------- 28872687 ----TVLVTGAAGFIGFHVAKHLCEQGIEVVGIDNLNDYYSERMPGFVFKRLDITDATGLSTLFEHNTFEQVIHLAAQAGVRYSMEQPDAYIQSNLVGFSNVLEACRQHRPSHLIYASSSSVYGANTRLPFVEDAVDRPLSLYAATKRANELAAYSYCHLYGLRATGLRFFTVYGP----------WGRPDMALFKFTQAMLREEPVDIYN------HGEMARDFTYIDDIVESILRLRLRPPEPAGGEPFNIGRGQPVKLLEFVDCLEKALGLKAQRRYLPLQAGDVLQTWADVTALARWIDFQPHVSVDSGVSAFVEWYREHYQS------ 149177840 ---KQILVTGGCGFIGSNFIRYQLSEDISVTNLDKLTYGNLENLKEFENHKGDITDADFVNSLLNSTDFDAVINFAAESHVDRSILDSGPFIHTNIVGTQILLDAARNKNIARYVQVSTDEVYGLGAEGLFTESTPIAPNSPYSASKASADLLVRSYIKTFDFPAIITRCSNNYGP--------YQFPEKLIPLFISNALEDKSLP--------IYGEGTNVRDWIHVID----HCRGIDAALRKGKTGQIYNFGGNEMQNIEITRLLLNILDKPESIKYVTDRPGHDLRYAIDCSKAEAELGWKPETRFDTGLKDTVQWYLDNPEWVNR--- 296274096 LKSKKILVTGTAGFIGSHLAIKLLGRGDEVVGLDNINDYYDQNVKYGRFIKLNLEDKEAMMQLFEQEKFDAVCNLAAQAGVRYSLTNPAAYMDSNIIGFMNILESCRHNNVKNLSYASSSSVYGLNEELPFTNHNVDHPISLYAASKKSNELMAHTYSHLFDISTTGLRFFTVYGP----------WGRPDMALFLFTKAALEGKSIDVFNNGNMLRDFTYVDDIIDNPAKANKSWDKKEPSTSSAPYKIYNIGNNNPVKLMDFIEAIENKLGKKIEKNMLPIQAGDVPATYADVTDLVEDLNYKPETSIQEGINNFIDWYLEFFN------- 94972366 ---KKILVTGGLGYLGSHMVIELIYNGYTVVCVDNLHNSSEDIVYFIEFVKANVEDTSMMEVLFSKYTFDAVIHFAGYKSIAESLKIPLEYYQNNYNSTLTILRLCLKYNST-FIFSSSATVYGIPQYLPLTEEHPLAAINPYGKTKLHIEQIIFDVANAYPMNAFILRYFNPVGGGGKGFILGEHNAPTNVMPIICQVAAGIQKEIYIFGDDYETIDGTGVRDYIHVTDLIAGHMAALKKEENKTGCHIYNLGTGKGISVLELIHTFEKVNNISVPYCVVARRSGDVASCYADPTKAFRELNWKAQKGLEDMVYDSWLWQKN---------- 143047768 ----TILVTGGAGYIGSHFVRYLERKKIEFVIVDNFSTGHKKFVKNKKFFELDLKNPEEIRVNLQDLDITSVVHFAGLSIVSDSQKMEKEYYENNVLASMNLAKFAVEKKIRKFIYSSSAAVYGIPKEIPIKEDHPTKPINNYGKNKLEVENLLKDLSMEFPLDVVCLRYFNAAGADDDGDLGEEHNPETHLIPNVINSALNSYE-FTVNGDTYNTDDGTCIRDFIHVNDLASAHLLSLNFLDLNKGFHVFNLGSEKGFSVMEVINVCQKLMKEKIKFRIGVKRDGDPDILIADSKKSGSKLNWKEKNSLTSIISSAIKYHKN---------- 225012753 ----KILVTGGLGYIGSHVTVLLLQKGYEVISVDNLDNSSLKVLNRPFFEAFDVRNEDQMSLLFDKFEIDGVIHFAAHKAVGESVENPLKYYENNISGLVQILKPVIQKSIP-FIFSSSCTVYGQADQMPIDEESLKKAFSPYGNTKQIGEQIIADCCSAHEFNAIVLRYFNPIGAHPSSKIGEFPQGPQNLIPFLTQTVIGKRKMLKVFGSNYETPDGTCIRDYIHVMDLAQAHIESLDYLMNSKSCEVYNVGTGEGVSVLEVINAFEKVTGEKVPYELSDPRNGDIISAYADPNKINTNIGWKARYTLEESLMSAWNWEKQ---------- 146309303 ----RILITGGAGFIGSALIRHLIRHTHEVLNLDKLTYGNLESLPRYRFVRADIADSALVAQTLAEFQPDAIMHLAAESHVDRSIDGPAAFIQTNIVGTYALLESTRAYWAFRFHHISTDEVYGDLHDDLFTETTPYAPSSPYSASKAASDHLVRAWQRTYGLPVLITNCSNNYGP--------YHFPEKLIPLMILSALAGKPLP--------VYGNGQQVRDWLYVEDHARAL---LKVVCEGKVGETYNIGGHNEQKNLDVVRAICALLEYEDLITYVQDRPGHDLRYAIDAGKIERELGWVPQETFESGLRKTVQWYLDNLDW------ 143707995 ----NVLVTGGVGFIGSHVCERLLADGHTVCALDDLNDFYDPAIKQNTFVHADITQRAELDDVLGSMPFDQIIHLAARAGVRPSLEQPALYQRVNVEGTTHLLEAAREHGVQKITIASSSSVYGVNSSVPFSETDPISAISPYAASKLACEALGHVYHHVYGMDVCMLRFFTVYGPRQRPDLAIHKFAKLMQS----------GQPIAVFGDG------STSRDYTYVDDTVEGILACTEREF---GYEVINLGESQTVELSRLIELLESALGMEAQIDRQPLQPGDVPITYANIDKARRLLGYDPQTKIEDGIPRFVEWFRQNP-------- 212712549 ----------------------MIQQGIQPIILDNLHNANLEVLNRPTFYQGDVRDKAVLDAIFAQHTISSVIHFAGLKAVGESVEKPIEYYDVNVNGTLVLVQSMRQAGVKSLIFSSSATVYGEPEHTPLTEESSVNTNSPYGTSKYMVERILTDLISDSEWSISLLRYFNPVGAHNSGLMGEDPNGPNNLTPFIAQVAVGRRKELAVFGGDYATKDGTGVRDYIHVMDLADGHIAALNHMNQGSGLYIYNLGTGTGTSVLEVIAAFEKAVGKPIPYKIAERRAGDIAEYWSTPAKAERELGWKAKYSIQDMADDVWRWQSMNPNGYQS--- 144159062 ----KLLITGGAGFIGSAVARRAIAEGLAVVNVDKLTYAANPANPRYAFEHADICDATAMARIFAEHDPDAVMHLAAESHVDRSIDWPGAFIQTNIVGTYVLLETARTHWAFRFHHVSTDEVYGLGPEGLFTEATPYAPNSPYSASKAGSDHLARAWGETYGLPVLVTNCSNNYGP--------YHFPEKLIPVVILSALAGKPIP--------VYGRGENVRDWLFVEDHADALLTVLRRGRL---GETYNIGGANEARNIDLVQILCRLLDHERLITFVADRPGHDLRYAIDAGKIESELGWSPSVTLEQGLRLTVRWYLDNEAW------ 117164650 ----RIVVTGGAGFIGSHFVRQAVTGAYEVVVLDKLTYAGAEDYPGLTFVRGDICDPALVADVLTGC--DLVVHFAAESHVDRSISGSEEFIRTNVLGTQVLLDASLRAEVQKFVHVSTDEVYGSIDEGSWSEDRPLEPNSPYSASKASSDLLVRAFHRTHGLPVCVTRCSNNYGP--------YQNPEKMIPLFVTQLLDGRKVPL--------YGDGGHVRDWLHVDDHCRAIALVAEKGEA---GEVYNIGGGTELANRDLTERLLRMVGADWMVENVADRKGHDRRYSVDIAKISTELGYRPRVSFEEGLAETVEWYRK---------- 260460167 ----KVLVTGAAGFIGYHVARRLLERGDEVVGVDSINDYYDPRLKQAHFIHGNLADRTVVDGCFAEHAFDRVIHLAAQAGVRYSLENPRAYVESNIVAFTNMLEACRHSRVGHLTYASTSSVYGANTDMPFSEHRPDHPLQFYAATKRANELMAHSYSHLFGLPTTGLRFFTVYGP----------WGRPDMALFLFTRSILAGEPVKLFNNGNHTRDFTYVEDIAEGVVRASDSPAAANPAWDSGHPRIFNIGNNNPVKLAAYVEALENALGRKAIVEFLPLQAGDVPDTFADTSALQQAVGYRPGTSVSEGVGRFVEWYLAY---FGNE-- 140827864 FDHMTVLVTGGAGYIGSHMVLALLDSGHQPVILDDFSTGHEQLLPNGPVFRGNVADAALVADICKSYDIDAVAHFAASIVVPESVTDPLKYYLNNTASTAGFIAACVQAGIKRFVFSSTAAVYGNQDRNPITEDASTQPENPYGTSKLMSETILRDCARATDISYVILRYFNVAGADPTGRAGQLSEPATHLIKIAVEVAVGKRASMQVYGTDYPTPDGTCIRDYIHVSDLIGAHMVALAHLQADGESMLANCGYGHGASVRDVLDVVATLAGKKLAIADAPRRAGDAAILVA---------------------------------------- 302185812 ----TVLVTGAAGFIGFHVARRLCELGVEVVGIDNLNDYYSQRLPGFTFHRLDITDAEGLSTLFSQNTFEQVIHLAAQAGVRYSLEQPNVYAQSNLVGFINVLEACRQYRPAHLIYASSSSVYGANTRMPFVEDAVDRPLSLYAATKRANELTAYSYCHLYGLRATGLRFFTVYGP--------WGRPDMALFKFTKAMLAGQ--------PVDIYNHGEMARDFTYIDDIVESILRPPDAVGSEPPHQLFNIGRGQPVKLLEFVDCLEAALGLRAERRYLPLQAGDVLQTWADVSALSQWIDFQPQVSVDTGVRAFVDWYRAHYQA------ 283848034 MVTKKVLVTGGAGFIGGNFVQYMVKKHYEIYNLDLLTYANIEEYSNYHFIKLDIADREKVMKYFESEKFDFVVHFAAESHVDRSITDPEVFVRTNVMGTQVLLDAAKEHSVSKFLHVSTDEVYGENPNTFFTEDTPLQPNSPYSASKAASDLLVRSYHETFGLPVNITRCSNNYGP--------YHFPEKLIPLTISRVLNDQKVP--------VYGDGKNIRDWLHVLDHCSAIDLVLH---EGSNGEVYNIGGHNEKTNLEVVKTIINTLGKEDLIEFVTDRLGHDKRYAIDPSKLER-LGWKPKYNFDTGIKQTIEWYVQNKDW------ 256802255 ------LITGGAGYIGAHVVRAMTTAGEQAVVYDDLSTGITERVPDGPLVVGSTLDAERLARVLADHSVTGVVHLAAKKQVGESVDRPLHYYRENVEGLRTLLEAVTAARVPSLVFSSSAAVYGMPDVDLVTEETPCLPMSPYGETKLAGEWLVRATGRATGLSTACLRYFNVAGAAS----PELADTGVFNLVPMVFEKLTEGAPPRVFGDDYPTPDGTCVRDYIHVVDVAEAHVAAARARSSPGRELTLNIGRGEGVSVREMIEGINTVTGYHRPPTVAPRRPGDPARVVASADRAATELGWKARHDVQDMITSAWAWVRLHPEA------ 269127907 -----VLVTGAAGFIGSHLVDRLLSDGHEVIGVDDLSTGRNLR-PDIDFHRMDVCDPALVE-LAAARRPELICHLAAQVSVRSSVQDPRQDARVNVLGTVNVLEAARAAGSRKILFASSCAVYGVPEELPVPSDAPLRPASPYAASKKAGEIYVQTYRELHGLDFTILVLANVYGPRQSP-------EGEAGVVSIFTDALLAGAPTRVYGDGGNT------RDYVYVQDVVD---AFALACGELGSGMRLNVGTGEQTTDLELHSLVAEAVGAPDEPALAPPRPGDLRAMAIDPALTHKALGWFPRTKLRDGLAATAEWARQH--------- 300782936 ----RVLVTGGAGFIGSHYVRQVLTRDAEVVVLDKLTYAGNEANLRLKFVRGDICDTALVTEVMTGV--DLVVHFAAESHVDRSILGAADFVLTNVLGTQNLLQAALEAGVGKFVHVSTDEVYGSIEHGSWTEDHLLEPNSPYSASKASSDLVARSFFRTHGLPVCITRCSNNYGP--------YQFPEKVIPLFVTSLLDG--------GKVPLYGDGLNVRDWLHVDDHCHGIQLVAD---GGRPGEIYNIGGGTELTNRSLTEKLLEAVGASWDVEPVEDRKGHDRRYSVDITKISRELGYAPRVSFEDGLAATVAWYRDNRAWWE---- 225350701 ----NILLTGVAGFIGSNLLDKLLNKDHTVIGIDNLNDFYDPNNKNFIFYNIDLLNTSELKKIFENNKIDNVIHLAGYGGVRPSIENPKLYIDNNIVATLNILECMKNHKIQKLVYASSSSVYGNSKETIFKETNVSEPISPYAMTKKACEELCYTYNKLYNIKVIALRFFTVYGKRQRPDLAIS----------KFTKLILENNPIPVFGDG------STMRDYTYIEDIVSGIISAIEY--NKTNYEIINLGGGEPINLERMIKTIETVLGKKAIINRMEMQKGDVDKTVADITKARNLLNYNPSTSFENGIKKFVDWYIK---------- 84873494 ------------GYIGSHATLRLLTDNYRVTIVDNLSRGNMGAVRRLQFIYTDLGDAKAVNKMFSENAFDAVMHFAAVAYVGESTQEPLRYYHNITSNTLTVLEAMAAHNVKTLIYSSTCATYGEPDTMPIVETTPQIPINPYGKAKKMSEDIILDFSKKSNMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRCFDAASGIIPGLKVRGTDYPTADGTCVRDYIDVTDLVDAHVKALGK-AEPNKVGIYNVGTGKGRSVKEFVEACKKATGVTIKVDYLDRRPGDYAEVYSNPSKIRDELNWTAQTDLRESLATAWKWQKAHPGGYGS--- 270294210 ---KKILVTGGAGFIGSNLCEHLLENGYEVICLDNFSTGHMSNIQHFTLIEGDIRNIETC--IRASKGVDSILHEAALGSIPRSIDDPITTNDVNIGGFLNMLVAAKDNNVKRFIFAASSSTYGDSTELPKVEDRIGKPLSPYALTKYVDELYAEVFAKTYGIEYIGLRYFNVFGRRQDPN-----STYAAVIPLFIKQYLNHQQP-KINGDGLNT------RDFTYIDNVIHMNMLALNSSNQSSINQIYNTAGGEQTSINQLDYLIKDVLSSSVDPIYGPNRIGDIQHSFACIDKAKLLLGYTPLVSFKEGLIKTIEWYVNN--------- 49086616 ----TILVTGSAGFIGANFVLDWLALHDEPVVLDKLTYAGNRQNLRHTFVAGDIGDSQLVARLLAEHQPRAILNFAAESHVDRSIHGPEDFIQTNIVGTFRLLEEVRAYWAFRFLHVSTDEVYGAPSDPAFTENNRYEPNSPYSASKAASDHLVRAYHHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLVIHNALAGKPLPIYGDGQ--------QIRDWLYVKDHCSAIRRVLEAGQL---GETYNVGGWNEKANLDVVETLCAILDQEQQITFVKDRPGHDRRYAIDATRLERELGWKPAETFETGIRKTVRWYLDNQDWVAN--- 257061677 ----NILITGAAGFIGFHLSQKLLHQGATIIGIDNINSYYDETDKNFRFYQLDIANRKTISELFTQHTFDYVIHLAAQAGVRYSLENPHAYVDSNLVGFVNILEGCRHSHIKHLVYASSSSVYGANKKIPFTEDNVDHPMSLYAATKKANELMAYTYSHLYRLPTTGLRFFTVYGP----------WGRPDMAYFMFTKAILAGEPIKVFNNGKMKRDFTYIDDIVEGIIRVMKRIPNPLESELGVPYKVYNIGNNQPVELLKFIEILETCLGKKAIKNFLPMQPGDVPMTYADIDDLMKDVGFRPDTPLEIGLEKFVSWYQTYYQ------- 126178709 ----RYIVTGGAGFIGSNLAERLARDGHEVVIFDDLSAGRRENIPRVTFVEGSVTDLALL--VDACAGADGIFHQAAVASVPRSVANPLETNEVNVTGTLNVLWAAKECGVPAVVAASTSAIYGDDPVFPKCETMAPGPLSPYAVSKLAGEHYGKVFADLYGIRTVFLRYFNVFGPRQD------PNSEYAAVIPKFITRLLGDKPPIIYGD------GEQTRDFIFVGDVVRANIQAME----GGASGVFNIAGGSRISLNHLASILAEITGIHHRPVYEPPRPGDVRDSLAEITRARDAFGFSPRCTLEAGLRETVAWFRD---------- 83858997 -----VLVTGAAGFIGMHVAQRLLERGERVVGVDNYNDYYDPGLKEARMVRGDIADHAMIGALVKQHGVKRIVHLAAQAGVRYSLENPFAYERSNLAGHLSLLEAARHNGVTHMVYASSSSVYGDRDGKCFSEDDPTTPVSLYAATKRSCELLSQSYAHLYGFPLSGLRFFTVYGP--------WGRPDMAYFKFSQMMARGQAIE--------VYGEGKMARDFTYIDDIVDGVIGVLDNPPPTGGHEVYNIGDSHPVGLMDMISTLEQALGLEAEKIMRPMQPGDVTATYADVSKLRALTGYKPKVMLAEGLERFAAWWKAYY-------- 308752250 ------LVTGCAGFIGWKVSEKLLEKGIQIVGVDNLNDYYDVKLKNYRFYQLDIEDFCSLREVFKAHSFDAVINEAARAGVRYSMENPFIYMSTNAMGTLNLLELCKEFGVKKFLLASTSSLYAGQSMPFKEELPVNFPISPYAASKKSAEVIAYTYHYLYGIDVLIVRYFTVYGP----------AGRPDMSVFRFIKWVLEGKPLEIFGDG------TQSRDFTYIDDIAEGTLLAL----NSKGYEIFNLGNNNPHQLSYVIELIEKYTGKKAKVEYREFHKADMRATWADIEKAQKMLGWKPKVSLEEGIKRTVEWTLKNWDWLKD--- 260458323 ------LITGGAGYIGSHVVKAM--AGHAVVVLDDLSTGRAERLPETPLVEGSVLDREVVDRVLREHAVTGIVHIAGKKRVAESVDRPLYYYRENIDGLRVLLEAAADAGVERFVFSSSAAVYGMPDVDLVTEETPCLPLSPYGETKLAGEWMVAAAGKAHGMATISLRYFNVAGAASAELGDDG----VFNLVPMVFERLTGNEPPRVFGDDYATPDGTCVRDYIHVEDVAAAHLAAARRLQDDPSSLVLNIGRGQGVSVTEMVDVIQDVTGHEQKPVIEARRPGDPARVVAAADRIGRELGWTSRHDIRAMVASAWDWCLRHP-------- 194334317 ----KILVTGAAGFIGFHVSRRLLERGDEVVGIDNLNSYYDVALKEARLEELDLADREAMEDLFAIEKFDYVVNLAAQAGVRYSLQNPHAYIDSNIQGFINILEGCRHNHVGHLVYASSSSVYGANETMPFSHDNVDHPLSLYAATKKANELMAHTYSHLYQIPTTGLRFFTVYGP----------WGRPDMALFLFTKAIVEGKPIKVFNYGKHRRDFTFIDDIIRTLDHVAAPNPEWDPGSSRAPWRVYNIGNSKPVNLMDYIDALERELGKTAEKEFLPMQPGDVPDTYADVDQLIQDVDYQPKTPVAEGIGRFVEWYRGYY-------- 291279234 ----NILLTGAAGFIGYFTAKKLLNDGHKVIGVDNLNDYYDVRLKEYRFYKLDISNYDSLSVLFQNHKFDAIINLAARAGVRYSIENPFVYFETNSTGTLNLLELAKDYGVNKFVLASTSS-LYAGQEMPFTEDKPVNPISPYAASKKSAEVTAYTYHYFYGIDVSVVRYFTVYGP----------AGRPDMSVFRFISQIYKDEPIIVYGDG------SQSRDFTYVEDIADGTVKAL----KEIGYEIINLGNNNPNKLIDMIKYVEELLGKKAKIINKDFHKADMMATWADITKAKELLGWEPKVDLKTGLENTVNWFLDN--------- 188997300 ----KILITGGAGFIGSEFTRQAVKKGLDVVVVDKLTYAGDEVEEKITFYKADITNKEFIEYIFNKEKPNIVVHWAAESHVDRSILDPTPFIDTNVKGTQVLLDVTRDNNVKLFINIATDEVYGLGEEGQFYETTPLVPNSPYSVSKASADMLGRAYFRTYGLPLITVRPSNNYG----------WWQYPEKLIPVVILKALSNEPIPVYGQGL------NIREWLFVSDCADAVFEIIEK---GKVGEIYNVGSGQEKRNIDVVKSILKILNKPEDITFVKDRPGHDYRYSLNTDKINKEIGWKAKVNFEEGIEKTVKWYLDNLDWVNRK-- 37678484 ----KILVTGGAGFIGSAVIRHIINDTRDVINLDKLTYGNLESLHRYVFEQVDICDRTELDRVFAVYKPDAVMHLAAESHVDRSIDGPATFIQTNIVGTYNLLEASRAYWRFRFHHISTDEVYGDLEGTLFTESTSYSPSSPYSASKASSDHLVRAWQRTYGLPTLVTNCSNNYGP--------YHFPEKLIPLMILNALEGKPLP--------VYGDGMQIRDWLFVEDHARALYK---AVTEGGVGETYNIGGHNEKANIEVVKTLCALLEYESLITYVKDRPGHDVRYAIDASKIERELGWKPEETFESGIRKTVEWYLANQAWWSR--- 183597263 ----KILVTGGAGFIGSAVIRHIINNNDTVINVDKLTYAGNLESLTSICEQADICDSAAITNIFNLHQPDAIMHLAAESHVDRSIDGPSEFIQTNIIGTYTLLEAARQYWTFRFHHISTDEVYGDLESTLFTETTPYAPSSPYSASKASSDHLVRAWQRTYGLPTLVTNCSNNYGP--------FHYPEKLIPLMILNALEGKPLP--------VYGNGQQIRDWLYVEDHARALYKVV---TEGKVGETYNIGGHNEKANIDVVKTICNILEYSDLITYVTDRPGHDLRYAIDATKIKNELNWVPAETFETGLRKTVEWYLANNEWVE---- 292492255 MTQQTLLITGGAGFIGSAVVRHFIENTDRVINVDKLTYGNLESLPRYEFVHADICDGGAMAQLFAQYRPHAVLHLAAESHVDRSIDSPVDFINTNITGTYTLLETALDYWQFRFHHISTDEVFGLGETGLFHEDSPYQPNSPYAASKAASDHLVRAWHHTYGLPVLITNCSNNYGP--------YQFPEKLIPLMVLNGIEGKPLP--------VYGAGDNVRDWLYVEDHVRALQIVL---TEGQPGETYNIGGHNEQTNIEVVELICQLLDHRSLITFVSDRPGHDRRYAIDAGKIASELGWRPQETFETGLRKTLQWYLDNQKW------ 300868058 ---RRILITGGAGFIGSNFVHHWCSSDDRVVVLDALTYAGNRQTLNFRLVVGDIGDRALVDTLLQTENIDTVAHFAAESHVDRSILGPAAFVHTNVVGTFTLLEAFRHHWQSVFLHVSTDEVYGNPEDPPFTETTPYSPNSPYSASKAGSDHLARAYFHTYGVPTIITNCSNNYGP--------YHFPEKLIPLMCINMLLGKPLP--------VYGDGQNVRDWLYVIDHCRALDCAIHR---GKPGETYNIGGNNEVKNLDLVRMLCQLMDCEQLITFVKDRPGHDRRYAIDATKIKEELGWSPSVTVKEGLRLTVEWYLAHRDWLSEEYQ 296270880 ----RALVTGGAGFIGSNLVDRLLADGHEVLVVDDLSSGRHR-NPAAELHEIDVRDPA-LQDLAARWRPEVICHLAAQISVRKSVADPVHDARVNVEGTINVLEAARRSGARKVVYASSVAVYGRPKELPVPGDATPDPRSPYAASKLGGELYLATYRALYGLEYTTLVLSNVYGPRQS------PEGEAGVVAIFTDALLNGKPT-------VVYGDGTQTRDYVFVADVVD---AFARACGPDGDGRRFNIGTGVQTTDRELHSLVAAAAGVPDEPRFAPPRLGDLPAMAVDPGPAFDGLGWRPRYDLPTGIKITVDW------------- 86130457 LEGKMILVTGGAGFIGSNICEVLIKNGAIVTCLDNLATGHRHNLDAIIDHEGDIRDIETCKKAVS--QSDYVLHQAALGSVPRSIKDPATSNEVNVGGFLNMLIASKDKGVKRFVYAASSSTYGDSQGLPKQEDIIGKPLSPYAITKYVNELYADVFHKTYGIDCIGLRYFNVFGRKQD------PNGAYAAVIPKFTKLLMNQESPVINGD------GSYSRDFTYIDNVIEMNIRAMLSKNKQALNTVYNVAYGERIDLTELIKILKKELSSNVEVIFGPNRVGDVPHSLADINKAKKLLGYNPEYSVRQGLVEAVKWYYDN--------- 307104877 ---QHILVTGGLGFIGSHTALSLLEAGYGVTILDDLSNSYEECAARLDFVKADVRDKGQVLPVFRSRRIDAVIHFAAKKSVGESVADPLKYYDHNVGGTVALLQAMQEAGCHQLVFSSSACVYGEPEKVPIDESAPLHALNPYGRTKAMEEVMGDVAAADPRWCILLLRYFNPVGAHPSGRIGEHPQMPTNLMPCI---------TEAVYGTDYPTRDGTALRDYIHVMDLAEGHVAALRRLFAAPDCKPINLGTGTGQTVMEMIKAFEEASGRSVPRELAGRRAGDAAAVYASPQLAEAELGWRARRSLHDMCADHWRWTVANPQGF----- 124485732 ------LITGGAGFIASHIAEELIRKNHDVTLLDDMSAGSTKNIPDAEFIKGSVTDRPLLAEICKTHSFEGIFHLAAVASVQKSIEDPLLVHEVNATGTLNILNAAKEHGIRKVVLSASAAAYGDNPVFPKREDMLPEPLSPYAVSKITAEMYCRNFADLFGVETTALRYFNVFGPRQD------PNAEYAAVIPKFTERIVHDKKPVIFGD------GNQTRDFVFVKDVVLANMLAM----NSHTCGTFNIGTGIQTSLNDLAGMIMRAAGISCDIIYEAPRPGDIRYSVADISKAKPELGYAPKYSIEDGIKETVEYFRD---------- 297156030 ------LITGGAGYIGAHVVRVMAEAGERVAVLDDLSSGVTERLPQGVRVQGSTLDRELLDRTFADLGVTGVVHLAAKKQVGESVEQPLRYYRENVHGLTVLLEAVVAAGIGSFLFSSSAAVYGMPDVELVTEDTPCRPINPYGETKLAGEWLVRASGAAHSISTACLRYFNVAGAAR----PELADTGVSNIIPMMFERITRGEAPRIFGDDYPTPDGSCIRDYIHVEDLASAHLAAARRLAERPGGGDVNIGTGQGVSVREMAQLIGEVTGRPEAPVVEARRAGDPARVVGATELIAKELGWSARHGVAAMVRSAWEWCLHHPEAVRR--- 261880328 ----KILVTGAAGFIGAALVKALIGKQHEVVGIDNINSYYDPALKYARLADVDLTDRTAMKALFASEGFDIVFNLAGQAGVRYSIENPFAYVESNIFGFLNILESCRHHPVKHLVYASSSSIYGMNNRVPYSETDMTDPVSLYAATKKSNELMAHAYSKLYGIPATGLRFFTVYGP----------WGRPDMAPSLFMKSVLDDTPIHVFNHGDM------QRDFTYIDDVVNAMLLVAGHPSGETPHTVYNVGHSSPVALMDFIRVIEEETGRKARLKMEPMQPGDVSCTYADISRLQHDFGFVPQVSVQQGIHAFYQWYKDYTR------- 300114910 MIQQPLLITGGAGFIGSAVVRHFLAATDRVINVDKLTYGNPESLPRYEFVHADICQRESMAQIFARYQPEAVLHLAAESHVDRSIDSPAAFIDTNVTGTYILLEAALEYWRFRFHHVSTDEVFGLGATGLFREDSPYQPNSPYAASKAASDHLVRAWHHTYGLPVLITNCSNNYGPR--------QFPEKLIPLMVLNGIEGKSLP--------VYGAGDNVRDWLYVEDHVRALEIVLDKGR---PGETYNIGGHNEKTNVEVVELICQLLDELFSITFVADRPGHDRRYAIDAGKIARELGWRPRETFETGLRKTVQWYLDNQNW------ 194336804 ----NILVTGAAGFIGFHVCKRLLERGERVTGVDNLNDYYDVTLKEARFVKADISDRAAMEELFGKGKFDGVINLAAQAGVRYSIQNPHSYVESNIVGFLNILEGCRHNDVKHLVYASSSSIYGSNETMPFSHDNVDHPLSLYAASKKANELMAHTYSHLYNLPTTGLRFFTVYGP----------WGRPDMALFLFTNAILNNKPIQVFNYGKHRRDFTFIDDILRTLDHVDWSGLTPDPGTSKAPWRVYNIGNSNPVELMDYIKALEEQLGRTAIKEFLPLQPGDVPDTYADVDQLMQDVHYKPETTVPEGIRRFVAWYREYY-------- 300717503 ----KILITGGAGFIGSAVVRHIIQDTQDVINVDKLTYGNLESIKRYQFFQLDICDGAGLSKVMNETQPDAIMHLAAESHVDRSITGPADFVQTNVVGTYTLLEATRQYWAFRFHHISTDEVYGDGELPLFTETTPYAPSSPYSSTKAASDHLVRAWGRTYKLPVIITNCSNNYGP--------YHFPEKLIP--LIIINALEKKPLPVYGKGD------QIRDWLYVEDHARALYKVV---TEAEVGTTYNIGGHNEKQNLEVVQTVCALLDYADLITYVNDRPGHDRRYAIDSSKIQKDLGWKPQETFESGLRKTVQWYLTNNEWIDN--- 33861765 ----NILITGGAGYLGSHACLNLLEAGYGIYIVDSLENSSFESISRIKFFKADITNFEKLKIIFEHAKIIAVLHFAGLKAVSESEENPIKYWEYNVSGSINLFKVMELYDCKNIVFSSSAAVYGNNQNCPIKEDSSLIPSNVYGKTKKTIEEILTDLHFRKNWGVAILRYFNPVGAHHSGIIGENPINKNNLFPIICEVAKDNNKKLNIFGKDWPTRDGTCLRDYVHVEDIIDGHEKALRLLLNSKHNIKVNLGTGKGTTVLELINTFKKVNDIKIEYEFSERRIGDCGVLFADSRLSNSILNWKARKTLDDICRDGWRWGLKNPYGY----- 143964543 -----IIVTGAAGFIGSNFVLWLNNNNEKVIALDKLTYGNLENLKNYVFEQGDIGDSELVAKILKEHQPRAIVNFAAESHVDRSIHGPDDFIQTNILGTYRLLEEARSFFNFRFLHVSTDEVYGTSSDLAFKETNQYEPNSPYSASKAASDHLVRAWHHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLCILKALQGQSLPIYGDGQ--------QIRDWLYVVDHCRGIATVLEKGV---VGETYNIGGWNEKANLEVVHTLCDLLDYQEQITFVKDRPGHDRRYAIDATKISNELGWKPEETFETGIRKTVQWYLDNQDWVAN--- 144022311 ---KNIIITGGAGFIGSHVVRLFVNKEYRIINVDVLTYAGNENSPNYTFERVDIVDAEAVDTLFETYKPEGVIHLAAESHVDRSIKDPLAFVRTNILGTVNLLNSFKRIHGKRFYHISTDEVYGTGREGLFEETTPYDPNSPYSASKASSDHFVRAYGETYGIPYVVTNCSNNYG----------QNQFPEKLIPLFINNIVEEKPLPVYGDGQYT------RDWLYVVDHARAIDLV---YHEGKHGETYNIGGFNEWTNLDLIKVLCAQMDEKLNITYVKDRPGHDRRYAIDATKINKELGWAPSVTFEEGLAITIDWYLSNSDWLKN--- 136517942 ---KNILITGGAGYIGSHVAEILIKNKKRVIILDNLSTGFKRLIKKAEFFKADIKNTKKINQILNKYKIDAVIHLAANLVIGEGQKYPKKYFNNNVLGTKSVLEALKNTKVKNFIFSSTAAVYKDGLYR-VKETSPIRPKSVYGKTKLKAEKLIQSYCKKLKINFGILRYFNIVGASPSNKIGLINKNDHLF-KNFATETFKKKPILKVYGSNYNTHDGSCIRDFIHVSDIAEIHLKVLEKINKVNQSKILNCGYNKGTSVYEVAKEFKKQSKKDVKIINLPKRKGDLAKIIADNKKLHKFIKWKPKYNLKTMVKSSIKWEKKLKR------- 293375671 MEGMKLLVTGGAGFIGSNFINYRLKKKDTVVNLDALTYAGNESNLNYQFVKGDITDREFIFSLFEKEQFDVVVNFAAESHVDRSITQPDLFLKTNILGTQVLMDASREYKVSRFHQVSTDEVYGDRPDLLFTESSPLHTSSPYSASKASADLLVLAYYRTFGLPVTISRCSNNYGP--------YQFPEKLIPLMIRCGLKGEKLP--------VYGTGCQVRDWLHVED----HCQAIDLILQKGRGEVYNVGGHNERTNLEVVMTIMRALNSENQLSFVEDRLGHDQRYAIDPTKIQTELGWYPVHSFDDGIQETIEWYLSHQDW------ 56476329 ----KVLITGAAGFIGMHVCQVLLARGDEVVGLDNLNDYYDPRLKEDRFIKLDVADRDAMERLFAAERFERVVHLAAQAGVRYSLQNPHAYVDSNLVGFMNVLEGCRHGGVRHLVYASSSSVYGGNTKMPFSEHDVDHPVSIYAATKKANELMAHTYSHLYGLPTTGLRFFTVYGP----------WGRPDMALFLFTRAILEGRPIDVFNHGRMMRDFTYIDDIVEGVDRVAEPDPGFDALQPNAPYRVFNIGNHDPVELMAFIEAIEDAIGRKAEKNFLPLQDGDVPATYADTAELNAWTGFKPGTSVRDGVGQFVAWYRDYYRS------ 256840540 --KKKILVAGGTGYIGSHTTVELQNAGYEVVIIDDLSNSNIEVLIRPEFIQLDLKDKEGTRKALEAHGIKGIILFAASKAVGESVQQPLKYYRNNIVTLVNLLEMMPEFNIEGIVFSSSCTVYGDPENLPVTENAPIKPMSPYGNTKQINEEIIRDTIHAAPFKSIILRYFNPIGAHPSAEIGELPNGPQNLIPYLTQTAMGIRKELSVFGDDYDTADGSCIRDYINVVDLAKAHVIAMDRMLENKSDEHIEIGTGNGVSVLELINTFEQTTGVKVPHKIVGRREGDIEKVWANPEHANKVLGWTAKETLADTLQSAWNWQKR---------- 86144027 ----KILITGGAGFIGSHVVRRLNYPNYEIYNLDALTYAGNENQANYHFVKGDITDAAFIDQLFQEQQFDGVVHLAAESHVDRSIKDPLAFVKTNVLGTMNLLNATKAIWEHRFYHVSTDEVYGLGAEGLFTETTAYDPNSPYSASKASSDHFVRAYGETYGLPYVITNCSNNYGPN--------HFPEKLIPLFINNIINNKPLP--------VYGDGNYTRDWLYVIDHARA----IDLVFHKGEAETYNIGGFNEWKNIDLVKLLCEQMDSEQLITFVKDRPGHDLRYAIDASKINKELGWEPSVTFEEGLKQTIDWYFDNPEWL----- 282873623 ---KKYLVTGGAGYVGSVVAQHLLEAGHTVTVLDDLSTGFRAGVPAGAFIEGRIQDAA--RHLDPSY--DGVLHFAAFSQVGESVLNPEKYWVNNVGGTTALLAAMREHGVRTLVFSSTAATYGEPVSSPITETDPTAPTSPYGASKLAVDHMISGEATAHGLAAVSLRYFNVAGA--YGSCGERHDPESHLIPLVLQVALGKRESINVYGDDYPTPDGTCVRDYIHVA-DLAEAHLLALTAAAPGEHLICNLGNGNGFSVREVIETVRKVTGHPVPETAAPRRGGDPAVLVASAATATERLGWQPSRDLAGIIADAWRFARR---------- 116329232 ----RLLITGGAGYIGSHIVALLLEKKHEILIVDNLEKGNEANLFSGPEIQGNIQDDFVLEKAFSK-PIDAVFHFAAWKAAGESMTNPSKYALNNINGTLKLLTYMEKAGTKKFIFSSSAAVYGSPQYLPIDEKHPVHPENYYGYTKLAIEQNLKWYESLKGFNFAALRYFNAAGYDPEGRVRGLEKTPANLLPIIMETAAGMRNEFEVFGTDYETPDGSCIRDYIHVTDLAKAHVLSLEYLDSEKKSLTVNLGSEKGYSVLEVIRLAEEVVGRQISHKISGRRAGDPAKLLASSATAQRLLKWAPEYSAKTLLKTMWNVY-QNP-------- 136293797 MNKANILVTGGLGYIGSHTSVELINNSYNVTIIDSLINSEKFILKKIPFYEFDITNYKKTSECFKENNFDFVIHFAALKSVNESVKYPDKYMKNNLDSLKNILKCIESQNLSGIVFSSSCTVYGQPDNLPVNENSFKKPESPYAETKQICEKLIEKFSDEKRKHGISLRYFNPVGAHNSSLIGELPRGPDNLIPYITQSAIGKRKKLKVFGKDYNTSDGTPVRDYIHVVDLANAHVQALNRLIEKKSYEFFNVGTGKGHSVLEVIKSFEKVNNIKLPYEIVSRREGDIEKIYSDTNLSRNELNWKAERNLDEMMKSAWKWEK----------- 256810425 ------LVTGGAGFIGSHIVDKLIENNYDVIILDNLTTGNKANVPKAEFVNADIRDKT-LDEKINFKDVDVVFHQAAQINVRNSVENPMYDGDVNILGTINILEMMRKYDIDKIIFASSGAVYGEPNYLPVDESHTINPLSPYGLSKYVGEEYIKLYNRLYGLEYAILRYANVYGERQD------PKGEAGVISIFIDRMLKNESP-------VIFGDGNQTRDFVYVGDVAKANLMALNW-----KNEIVNIGTEKETSVNELFNIIKNEIGFKGNAIYGRAREGEVYRIYLDIKKAKS-LGWRPEVDLKEGIKRVVDWMKN---------- 92118531 ----RVLVTGGAGFIGSAVCRRLLGYGTAVVNIDKLTYAANLRSLDAAFEQVDICDRADVEGVFAKYEPDATIHLAAESHVDRSITGPSAFINTNVVGTYNMLEAARKYYDFRFVHVSTDEVYGLGAAGLFCEETSYRPSSPYSASKAASDHLAHAWFKTYELPVIISNCSNNYGP--------YQFPEKLIPLAILNAIECKPLP--------IYGRGRNVRDWLYVDDHADGLIALLRC---GSPGEKYNFGGNSERTNLEVVELICDTLDCMMPIRFVADRPGHDLRYAIDASKARRELGWAPKGTFEKGIEQTVHWYLDNRSWWA---- 142817202 ----KILVTGGAGFIGSAVVRHIIQNNDSVLNLDKLTYGNLESLARYAFEQVDICNRAELDRVFAQHQPDAVMHLAAESHVDRSITGPADFIETNIVGTYMLLEAARAYWAFRFHHISTDEVYGDLPHPLFTETTPYAPSSPYSASKASSDHLVRAWRRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALDGKPLPL--------YGKGDQIRDWLYVEDHARALYKVVTTGL---VGETYNIGGHNEKQNLEVVHTICDLLDYRDQITYVADRPGHDRRYAIDATKMSCELAWQPQETFESGIRKTVQWYLDN--------- 239813761 -----ILVTGGAGFIGANFVLDWLAQSDEPVVLDKLTYGNLETLPRHIFVQGDIGDSALVDRLLAEHKPRAIVNFAAESHVDRSIHGPEDFVQTNVLGTFRLLESVRGHWAFRFLHVSTDEVYGSKTDPAFTEENKYEPNSPYSASKAASDHLVRAWHHTYGLPVLTTNCSNNYGP--------FHFPEKLIPLMIVNALAGKPLP--------VYGDGMQVRDWLYVKDHCSAIRRVLEAGRL---GETYNVGGWNEKPNIEIVNTVCALLDYKEQISYVTDRPGHDRRYAIDARKLERELGWKPAETFDSGIRKTVEWYLAHGEWVRN--- 227530682 ----KVLITGGAGFIGSFLAHKLVKLGRDVTIVDNLSMGRKENIIDVTFYQHDVCDHQFMHELLTKREFDYIYYLAAVSSVADSVERPLETHEVNQESVVDTLEYIRKQNIKRFLFTSSAAVYGNLPDFPKQEDSHVQPLTPYAIDKYASERFTIDYGNLYGLPTTAVRFFNVYGPRQN------PDSPYSGVLSIITKCLKENKPFTLYGD------GSQTRDFVYVDDVVNALMTI---SKQSNEPKVYNVANGGETPLIDVIHTYEKISGKQIKIKFAESRNGDINKSKADISRLKEI-GFEPHWSLEDGLKNYWDYYAK---------- 164659131 -EKKRVLIPGGAGYIGSHVALVLNTRKFRVTIIDNFHNSKPEAVHRIEEVMADLRNPRAVENVFEHDRIHSVILIGALKAVGESAEIPIDYYNVNVGGLLNLLSAMDKYDARRLVYSSSATVYGAPETIPIPETTPMDPHSPYGRSKQICEMIIRDVCASNPWRAITLRYFNPAGAHPSGRMGEDPRGKPGNLLPLLAQMAVGKYREKVFGNDYPTPDGTCVRDYIHILDLAEGHLNAMVALDDDGKCRAFNLGKGVGMSVLSMIEAMRKVTGYEFPYEIVARRPGDVPDLTANPALAERELGFKATRSLDDMCSDLWNWQKLNPNGYD---- 282898250 ----KILVTGAAGFIGFHLTNYLLRQGETVIGIDNLNNYYDVSLKQARLEQVELADQQGIDKLFTEHEFDAVVNLAAQAGVRYSLKNPHAYINSNIVGFTNILESCRHHQVKHLVFASSSSVYGANTKVPFTHDNVDHPISLYAATKKANELMAHTYSHLYGLPTTGLRFFTVYGP----------WGRPDMALFLFTKAILSGEPIEVFNYGKMKRDFTYIDDIVEGIVRVSWSGDDPNPGSSKAPYKIYNIGNNNPVELSRFIEVIEECLGIKAKKNMLPLQPGDVTMTYADVDDLIQDVGFKPATPIEVGVKRFVEWYRDYYQ------- 114777522 -KSCKVLITGAAGFIGMHLAKRLLQRGDMVVGLDNMNDYYDVSLKEARFVKMDLADRAGMEKLFVESSFDAVVNLAAQAGVRYSLKNPHAYIDSNIVGFTNILEGCRHTGVKHLVYASSSSVYGANESMPFSHDNVDHPISLYAATKKANELMAHTYSHLYGLPTTGLRFFTVYGP----------WGRPDMALFLFTKAILESKPIDVFNHGDMKRDFTYVDDIVEGVVRVQWDGAHPDPCSSRAPWRVYNIGNSVPVGLMAYIEALEEALGMTAEKNFLPLQAGDVPATWADVDELAKDVGYRPSMSVQEGVKRFVQWYRDYY-------- 120436383 ----NILITGGAGFIGSHVVRLLVNEEYSIFNLDALTYGNLENLKDIESERADINNAQEISDLFKKYKFTKVIHLAAESHVDRSISDPLIFVKTNVIGTMNLLNAALENWKNLFYHISTDEVYGTGDTGLFTETTAYDPNSPYSASKASSDHFVRAYGETYGLPYIISNCSNNYGPNQFP----------EKLIPLFINNIVKKKALPVYGDG------NYTRDWLYVKDHAIAIDLLLHQGKIK---ETYNIGGFNEWKNIELVQLLCKIMDRKLNITYVKDRPGHDKRYAIDASKINEELGWKPSVTFEEGLEKTIDWYLSHEEWLDN--- 289706571 ----RVLVTGGAGYLGSHTVLTLLEAGHDVLVLDSLVTATTTSLERVRLHVADIRRPEDYRGALADFGPAALVHFAGLKSPTESMTRPAAYYDVNVGGTAAVAEAALAAGARTVLFSSSATVYGDRAPVPVAEDAATDPVSPYGHSKLMAEQVLRDVCATDGGGLAMLRYFNPVGAHPSGRLGEDPSGPANLMPFVARVASGAYPELRVFGADFDTRDGSAVRDFIHVMDLAEAHVAGLEWVANGGGTRVWNIGRGEGVTVFEMVRAFEAVTGGRIPYRVVERRPGDIAESCAAVDAIGAEVGWHARRDVTAMVRDLWAWQEANPAGFSSRTE 134300866 MASKKILVTGGAGFIGSNFVKLILNKEYKIINVDLLTYGNLENLKEVCFIKADIRDREIIDHIFSRY-IDSVVNFAAESHVDRSIEEPEVFLTTNVIGTQVLLDTAKKYWKIKFIQVSTDEVYGLGAEGMFVETMPLMPNSPYSASKASADMIVRAYHKTYSLPINITRCSNNYGP---------YQFPEKLIPLIINNCLKGK-------ELPVYGDGMQIRDWLHVSDHCSAIDAVLHKGV---DGEVYNVGGNNEKANIEIVKLIIKTLGKEDLIKYVKDRPGHDRRYAIDSTKITSQLGWKPTYSFEQGMKETIEWYLKNTDWIKN--- 218511482 ----RLLVTGGAGFIGSHFVRTLLDGGYRVTVLDKLTYGNRENLPAAHFVRGDVCDEALLRSLLPGH--DAVVHFAAESHVDRSVHSAAEFVRTNVGGTQTLLDACLATGVGRVVHVSTDEVYGSIDEGAWTEERPLLPNSPYAASKASSDLIARAYWRTHGLDVSITRCSNNYGP---------HQHPEKLIPLFVTNLLEGER-------VPLYGDGRNVREWLHVDDHCRAIALVLDRGRS---GEVYNVGGGNEQTNLHITERLLALCGAASAVRRVADRKGHDLRYALDETKIREELGYAPRIPFEQGLADTVAWYRDNPGWWK---- 94264686 ----KILITGSAGFIGNALALRLLERGDEVIGVDNVNAYYDPTLKESREERVSLEDRPAMERVFRQHQPQRVVNLAAQAGVRYSLENPHSYVDANIVGFTNILEGCRHHGVEHLVFASSSSVYGANTEMPFSVHHNDHPLSLYAASKKAGELMAHTYAHLYRLPVTGLRFFTVYGP----------WGRPDMALFLFTQKILAGEPIDVFNYGNHRRDFTYIDDIVEAEPNPDWNGATPDPCTSTAPYRLYNIGAHRPVELMHYIEVLEENLGRKAEKNLLPLQPGDVPDTYADVADLKADVGYEPTTPVEEGVARFVEWYLEYYR------- 302378496 ----KYLVTGAAGFIGFHLIKKLIQQGETVVGIDNLNDYYDVALKEARFSFIDLADREKIAQLFEAEKFDRVIHLAAQAGVRYSLINPFSYADSNLTGFLTILEGCRHNNVKHLVYASSSSVYGLNDELPFPHDQANHPVSLYAATKKANELMAHSYSHLYGIPTTGLRFFTVYGP----------WGRPDMALFKFTKAIINNQPIDIYNHGEMKRDFTYVEDIVEGVTRIADVIPTAQQDWKSAPYKVYNIGNGSPVNLMDYISALEIHLGKKADKNMLPMQPGDVYTTWADTEDLFKATGYKPQTSVDEGVKQFVDWYKNYYQ------- 187779689 ----RVLVTGGYGFIGSHVVERFAKEGYEVFIIDNMSSGKLENVNCHKFYEFDVEDKR-CEFVFKNNNFDIVVHLAAQINVITSLEDPFLDTKTNILGLVNMLELSTKYKVKKFIFASSAAIYGNNENIPLTEKEIAEPLSPYGISKYVGEGYCKKWNEIYSLDTICFRFSNVYGPRQ-GIIGEGGVVSIFMDNITKDQEITLN------------GDGEQTRDFIYVSDLTDALFKAAESNISFG----VYNLSTNSRSSLNNLIKILNNLKKIKGIIKKEDRKGDIKHSSLDNTKIKKALGWIPMVSLEQGIKNTFDWYSTNYKVEENNTE 307153971 ----TILITGGAGFIGSNFVHHWCNNNDRVIVLDALTYAGNESLENFRFVQGNIGDRSLIDSLLRAENVDTVAHFAAESHVDRSILGPDAFIQTNVVGTFTLLDSFRHYWTYRFLHVSTDEVYGGPDDPAFTETTPYAPNSPYSASKAASDHLARAYFHTYKVPTIITNCSNNYGP--------YHFPEKLIPLMCINILLGKPLP--------VYGDGQNIRDWLFVLD----HCKALDIVIHKGPGETYNVGGNNEVKNIDLVTLLCELMDSKQLITFVKDRPGHDRRYAIDATKIKTELGWTPEVTVEEGLRKTIQWFLNNREWLSQEYQ 302039443 -----VLVTGAAGFIGSHVSRRLLDRGDTVLGLDNLNDYYDVRLKEARFVKLDLSDRAGMAALFEQHAIRRVVHLAAQAGVRYSLVNPHAYTASNVDGFLNILEGCRHHKAEHLVYASTSSVYGGHTKMPFSHDNVDHPVSLYAATKKANELMAHCYAHLYRFPITGLRFFTVYGP----------WGRPDMALFLFTKAILEGKPIDVFN------HGKMQRDFTYVDDIAEGVLRTLDRPAQSAPYRLYNIGNHQPVELLRFIEVLEQTLGMKAQKNFLPLQAGDVPATYADVADLMRDTGFKPATSIETGIARFVEWYREYYKA------ 144901295 -----ILVTGAAGFIGSALCRQLTARGDEVIALDRLGYAACLHSLQAVFIQADIRDGTALDAVFARHRPRAVFHLAAETHVDRSIDTPIEFIEHNMVGTFQLLEATRRFWDFRFVHVSTDEVFGDADEPAFTASSPYRPNSPYSASKAAADHLARAWMATYGLPVIVTNCSNNYGP--------WQFPEKLMPLVIQKALAGHPLPL--------YGDGGNRRDWLYVDDHAAGLMAALDHGEPGG---TYLFGSGREHSNLEVVKAICAQLDYARLIQFVTDRPGHDRRYGIDPSHARRALDWQPRTDFADGINATIRWCLDHPHWANRDY- 167763490 ----KILVTGAAGFIGSYVSKHLLAYGNEVIGLDNINDYYDINLKYGRFIRMNLEDTQAMQMLFANEGFECVCNLAAQAGVRYSIQNPYAYIESNVDGFLNVLEGCRHNKVRHFVYASSSSVYGLNGKVPFSEDDSIHPVSLYAASKKSNELMAHAYSHLYNIPSTGLRFFTVYGP----------WGRPDMSPFLFADAILNHRPIKVFNNGDMLRDFTYIDDIVEGVLKVITHIPTADATWNPESPSPYNIGNSQPVKLMDFIQAIENAIGEEADKIYLPMQPGDVYQTYADTSRLENELGFKPHKDLNEGVKETISWYRNFYK------- 144189182 ------LVTGGAGYIGSHVIRALRGAGFSPVVIDDLSHGLADRVPDDPLIRARIQDKPALAGAITEYGVTGVIHLAALKAAGESVQIPLTYYAENVGGMIDLLDVMHETGARNLVYSSSAAVYGTPATNPVTEDEPLTPENPYGETKVVGEWMARDAGIAWDLSWVALRYFNVAGAGSD----ELGDNSVNNLVPMVFAALDRNRRPQIFGDDYPTPDGTCIRDYIHVADLAEAHVAAAKRCEEHQAADVFNVGRGQGSSVREVMDVISATIGRDIDPEVAPRRPGDPPATFAATDHARAELNWVARRDLAQMVDSAWR-------------- 135468053 --NSKILITGGLGYIGSHISHLLNDR---AIIIDNMSNSCLNFKNILPKAKIYVNDKKNLEEIFEMNEIDSVIHMASLKSVPKSKINPLEYYENNVVSTLDLLKSMKTYKINKLIFSSSATVYSEKNKCPFKEDDILESTNPYGNTKLVIERLIDDFSISNNFKAISLRYFNPIGADTDAKLSDAPLGDPENLMPILIENVKQDSVFSVYGNDYNTKDGTCIRDFIHVQDLANGHIKALNKINLIEGHEKINLGLGKGISVLELIKIFESIIGKRIKHKFVKRRDGDTEKSVANINKALDLLNWKPKYDYKDMIKSAWD-------------- 307138702 ----KFLVTGAAGFIGFHIAQRLLNEGHDVVGIDNMNDYYDVSLKQAHFQQLDLADREGMAKLFATEQFDRVIHLAAQAGVRYSLENPYAYADANLMGYLNILEGCRHTKVKHLVYASSSSVYGLNRKMPFTEDSVDHPVSLYAATKKANELMAHTYSHLYGIPTTGLRFFTVYGP----------WGRPDMALFKFTKAMLEGKSIDVYNYGKMKRDFTYIDDIVEAVVRVQDVIPQANADWTSAPYRVYNIGNSSPVELMDYITALEEALGMEAKKNMMPIQPGDVLDTSADTQPLYDLVGFKPQTSVKDGVKNFVEWYKDYYQ------- 227549770 ----KVLVTGGAGYIGSTVASCCADSGITPVILDDYSTGLRTYAQRFAHYEGDIADRALLDRIVDKHDIEAVIHCAAKIVVPESVEKPLYYYDNNVARAISLIDALSARGIEKFLLSSTAAMYEPGDDFMVSETSTVNPTSPYAASKWMLERVLADAAAAGAIRAVALRYFNPIGADP-QMRTGLQNPAPSHALGKMITAQQSGQPFHVTGVDWPTRDGSGLRDYVHVWDLARAHVAALKSTL--ADYEVINLGTGTGTTVFELAEAVGEVTGSPLDIRPAPRRDGDVVGSAARTDKAARVLGWETQYSLADGVRHSLEWAEKLPRVLRSEAE 226328217 -----ILVTGGMGYIGSHTCVQMIEAGMTPIVLDNLSNANEEVLKRPLFYNGDIRDGQLLASIFAKHSIQSVIHFAGLKAVGESVQKPIEYYDNNVNGTLVLVRCMRDAGVKSIIFSSSATVYGDPQTVPITEDSPVGTTNPYGTSKYMVERILSDFVADESWSISLLRYFNPVGAHPSGTMGEDPKGPNNLTPYISQVAIGRREQVAVFGNDYPTKDGTGVRDYIHVMDLADGHIAALNELGKKAGLHIYNLGTGNGTSVIEMIEAFRKASGKPIPYQLQARRPGDIAECWSSPAKSRE------RFTLE---------------------- 304438578 ------LVTGGAGFIGSNLAEAILSMGHRVRVLDNLLTGYEKNIAGFRFIQGDIRDAATCNR--ACEGVDYVLHQAAEVSVPESIEQPVSYTMTNIIGTVNVMEAAAKHGVKKMTYASSAAVYGDDETMPKREEIVGRRLSTYAVTKFVDEEYAYQYTLNYGLDCYGMRYFNVYGRRQD------PNGAYAAVIPKFIECLLRDEPPTINGD------GEQSRDFVYVEDVVQANLLACVA-PHEAAGEAYNVAAGKRSSLNEMYAVLRKLFGKDLKPVFGLERKGDIRHSGADISKIKKNLGYAPKYDFSRGITEAIQWYKEN--------- 163753088 ---KKIVVTGGLGYIGSHTVVELQLAGFEVIIIDNLSNASEAVLQGIKFEKLDLRDKQTTISFFKKYEDISIIHFAASKAVGESVTNPLLYYENNLSTLITILKQIQHKKNISFIFSSSCTVYGQAETMPISENAPQQAYSPYGNTKQIGEEILKDTAKIEGLQVISLRYFNPIGAHESAEIGELPNGPQNLVPFITQTAIGLRKQLSVFGNDYPTEDGTCIRDYIHVVDLAKAHVTALKRKMNKTSFEVFNIGTGKGSSVLEVIESFERVSHQKLNYKIVDRREGDVISAYADTTKANTILGWKATSTLDEAMLSAWKWEQK---------- 304310331 ----TILVTGGAGFIGSNFVLDWLATCSEPVVLDKLTYAGNEGNPRHIFVQGDIGDTALVESLMREHQPRAVLNFAAESHVDRSIHGPEAFIQTNIVGTFRLLEAVRSWWGFRFLHVSTDEVYGSLEKTAFTESHSYEPNSPYSASKASSDHLVRAYHHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLVIVNALSGKPLP--------VYGDGQQIRDWLYVKDHCAAIRRVLEAGVC---GETYNVGGWNEKANLDIVTEVCALLDYRMQITHVPDRPGHDRRYAIDARKIERELHWRPAETFATGIRKTVQWYLDHPQWVAN--- 306820761 ---KNILVTGGAGFIGSNFVKLIIDHNYNIINLDLLTYGNLENLKEYTFIKGDICDKELVGEIFTKYNIDTVVNFAAESHVDRSIENPEIFLLTNILGTQNLLEQAKKHWKIKFLQVSTDEVYGLGKEGMFTELTPLSPNSPYSSSKAGADMLVRAYHETFGLPVNITRCSNNYGP--------YQFPEKLIPLMINNCENNKKLP--------VYGDGMQVRDWLHVKD----HCIAIDTVLHKGKTGQYNIGGNNEKANIEIVKLIIKTLGKDNLIEYVQDRPGHDRRYAIDNTKITSELGWAPSYTFEQGIAETIDWYTNNKQWMEK--- 255658862 ----NILVTGGAGFIGSHLVRKLLAEGEQVTVLDNFSTGSRDNLPQGKCIEMDVND-AALAAVFDEGHFDAVVHLAGQTTVHISMESPALDGEENVIGSIHVLEQARRTKVQRVIFASTAASYGDEESLPIVESEPLHPMSFYGLSKVTVEHYLRLYQKSFGLDFVILRFANVYGERQGN-------GGEGGVISIFAERLAEGKALAIYGD------GEQTRDFIYAGDIAAGIYAAL---CTEHVNHAYNLSTQTETSLKELVAILADVSGKAIEPRYFEARSGDIYKSMLANGRARRALGWAPAVSLHEGLRRTYDYFR----------- 223587854 -----VLVTGGTGYIGSFTALALLEADYKVVIVDNLYNSSPECGKRPSFYQVDITDEAALDKVFDENDIDNVIHFAALKAVGESGEIPLTYYRVNVGGSIALLSSMVRHNVSNIVFSSSATVYGDPNMIPIPEHCPIGPTNVYGRTKSTIESAITDTIDITKWNAALLRYFNPAGAHPSGIMGENPLGPYNLLPLLAQVAIGKRDKLLVFGNDYASKDGTAIRDYIHVLDLARGHLEALQYRTHRPGRSRLEPRLRSRFHRLRYDQAFGHVVGRDLPYEVAPRRPGDVLDLTANPARANEELGWKTELTLEDACADLWKWTRNNPEGYAQQHE 256666167 ----RVLVTGGAGFIGSHYVRQVLSADAEVVVLDKLTYAGNEANLRLRFVRGDICDTAQVTELMNGV--DLVVHFAAESHVDRSILGSADFVLTNVLGTQTLLQAALEARVGKFVHVSTDEVYGSIQDGSWSEDHVLEPNSPYSASKASSDLIARSYFRTHGLPVCVTRCSNNYGP--------YQFPEKVIPLFATNLLDGKKVPL--------YGDGLNVRDWLHVDDHCHGIQLVAD---GGRPGEIYNIGGGTELTNRELTERLLAAVGVGWMVEPVADRKGHDRRYSVDIAKISGELGYAPRVSFEDGLAETVRWYADNRAWWE---- 16126617 MSKAPIIVTGAAGFVGYHVAERLLDRGETVIGVDVFNAYYDPALKEARAARLDIADHEALADLVKASGAQKVIHLAAQAGVRYSIENPFAYERSNLAGHLSVLEACRHAGVEHLVYASSSSVYGDNGDGFRESDPAETPVSLYAATKRSCELLSQSYAKLYGFPQSGLRFFTVYGP--------WGRPDMAYFSFTEKMLKGE--------TIEVYGEGKMARDFTYIDDIVDGILGVLDNPPAKGGHEVYNIGDNDPVGLMDMINTLEAALGIEANKVFRPMQPGDVTATYANIDKLHALCGYKPKVKLAEGLARFVDWRR----------- 152978502 ----KILVTGGAGFIGSAVIRYIIRNTQDVVNVDKLTYGNLESLPRYTFERTDICNRAALDRIFADHQPDAVMHLAAESHVDRSIDGPAAFIQTNIVGTYTLLEAARAYWAFRFHHISTDEVYGDDTDDLFTETTPYSPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------FHFPEKLIPLMILNALDGKKLP--------VYGNGTQIRDWLFVEDHARALYKVV---TEGKIGETYNIGGHNEKANIEVVKTICALLEYEDLITYVTDRPGHDVRYAIDAAKIGRELGWKPKETFETGIRKTVEWYLANKKWWSR--- 224370058 ----KIMITGAAGFIGFFLGKKLLENGHTVFGVDNLNDYYEVALKKGRFERLDISDRSGVERLFGANRFDVVVNLAAQAGVRYSIDNPHAYVDSNLVGFANILEGCRHGRVGHLVYASSSSVYGQNKKMPFSVTDVDHPVSLYAATKKSNELMAHAYAHLYGIPMTGLRFFTVYGP--------WGRPDMAYFKFTRAILAGE--------PIDVYNHGNMRRDFTYIDDIVKGVVKVMEKPPVPGESRLYNIGNNQPVELGHFIEVLEKHLGQKAVKNMLPMQPGDVPETYADIETLVRDTGFTPETSIDEGLGRFVQWYRKFYR------- 77456929 ----KILVTGGAGFIGSAVVRFLIEETEEVINVDKLTYGNLESLPRYRFCQVDICDRPALDELFARLQPDAVMHLAAESHVDRSIDGPQAFIETNIVGTYTLLEAARGYWAFRFHHISTDEVYGDPEDPAFTERTPYAPSSPYSATKAGSDHLVRAWHRTFGLPVVMSNCSNNYGP--------YHFPEKLIPHVILNALQGKLLP--------VYGDGAQIRDWLFVEDHARALYAVVTR---GEVGQTYNIGGHNEKTNLEVVHTLCDLLEARDLITFVKDRPGHDKRYAVDAGKIHATLGWTPQETFESGMQKTVDWYLDNRAW------ 282873506 ----RILVTGGAGFIGSHYARSLLAGRYRITVLDKLTYAGDRENLPAHHVRGDVCDGALLRELLPGH--DAIVHFAAESHVDRSVAGGREFVRTNALGTQVLLEAASLSRVERVLHISTDEVYGSIEQGSWTEERPLLPNSPYAASKASADLIARSYWRTHGLDVSITRCSNNYGP--------YQHPEKLVPRFVTNLLRGRKVP--------VYGDGRNRREWIHVDDHCRAIHLVL---AKGGAGEIYNVGSGDELENLRLAEHLLALCGAASMIEYVEDRKGHDLRYALDDTKIREQLGYEPQVPLDRGIAETVAWYEANPRW------ 167753448 ----KILVTGSAGFIGYHLSKRLLEAGNQVVGIDSINDYYDVRLKYARLEQMHLEDRQALQNLFGTEKFDAVVNLAAQAGVRYSIENPYAYIDSNIVGFLNLLECVRHNPVRHFVYASSSSVYGGNTKTPFSEEDRDNPVSLYAATKKSNELMAHVYSGLYGIPTTGLRFFTVYGP----------WGRPDMAPMLFAGAIREGRPIKVFNHGNLSRDFTYIDDIIEGMVRVIG-KAPAPTQDRPIPAEVYNIGCGHPVQLMDFIHTLEQALGKNAQLQMMPMQQGDVHTTYADTTKLERDFGYRPQVSLAEGIAIFAQWYEK---------- 31615303 ----RVLVCGGAGYIGSHFVRALLRDNHSVVIVDSLTHGKSDHVRYAALEVGDVRNEDFLNGVFTRHGPDAVVHMCAFLAVGESVRDPLKYYDNNVVGILRLLQAMLLHKCDKIIFSSSAAIFGNPTMGPIDINAKKSPESPYGESKLIAERMIRDCAEAYGIKGICLRYFNACGAHEDGDIGEHYQGSTHLIPIILGRVMSDDKRMPIFGTDYPTPDGTCVRDYVHVCDLASAHILALDYVEKLGPNDKFNLGTSRGYSVREVIEVARKTTGHPIPVRECGRREGDPAYLVAASDKAREVLGWKPKYDLEAIMETSWKFQRTHPNGYASQE- 301643908 ----KILVTGGAGFIGSAVVRHIIENTDEVRVVDCLTYGNLESLERYSFSQTDITDAAAVAAQFSEFRPDIVMHLAAESHVDRSIDGPAAFIQTNVIGTFTLLEAARHYWAFRFHHISTDEVYGDGTDDLFTEETPYAPSSPYSASKAGSDHLVRAWNRTYGLPVVVTNCSNNYGP--------YHFPEKLIPLTILNALAGKPLP--------VYGNGEQIRDWLYVEDHARALYKVA---TEGKSGETYNIGGHNERKNIDVVRTICAILDKADLITFVTDRPGHDLRYAIDAAKIQRDLGWVPQETFESGIEKTVHWYLNNQTW------ 146312297 ----KILVTGGAGFIGSALIRYIINETDSVINVDKLTYGEIDKSDRYTFVQADICDAQTLERIFDTHQPDSVMHLAAESHVDRSISGPAAFIETNIVGTYVLLEAARKYWNFRFHHISTDEVYGDLPHPLFTEKTAYAPSSPYSASKASSDHLVRAWHRTYHLPVIVTNCSNNYGP--------YHFPEKLIPLVILNALQGKPLP--------IYGKGDQIRDWLYVEDHARALYAVV---NNGKVGETYNIGGYNEKKNIEVVQTICQILDYDKLITFVADRPGHDRRYAIDAGKITRELGWKPEETFESGIKKTVTWYLNNLEWVEN--- 308270325 ---KSALVTGAAGFIGFHLSLRLLKEGIYVTGIDNLNPYYDVSLKESRFVRADISDKNATENVFANNRFDVVVNLAAQAGVRYSLKNPHSYINSNIVGFTNILECCRHNHVQHLVFASSSSVYGANTKMPFSHDNVDHPVSLYAATKKANELMAHSYSHLYGLPCTGLRFFTVYGP----------WGRPDMALFLFADAILENKPIKVFNHGKMTRDFTYIDDIIEGVSRIMWSGNNPDPGTSYARYKIYNIGNNSPVELMKFIELIEKAVGKKAEMELLDLQPGDVVSTYADVDDLIKDVGFKPDTPLETGIKEFVNWYVDYYK------- 307819656 ----TILVTGGAGFIGSNYVLRLAQSGGSVVNLDKLTYAGNLGNLRHIFVHGDIGDLALVQQLLNEYKPRAIVHFAAESHVDRSILGPEAFLKTNIDGTFVMLTAARTYYHFRFLHVSTDEVYGTPNDAPFHELTPFAPNSPYAASKASSDHLVRAWFHTYGLPALITNCSNNYGP--------LQFPEKLIPLTIANALAGKALP--------VYGDGQQVRDWLYVLDHCRAIDAVLEK---GKVGETYNVGGGNQQTNLDVVHRICDLLDRRELIQFVQDRPGHDRRYAIDARKLEDELGWSAQESFDTGLRKTVQWYLEHPEWIEE--- 206890126 ---QTIFVTGSAGFIGWATCKLLLNKGFTVIGIDNINDYYDPKIKEFIFYKADIEDFENLKNIFKSYKIDGVINLAARAGVRASVENPWGYLDTNVRGTINLLECVKEYAIKNFVLASTSSVYGDTEKMPFKVSDITDPLAPYPASKKSAELFCYSYHYLYGINTIIPRYFTVYGP----------FGRPDMSIFRFIKKINDGEPITVYGDG------KQKRDFTFVEDIAEATVLCL----NLEGYKIMNFGNDRPVELIYVINLIEELIGKKAKIQWQPRHPADIYATWADISEAKQYIGWSPKISIEEGIRITVEWFKEN--------- 297380786 ----KILITGGAGFIGSAVVRHIIKNTDTVVNIDKLTYGNLESLNRYNFEHADICDKAAMEHIFAAHKPDAVMHLAAESHVDRSITGPADFIETNIVGTYVLLEAARGYWAFRFHHISTDEVYGDLPHPLFTENTAYAPSSPYSASKASSDHLVRAWLRTYGFPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKALP--------IYGRGDQIRDWLYVEDHARALYTVVTKGV---VGETYNIGGHNEKKNLDVVHTICDLLDYRDQLTYVADRPGHDRRYAIDADKISRELGWKPLETFESGIRKTVEWYLNNRAW------ 294506617 ----HVCVTGGAGFIGGHLCRRLLNDGHCVTAIDNFDPFYPRALKRFTLIEADICNTDALLQALHARDVDAIVHLAARAGVRPSIEAPMAYEETNVGGTQSMLEVAQELGIGTFIYGSSSSVYGTNDTVPFAEGGPVEPISPYAATKRSGELLAHTFHHLYGLTVHCLRFFTVYGPRQRP----------DQAIHRFARQLLTDQPITMYGDG------TSRRDYTYVADIVDGIVRSLRRALDAPEHEIINLGGSETTQLKDLISGIAEAMDIAPEIEQLPTQPGDVERTYADISKAKRLLDWTPETPIVEGLQKFADWVKAYYE------- 302866337 ----KILVTGGAGYIGSVVAHSLLASGHEVVIVDDLSTGYADNLPTGKFHEISIHDVD--QAFTQRDGFDGIVHLAGKIEVGESVVRPELYWQTNIVGTLNLLDFARRARISRFVFSSTCSMYDSSGMAPLTETTAVRPASPYAHSKHMVDTVLESEARAHGLAAVSLRYANAAGAVDR--LGERHEPESHLIPIVLQVAAGRRPHLMLYGTDYPTPDGTCVRDYIHVSDLAAAHLLALQHAVPY-QHEIYNLGNGNGFSNLEVIETARAVTGHPIPVHVADRRAGDAVVSVASSEKARTRLGWVPARPLADIIGDAWAFAKSH--------- 295098228 ----KFLVTGAAGFIGSHVSKRLLDAGHEVVGIDNLNDYYDPNLKLARFHKLDLADREGMAALFANEKFNRVIHLAAQAGVRYSLENPHAYADANLVGHLNVLEGCRHNKVQHLLYASSSSVYGLNRKMPFTDDSVDHPVSLYAATKKANELMSHTYSHLYNLPTTGLRFFTVYGP--------WGRPDMALFKFTKAMIEG--------NSIDVYNYGKMKRDFTYIDDIAEAIIRLQDVIPQSAPYRVYNIGNSSPVELMDYITALEEALGKEAVKNMMPIQPGDVLETSADTKALYDVIGFKPQTSVKEGVKNFVNWYRNFYN------- 104779537 ----RILVTGGAGFIGSALVRHLIDNTHEVLNLDKLTYAGNLESLQGIFVQADIADQPTVSALLERFQPQAIMHLAAESHVDRSIDGPAAFIQTNIVGTYALLEATRAWWAFRFHHISTDEVYGDLHDDLFTETTPYAPSSPYSASKAASDHLVRAWHRTYGLPVLITNCSNNYGP--------YHFPEKLIPLMILNALAGKPLP--------VYGNGQQVRDWLYVEDHARAL---LTVVTTGKVGETYNIGGHNEQKNLDVVHTLCDLLEYKDLITFVQDRPGHDLRYAIDASKIEHELGWKPDETFFTGLRKTVQWYLANLDW------ 124548579 ----KVLITGGAGFIGSAVVRHIIKNTDSVINLDKLTYGNLESLIRYNFEKVDICDRTELGRVFNQYQPDVVMHLAAESHVDRSITGPSDFIQTNIVGTYTLLEASRQYWMFRFHHISTDEVYGDLPHPLFTESTSYAPSSPYSASKASSDHLVRAWLRTYGLPVIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKPLP--------IYGKGDQIRDWLYVEDHARALYKVV---TEGKIGETYNIGGQNEKQNIEVVNSICAIMDHSTLITYVTDRPGHDRRYAIDATKMSRELNWRPQETFETGLRKTIEWYLNNQEW------ 228994844 ----KVLVTGGAGFIGSHIVEFLLENNIDTVVVDNLVTGHKYNIPKVAFYHFDIRDP-NIDKIFMVEKPDFVIHQAAQVSVQESVKQPFYDCSENVMATVNILQACIKYNVKKIIYASTAAVYGNPQYLPIDENHDLNPVSFYGLSKLTSEAYIQLFAKLYGLKYTILRYSNVYGARQNTDGEAGVISIFMDRLFKNDSPI-------------IYGDGNQTRDFIFVKDVAHANFLAF----RNADNQICNISSNQQISVNELLDTICNLMKIENKRIYKEERPGDVIHSYLSNDKARKYLNWHPEFSLLQGLGETISFFHK---------- 88801931 MKNK-IIVTGGCGYIGAHTVIELITHNFEVLILDNLSNATEKTLIKPRFTEIDLKDAVKTNAIFSKHQDAAVIHFAAHKAVGESVDNPIKYYKNNLYSLLNTLSAQRKNGIQNFIFSSSATVYGTPKTLSITEENTQRPFSAYGNTKKIAKEILEDLISTDKFSAISLRYFNPIGAHDSGLIGELPSGPNNLMPYITQTAAGIREKLMVYGMDYPTKDGTPVRDYIHVVDLAKAHVTRLLEKKQEKAFEVYNLGTGVGFSVLKIIKSFEAVSGIALNYEITDRRPGDVPQLFASTALVKEKLGWSAEKNLDAMIRSSWEWE-QNVRSIKN--- 295397281 -KGMNVLVTGGAGFIGSNFVFHMLKETYRIICLDALTYAGNLSTLEPVMEKGDITDRDLIDKLFEEEKFDFIVNFAAESHVDRSIEDPGIFLKTNILGTQVLMDASRKYGVKRFHQVSTDEVYGDRPDLFFTEETPIHTSSPYSASKASADLLVQAYHRTFKLPITISRCSNNYGP--------YHFPEKLIPLIIARALNDDSLP--------VYGRGENVRDWLYVED----HCIAIDLILHKGDGEVYNIGGHNEKTNLDVVKTILKELGKPESITFVKDRAGHDMRYAIDPSKTRRELGWEPTTLFDEGIKMTIKWYLENRDWWEN--- 154495034 --KQKILVAGGTGYIGSHTTVELQNAGYEVVIIDDLSNSNIEVLIRPEFIKLDLKDKEGTREALKAHGIKGIILFAASKAVGESVQQPLKYYRNNVVTLVNLLELMPEFNIEGIVFSSSCTVYGDPENLPVTENAPIKPTSPYGNTKQINEEIIRDTIHAAPFKSIILRYFNPIGAHPTAEIGELPNGPQNLIPYLTQTAMGIRKELSVFGDDYDTPDGSCIRDYINVVDLAKAHVIAMDRMLGGDNVEIFNLGTGNGVSVLELINTFEAATGVKVPHKIVGRREGDIEKVWANPERANKVLGWKATETLADTLASAWKWQER---------- 270494896 -----ILVTGGAGFIGANFVLDWLACGDEPLVLDKLTYAGNLQNLRHLFVQGDIGDRELLSRLLAEHRPRAIVNFAAESHVDRSIHGPEDFIQTNVVGTFRLLEGVREYWAFRFLHVSTDEVYGTPEAPAFAETHSYEPNSPYSASKAASDHLVRAWHHTYGLPVLTTNCSNNYGP--------FHFPEKLIPLMIVNALAGKPLP--------VYGDGMQIRDWLYVRDHCSAIRRVLQAGR---PGETYNVGGWNEKPNIEIVKTICALLDYESQIAYVKDRPGHDRRYAIDARKIERELGWKPAETFETGIRKTVEWYLAHGEWVD---- 242240688 ----KILVTGGAGFIGSAVVRHIINNTADVVNLDKLTYGNLESLERYTFERVDICNRTALDSVFQQHQPDMVMHLAAESHVDRSIDGPAAFIQTNIVGTYTLLEATRAYWAFRFHHISTDEVYGDKTDDLFTESTPYAPSSPYSASKASSDHLVRAWYRTYGLPTLVTNCSNNYGP--------YHFPEKLIPLIILNALAGKPLP--------VYGDGQQIRDWLFVEDHARALYNVV---TEGKIGETYNIGGHNEKTNIEVVETICQLLEYKDLITYVKDRPGHDVRYAIDASKISRELNWKPHESFDTGIRKTVLWYLNNKSWWNR--- 291532309 ----KILVTGGAGFIGSNFVYYELDNSDEVICLDKLTYGNLETLPKFKFVKGDIADRAFVDDLFSSEQPDVVVNFAAESHVDRSIENPEIFLQTNVMGTSVLMDACRKYGNIRYHQVSTDEVYGDRPDLFFTETTPLHTSSPYSASKASADLLVQAYYRTYKLPVTISRCSNNYGP--------YHFPEKLIPLMIANALNDKKLP--------VYGKGENVRDWLYVED----HCSAIDLIIRKGKGEVYNIGGHNERTNLEVVKTIIKELGKEDLIEFVTDRPGHDRRYAIDPTKIHNELGWLPATKFDDGIKKTIEWYLTHKSWWEK--- 289522627 ----KILVTGGAGFIGSNFLRTYVPKNHSFINLDKLTYAANLYNLKDIFVQADIADFESVKAVFDRFEPDIVVHFAAESHVDRSILGPAEFIKTNIVGTFNLLEACRFYWKDKFHHVSTDEVYGLGDTGYFTEESRYDPSSPYSASKASSDHLVRAYHRTYGLPVKVTNCTNNYGP--------YQFPEKLIPLTILNALEGKPIPIYGKGQ--------NVRDWLYVKDHCEAIWAVMQKGRI---GETYNISGNEEKRNIDVVKEICQILEFKSLITFVADRPGHDLRYALDSSKIRCELGWKPKETFNTGLRKTVKWYLSNTEWVN---- 254506456 ----KILVTGGAGFIGSAVIRHIINETQDVVNLDKLTYAGNESSARYQFECVDICDRSRLERVFDEHQPDIVMHLAAESHVDRSIDGPAAFIETNIIGTYTLLETARRYWQFRFHHISTDEVYGDDAESLFTELTPYAPSSPYSASKASSDHLVRAWLRTFGFPTLVTNCSNNYGP--------YHFPEKLIPLMILNALEGKALP--------VYGDGKQIRDWLYVEDHARALYMVA---TKGEVGETYNIGGHNELTNLEVVHKICELMEELCPIAFVEDRPGHDIRYAIDASKIERELGWKPEETFESGIRKTVRWYLSN--------- 120406337 ------LVTGGAGYIGSHVVRALRGADLDVVVIDDLSTGRAEFVPDGPLVRANLLDADAVRTTLDAYGVSGVIHIAGYKYAGESVRRPLHTYQQNVSAMVTLLDAMSDAGVDRIVFSSSAATYGTPDTAVVDETTATRPESPYGESKLIGEWLLRDIGVATGLRHTSLRYFNVVGSGPDGVFDVSPFN----LFPKVFDMLVHGQTPRINGDDYPTPDGTCVRDYIHVEDVAAAHVAAAHRLESGLPIEPVYNGSGSGTSVREIMTTMRAVTGVDFEPDVAARRAGDPARIVAAGDLAARDLDWRMRHSLTDMVASAW--------------- 226313847 -----ILVTGAAGFIGFHVARRLLEQGQTVWGVDNCNEYYDPVLKSNRLEKADIADQSKMDELFREMEPETVIHLAAQAGVRYSLENPHAYTTSNITGFLNILEGCRRSRVKHLLYASSSSVYGGNTKLPFAEDPVDEPVSLYAATKKANELMAYTYSHLYGLPATGLRFFTVYGP----------WGRPDMALYTFTKAILSGEPVRIFNYGNMTRDFTYVDDIVEG--MLRLMNRIPQREGDKAPHEVFNIGNHQPIDLLTFLSILEEKLGKKAVRDYLPIQPGDVPATYASVEALYEATGFRPKTPVDVGISRFVDWYVSYY-------- 291543270 ----TIIVTGGAGFIGSNFVLQLKEHEDRIICLDKLTYAGNLSTLQEAMEHADIADRAAVEQLFEQEHPDIVVNFAAESHVDRSIENPGIFLQTNIMGTQVLMDACRKYGIQRYHQVSTDEVYGDRPDLFFTEDTPIHTSSPYSSSKAGADLLVLAYYRTFGLPVSITRCSNNYGP--------YHFPEKLIPLMISRALADEALP--------VYGKGENVRDWLYVEDHCKAIDLVMRKGRA---GEVYNVGGHNERTNLEVVKTILKELGKPESITFVTDRPGHDMRYAIDPTKIHSELGWLPETKFEDGIRQTIQWYLDNKKWWQD--- 153007033 -----IVLTGCAGFIGSHVARRLLRDGHEVSGLDNLNDYYDPSLKRARFTAADVADREALDAVLDEAEPEYVVHLAAQVGVRNSVRNPRAYAETNLDGFFNVLDGCARRGVRHLVYASSSSVYGSNEKVPFSEEDPDHPISFYAATKKANEIMAHAYSHLNRLPTTGLRFFTVYGP----------WGRPDMAPILFGRAILRGEPITLFN------HGRMLRDFTYVDDVVEVVTALVPRPPEPEDAAPYNVGNDRPVALEEFVAILERHLGRPALRKYAPMQPGDVPATWADVRRLQATVGFVPRTPIEEGLRRMTEWLVAY--------- 27377493 MTNLRVIVTGAAGFIGMHVCERLLARGEQVVGIDALTPYYDEHRPGFSFHEIDLADFAAVTRVFDEVSPDRVVHLAAQPGVRASIDDPITSIRANCDGFVTVLEAGRRHGVAHLVYASSSSVYGANRTLPYTEHSVNHPVSLYAASKKANELMAHTYAHVHKLPVTGLRFFTVYGP----------WGRPDMAAWLFTRAIFANEPIKIFNNGDMWRDFTYVDDIVEATPNPAWNAEAPENSTSYAPYRVYNIGNNRSVNLIEFVETLEKIIGKPAIRKLLPMQAGDVLETRADISALQRDVGFAPSTPLAEGLGRFVEWYRKY--------- 28394168 ----RVLVTGGAGFIGSHFVRQLLAHGAQTIVLDKLTYAGNLANLAPVAHQGDVCDRELVENLMRGV--DLVVHFAAESHVDRSIAAADAFVRTNVQGTHTLLDAAVRAGVERFVHVSTDEVYGSIEEGSWTEEQPLAPNSPYAASKAGSDLLALAFHRTHGLPVCVTRCSNNYGP----------YQYPEKLIPLFTTNLLDGLPVPLYGD------GRNQRDWLHVDD----HCHGIDLVATGGPGEVYHIGGGTELSNADLTAHLLDLCGADESVRRVADRPGHDLRYSLDISKISRELGYTPRVSFAEGLADTVDWYRNNRAWWE---- 163942955 -SKKTYLITGAAGFIGMHLSKKLLEMGCKVIGYDNLNDYYDISLKESRFHKADLTDKEYLEKLFNENNIHIVVNLAAQAGVRYSIENPDAYIQSNVVGFLNILEMCRHHKVEHLLYASSSSVYGANKKIPFTEDKVDNPVSLYAATKKSNELMAHTYSHLYNVPTTGLRFFTVYGP----------YGRPDMAYFSFTKAITEGKPIKVFNEGDMY------RDFTYIDDIVDGIIKLLENNNKELPYKVYNIGNNKPVKLLDFIQAIESAVGKEAVKEYYPMQPGDVYQTYADVSDLINDVGFKPDTPIQEGINKFVDWFKKN--------- 22298001 ----KFLVTGGGGFIGANFVRLALTEGWTVLNLDKVTYACHEQLPNYQFVRGDVGDRPLVQDLLQSFQPDAVIHFAAESHVDRSINSPQDFIQTNVVGTANLLEEVKTYWQFRFIHISTDEVYGGPEDPPFREDTPYAPNSPYAASKAASDHLVRAYHHTYGLPTLTTNCSNNYGP--------YQFPEKLIPLMICQALAGQPLPIYGDGQ--------NVRDWLYVEDHCRALYTVWQKGQ---VGQTYNIGGNCEKPNLEVVQTLCDLLNYRSLITFVSDRPGHDRRYAIDATKIQRELGWQPRETFSSGLEKTVQWYLAHQDWVE---- 187927736 -----ILITGGAGFIGANFVDWLRGSDEPVVNVDKLTYAGNEGDARHTFVRADICDRASMDELLTRHAPRAIVHFAAESHVDRSIHGPADFVQTNVFGTFTLLEAARAYWNFRFHHVSTDEVYGGPDDAPFTETTPYAPNSPYSASKAGSDHLVRAYFHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLMIANALAGKPLP--------VYGDGLNVRDWLYVGDHCAAIRRVLEAGT---VGEVYNVGGWNEKNNLEVVHTLCDLLDYREQITFVRDRPGHDRRYAIDARKLERELNWKPAETFETGLRKTVEWYLENQEWVAD--- 146304598 MQGK-VLVTGGYGFIGSNFVRMIANQ-ADVVVVDNFSVGSNRANLRDKTVELDIRDPRMLD-LIRDERPDFIFNFAAESHVDRSIVDPLSFVSTNVLGTANLLEGARRYDVT-FIQIGTDEEYGEIYSGSFRETDPLNPSSPYSASKAGATLLAMAYARTYHLDVRVTRSANNYGP--------YQYPEKLIPKTVIRALHNLPVP--------VYGTGKNVRDWIYVQDNCEAILTVAE----KGKPGIYNVSAGEEKTNLEVVTTILEILGKPNLIKFVEDRPGHDFRYSVDSTKLR-ELGWRPRTSFREGISLTVDWYVKNRWWWE---- 261403713 -----ILVTGGAGFIGSHIVDKLIENNYDVIILDNLTTGNKNNIPKAEFVNADIRNK-DLDEKINFKDVEVVIHQAAQINVRNSVENPVYDADVNILGTINILEMMRKYDINKIIFASSGAVYGEPNYLPVDENHPINPLSPYGLSKYVGEEYIQLYNRLYGTEYAILRYSNVYGERQD------PRGEAGVISIFIDKMLKNQRP-------IIFGDGNQTRDFVYVGDVAKANLMALNW-----KNEVVNIGTGKETSVNELYKVIANELNYNNKPIYDKPREGEVYRIFLDVKKAQN-LGWVPDVDLKEGIKKVINWMRN---------- 302685341 ---QKVIVTGAAGYVGSHVTYVLQKRRYKVISLDNYHNSHPEVYKRIEYHNVDLTDEASIRAVFDKYGIWGVIHIAAWKAVGESTRIPLTYYRNNVSSTITLLQLMDEFDCTRIVYSSSATVYGVPPKIPIPESTRLQALSPYGNTKIVCENIIKDLCASDPWRGLSLRYFNPGGAHPSGLIGEDPVGRPNNLLPILAQMAVGRIEPKLGNDYPGTPDGTCVRDYLHVVDLAAGHQLALDALSDSDNSKVYNLGRGQGVSVLQMVEAMRKATGCDYKVEIVGRRKGDVPDLTADPTLAEKELGFKAPQSLEAMCRDLWNWQSKNPNGY----- 15616271 ----KILVTGAAGFIGMYVAKRLLEEGHFVVGIDNLNDYYDPQLKNDRFHKMDLTERDRLRQLFLDKEITHVINLAAQAGVRYSLKNPHAYIDSNLVGFTNLLESCRELNVKHLIYASSSSVYGANRKMPFTSDEVNHPVSLYAATKKANELLAHSYSHLYHIPTTGLRFFTVYGP----------WGRPDMAYFSFTKNIVEGQTIKVFNHGEMMRDFTYIDDIVDPQADPNWDFEHPMASSSYAPYKIYNIGNNQPVKLMDFIETLEKHLGIEAKKEFLPMQPGDVQATYADIDDLQQATGFTPSTSIDEGLKKFVDWFKTYYN------- 300736194 --DMRVLVTGGAGFIGSALVRMLIEQTTCVVIFDKLTYASDLESLRYHFIQADIGDRAKLDQVFQDYLPDVVMHLAAESHVDRSINGPAEFIQTNIVGTYTLLEACRCYFVFRFHHISTDEVYGLGDTGLFSETTAYDPSSPYSASKASADHLVRAWHRTYGLPIVITNCSNNYGP--------FQYPEKLIPLMVLNALAGKQLP--------VYGNGQQVRDWLYVDDHVRALFLVV---TQGTVGETYNIGGTNERSNLEVVHQICDLLGFRSLVEHVTDRAGHDVRYAIDASKIQRELGWQPLESFDSGLRKTVEW------------- 136194466 --NMTILITGGCGYIGTHLSKLMSD--YDIIIYDNLKNG-TTFMNSNKIYKGDIRDESKLEFIFKKYNFDTVIHLAGLAHISESIDDPKYYYDNNVIGSLILLNVCIKYNVKNFIFSSSCTVYGNNNNKQVNEDSFTNPINPYGNTKLIFENILKDYSEKYKFNYGILRYFNACGSDPELDIGEYHKEEKRIIPNLIKSCLDENKKVNIYGNDFDTIDGFAVRDYTHVCDISSAHIKVLKYLNIFNKSITCNIGNGIGYSIKQLINIIEEISNKKIKYEIKDRKIGDAAYMVCSNEFVKKKINWKPKYDIYDIIKTSYQWYKN---------- 293166550 -----ILVTGGAGYIGSHVVRALGLAGMDCVVIDDLSSGHAEFVPEVPLVQASLLDAAAITRAMTDHSVEAVIHLAGFKYAGVSVDRPLHTYQQNVQGTVLLLEAMEANGVDALVFSSSAAVFGTPDVELVTEDTPTRPESPYGESKLIGEWLIADVGRSSGLRHTSLRYFNVVGSAVPELYDTSPHN----LFPLVIKALREGRTPRINGTDYPTPDGTCVRDYIHVADLAEAHVVAARRLVEGETLEVYNLGSGSGVSVREIMDAAAAATGIDFEPEIGPARPGDPARIVATGEQAARDLGWENRHTVHQMFASAWN-------------- 190891198 ----RILVTGGAGFIGSALVRHLVSEGAEVLNVDALTYAGNESAPNYQFLHADICDRARMQEAFASFRPDIVMHLAAESHVDRSISGAADFIQTNIVGTFSLLDAARHYWAFRFLHVSTDEVYGLGDQGLFEETTPYDPSSPYSASKAASDHLAIAWHRTYGLPVVVSNCSNNYGP--------FHFPEKLIPLMILNALEGKPLP--------VYGNGANVRDWLYVEDHARALYSIA---STGRPGEKYNVGGRNERRTIDVVHRICAILDHARLITNVTDRPGHDARYAIDASKLESELGWKAQETFETGIEKTVHWYLDNEWW------ 237751163 ------------------------------------------------FIHADLSDKATLDSIFSTYQIQAVMHFAAFAYVGESVKDPSKYYYNNIANSLNLLESMRKANVKNIIFSSTCATYGHPLHLPITESHPQNPINPYGYSKLVVENMLKDFSHAYGINYVILRYFNAAGASMLFNIGESHSPETHLIPLLLQTALGQREVLSIYGDDYPTKDGSCIRDYIHIDDLANAHILALKYLLNGGKSEAFNLGNGLGFSIFELLECASRLCGRQIPYTIESRREGDPATLIGDSTKAKEILGWKAHFDIETILSSALAWHS-NPR------- 135530511 -----IFVTGAAGFIGSNFVHHLASKGYDIIILDKLSYAANMDNLFPPVKVVDLVNKNHLEELFEKYKPDIVFHFAAESHVDNSIEDAKPFVDSNVIGTLNLLELSVKYKVQKFHHISTDEVYGAYDDPPFTEETPYNPQNPYSASKAASDHFVTAFGNTYGLPVLITNCSNNYGPR--------QNDEKLIPKTINNILDGKKIPVYAQGQ--------NIRDWIYVEDHCRAILNIFYAGKN---GEKYNIGGECEVANIDLVKTIIRLMGSEDLIEFVDDRPGHDLRYAIDNAKIIKTINWHPKNTLEEGLLKTIDWYKN---------- 153868858 ----KILVTGAAGFIGFHLSKRLLERGDEVIGLDNLNNYYDEKHHHFRFFKLDLADRDSIAELFAREKINKVVNLAAQAGVRYSIENPYAYVDSNLVGFVNLLEGCRHHKIEHFVFASSSSVYGLNTKMPFSVHHNDHPISLYAATKKANELMAHTYAHLYGLPTTGLRFFTVYGP----------WGRPDMALFKFTKAIIEDKPIDVYNYGKMRRDFTYIDDIIEGVVRVTWSSDLPDPSSSPAPYRLYNIGNNNPVELMHYIEVLEKNLGKKAEKNMLPMQAGDVSATYADVNDLETDVGFKPKTTIEAGIKNFIEWYKQYY-------- 51246568 ---KKVLVTGAAGFIGARLSGQLLAAGAEVVGLDNLNDYYDPQLKRDRMQQLDIADRGAMEKLFSDHSFDAVVNLAAQAGVRYSLKNPHSYVDSNIVGFVNLLEGCRHSGVKHFVYASSSSVYGANTNMPFSHDNVDHPVSLYAASKKANELMAHAYSHLYGLPTTGLRFFTVYGP----------WGRPDMAPFLFTKAILEGRAIDVFNNGDMERDFTYIDDIVEGVCRVDWSGQNPDPATSYCPYRVYNIGNNNKEKLLYFIELIEEALGKKAIKNFMPMQPGDVRATYANVDDLVRDFAYKPATSLRHGVQQFVAWFRDYY-------- 309274624 ----KYLVTGAAGFIGSATAEKLNAAGHEVVGIDNLNDYYDVNLKHARLARVDIADRGAMEQLFATEKFDRVIHLAAQAGVRYSLENPHAYADSNLVGHLNILEGCRQNKVNHLVYASSSSVYGLNAKVPFTSDSVDHPVSLYAATKKSNELMAHSYSHLYDIPTTGLRFFTVYGS----------WGRPDMAPFIFTKKILDGDTIDINNNGDM------WRDFTHVDDIVEGVVRIADVLPTRNESWTYNIGHGSPINLMDFVKAIEDELGIEAKKNFREMQPGDVYQTYADTEDLFTATGYKPRVTVKEGVAEFVSWYKEFYN------- 62259594 ------LVTGGAGFIGSNLCEVLLSKGYRVRCLDDLSNGHYHNVENYEFIKGDIRDLDTCMK--ACEGIDYVLHQAAWGSVPRSIEMPLVYEDINVKGALNMLEAARQNNVKKFVYASSSSVYGDEPNLPKKEGREGNVLSPYAFTKKANEEWARLYTKLYGLDTYGLRYFNVFGRRQD------PNGAYAAVIPKFIKQLLNDEAPTINGD------GKQSRDFTYIENVIEANLKACLADSKYA-GESFNIAYGGREYLIDLYYNLCDALGKKIEPNFGPDRAGDIKHSNADISKARNMLGYNPEYDFELGIKHAVEWYLNYP-------- 308179107 ------LVTGGAGYIGSHVVRSLSAAGLDPVVVDSMASGVRGFVPDQPFYQLNILQTEKLVEIMKRHDVSGVIHLAGYKYAGESVKHPLLAFEQNVTGTAHLLEAMESSRVHNMVFSSSAAVYGTPNEDLVTEATGTFPESPYGQSKLVGEWLIRDQGVAAGLKHTSLRYFNVVGSGSDELYDASPHN----LFPLVFQALNAGKTPKIFGTDYGTADGTCVRDYVHVADLALAHVEAAKKLEADAPLEPVYNGSGDGVSVRQIMDAVAKVTGIEFSALEEARRPGDPARIVADGTLAARDLDWKMRHSLEQMVHSAWK-------------- 163803282 ----KILVTGGAGFIGSAVIRHIIRDTQDVINLDKLTYGNLESLERYHFEHVDICQRNELERVFAEHQPDLVMHLAAESHVDRSIDGPAAFIDTNIMGTYYLLESARQYWVFRFHHISTDEVYGDGSEDLFTETTSYAPSSPYSASKASSDHLVRAWQRTYGFPTLVTNCSNNYGP--------YHFPEKLVPLMILNALAGKPLP--------VYGDGNQIRDWLFVEDHARA----LYKVVTEGEGETYNIGGHNEKANIEVVKTICSLLEYESLITHVKDRPGHDVRYAIDATKIAQALNWTPEETFESGIRKTVEWYLNNQQW------ 183985101 ----RALVTGAAGFIGSTLVDRLLADGHTVVGLDNFATGRATNLLAHVFVEADIVD-ADLQAIFEQHRPEVIFHLAAQIDVRHSVADPQFDASVNVIGTLRLAEAARLTGVRKVVHTSSGSIYGTPPQYPTSERVPTDPASPYAAGKVAGEIYLNTFRHLYGLECSHIAPANVYGPRQDP-------HGEAGVVAIFAQALLSGKPTKVFGDGTNTRDYVFV---------DDVVDAFVRAGSDVGGGQRFNIGTGVETSDRQLHSAVAAAVGGPDDPEFHPPRLGDLKRSCLDISRAEEVLGWRPQVELADGVRRTVDYFRR---------- 144052361 LKRMKILITGGAGFIGSHVVKRLKDKSYHVFNLDKLTYAGNLNNLSGIFIHGDITDVDFVQHLFEQHKFKHVIHLAAESHVDRSISDPFVFAKTNILGTLNLLHSFKHQSGGLFYHISTDEVYGLGETGLFTESSPYQPNSPYAASKASSDHFVRAYAETYKLPVLISNCSNNYGPN--------QFPEKFIPVCIEAIVNNKNIP--------IYGDGNYTRDWLYVADHAAAIKIILE---EGKEGETYNIGGLNEWKNIDLVKVLIRETDKVPLITFVKDRPGHDKRYAIDNAKIMRELHWKPEHTFEEGIVKTIDWYLSNQAWID---- 270262149 ----KFLVTGAAGFIGYHIAERLLAAGHQVVGIDNLNDYYDVGLKMARLEKLDLADREGMAGLFAEQKFQRVIHLGAQAGVRYSLENPLAYADANLIGHLNVLEGCRHNKVEHLLYASSSSVYGLNRKLPFTEDSVDHPVSLYAATKKANELMSHSYSHLYGIPTTGLRFFTVYGP----------WGRPDMALFKFTKAILAGESIDVYNHGEMHRDFTYIDDIAEAIVRLQAVIPQADAAWTSAPYRVYNIGNSSPVKLMEYIRALEQALGIEARKNMLPMQPGDVLDTSADTAELYRVIGFKPETGVEEGVKRFVEWYKSFYK------- 56965451 ----HILITGGAGFIGSNFVKYMLSKDYQFLNVDLLTYAGNLENLKDVKEKADICDKAAMTKLVREHSIDVIINFAAESHVDRSITNPGAFVQTNIVGTQVLLDIAKEQNITKYLQISTDEVYGTGSEGYFTEETPLQPNSPYSSSKAGADLLVRAYYETYGLNVNITRCSNNYGP--------LQFPEKLIPLMISNALENKPLP--------VYGDGKNVRDWLHVED----HCSAIDLVLHKGDGEVYNVGGHNERTNIEIVETIVEALGSKELITFVEDRLGHDRRYAIDPEKLTKKLGWKPKYTFETGIKETIQWYLENREWWER--- 23013428 ----RILVTGGCGFIGSALVLHLVQDGHEVLTLDALTYAANPDNPNHRLEQADICDPARVHALYAAFKPDAVMHLAAESHVDRSITDPGAFVRTNVIGTQVMLDGARTHWRFRFLHVSTDEVYGLPPEAFFTEESRYDPRSPYSASKAASDHLARAWHETYGLPVLVTNCSNNYGPR--------HFPEKLIPLMILAALEGKPLP--------VYGDGLNERDWIHVEDHARGLVAVLERGR---VGETYLLGGRSVRNNLAVVKGLCAAFDRERLITFVADRPGHDRRYAIDPSKAEAEVGWRPTKVFEEALEETVRWYLDNEAW------ 288549835 ----KILVTGGAGFIGSAVVRHIINNTDEVVNVDKLTYGNLESLARYAFEHADICDKTAMDRIFAEHKPDAVMHLAAESHVDRSITGPAAFIETNIVGTYVLLEAARAYWAFRFHHISTDEVYGDPDEHPFTETTAYAPSSPYSASKASSDHLVRAWLRTYGFPTIVTNCSNNYGP--------YHFPEKLIPLVILNALDGKALP--------IYGKGDQIRDWLYVEDHARALYTVVTR---GKPGETYNIGGHNEKQNLDVVHTICDLLDYRDQITYVADRPGHDRRYAIDAHKISVELGWKPEETFESGIRKTVKWYLINKNW------ 226949379 ----KVLVSGGAGFIGSNLVDKLINLGHNVCIIDNLSTGNINNVNKKAQLYIDILDP-NVSKIFEKEKFDIVYHLAAQIDVQKSITNPIFDSDVNVCGTINIINNCVNYNVKKIVYSSSAAVYGHPGYLPIDEKHGIRPISYYGLSKYTAEEYIRLFSNLNNLDFTILRYANVYGIRQDPKGEG-------GVISIFMNSLFKKQPLYIFGDGSAL------RDYIFVEDIVDANIAAL----SSGSKERFNIGTGVYTSVKELAENMIDIIGLKCNIEYESARKGDIANSYFNISKAKNKLNWIPKFSLKDGLKKTIEYYKNN--------- 296123968 ----KYLVTGAAGFIGFHTTKKLIARGDTVVGLDNLNDYYQVSLKHDRFEQIELADRPAMKSLFERHQFDVVIHLAAQAGVRYSLTNPQAYIDSNLVGFCEILEGCRHSGVKHLAYASSSSVYGGNTKMPFSHDNVDHPVSLYAATKKANELMAHTYSHLFRLPTTGLRFFTVYGP----------WGRPDMAMWIFTKAILEGRPIDVFNEGKMRRDFTFIDDIVEGVVRVAWQSDHPDPATSSAPYRVYNIGNNRPEDLMEMIGILESCLGKKAEKRLLPMQPGDVPATYADVDDLVKDVGFKPATPLATGIQRFVDWYRSYHK------- 302852016 LEGKNIFVTGGLGFIGSHTVLVLLDHGAKVHLIDNLSNSFPRVFEHMKYTKCDINDEEGLTKIFEKETFDCVIHFAGFKAVGESVEKPLEYYHNNFVGTVILLEVMRKFKLKNMVFSSSCTVYGLPEKVPITEEAPLKAISPYGRTKLFQEDMFRDAVGDKEWRILLLRYFNPIGAHPSGDLGEHPVGPNNLMPYIQQVALGQREFLRVFGSDYPTPDGTAIRDYIHVMDLAEGHVSAVVKVLATPDLGCINLGTGKGSSVLEMVRAFEAASDKKVEYKLMDRRPGDSVAVWAATETAEKELGWK---------------------------- 299137003 -----ILVTGGAGFIGSNFVLHWVHSGKRVINLDALTYGNLDSLAGNPDHQGNICDAEFVANIFATHKPKAVIHFAAESHVDRSIVGPDAFLKTNIDGTFTLLQAARTYMAFRFVHVSTDEVYGTPDDPAFSESTPYAPNSPYAASKAASDFLARAWFHTYNLPVLVTNCSNNYGP--------CQFPEKLIPLIIANALAGKSLP--------IYGDGLQVRDWLFVKDHCRAIIDVLEKGRL---GQTYNIGGRNQRSNLEVVKTICALLDHNQLITYVKDRPGHDRRYAIDADKIERELKWKPEESFETGIRKTVEWYLANGRWIE---- 144146455 ---QTILVTGGAGFIGGNFVLQLRERDIRIVNLDKLTYAGNPDTLRHVFVQGDIGDRSLVSALLREHAIDAVVNFAAESHVDRSIDGPAAFIETNVLGTFNLLDCTRDYWAFRVLHVSTDEVYGLGPTGAFTETTAYAPSSPYSASKAASDHLVRAWHHTYGLPVLTTNCSNNYGP--------YQFPEKLIPLMIAKAQAGEPLP--------IYGDGSNVRDWLYVEDHCRAIARVLEAGR---PGEVYNIGGNSEKSNLEVVDTLCALLDHAQLKQFVTDRPGHDKRYAIDASKLQRELGWTPQETFESGLKRTLDWYLDHQTWMRR--- 256677258 MSG-HYLVTGGAGYVGSVVTAHLLGAGHRVTVLDDLSTGFRAAVPEGAFIEGRIQDAAAL----LPDGLDGVLHFAASSQVGESVEKPEKYWGNNVGGTRALLDAMREKGIGRLVFSSTAATYGEPVNTPIVETDPTIPTSPYGNTKLAVDHMIADECRAHGLAAVSLRYFNVAGA--YGDFGERHDPESHLIPIVLQVAQGRRAAVSVFGEDYATPDGTCVRDYIHVA-DLAEAHLLALKAAAGSTHLICNLGNGTGFSVREVIEAARKVTGHAIPTESGPRRAGDPAVLVASAERARQALGWQPRTDLTGIVADAWAFAQQ---------- 33637043 ----NLLVTGGAGFIGSNFVRYMLEKNYKVVNYDLLTYAGNENHPNYTFVKGDINNRELVDYLVKTHEIDVIVNFAAESHVDRSITDPDIFVKTNVLGTQALLDVAKANHIKKYVQISTDEVYGTGETGYFTEETPLAPNSPYSASKAGGDLLVRAYHETYGLNVNITRCSNNYGP--------YHFPEKLIPLMITNALEGKELPIYGDGQ--------NIRDWLHVKD----HCAAIDLVIHKGPGEVYNIGGHNERTNNEIVHLIVEKLGVKDLIKYVADRPGHDRRYAIDPTKIMTELGWKPQYTFEKGIAETIQWYIDNQEWWKN--- 39997462 -----VLVTGGAGFIGSNFINHFLPAGCRVINLDILTYAGNEQNPAYRFVKGDIGDADLVRRILAEERIDAVVHFAAESHVDRSILGPEIFVRTNVLGTQVLLEESRRHWRFRFLHVSTDEVYGTGETGYFTEETPLAPNSPYSASKAGSDLLVRAYNETFGLPVLTTRCSNNYGP--------FQFPEKLIPLMIHNIVAGKPLP--------VYGDGRNVRDWLHVKD----HSTAIETVLKGGKGEVFNVGGNNEWFNIDIVHLLCDLLESRGLITFVKDRLGHDRRYAISAAKIKRELGWEPSYTFERGIAETVDWYLANRAWVDE--- 88855652 ----KILVTGGAGFIGSNFVRRTIEAGADVVVLDALTYGNLENLPRYRFVEGDIRDADLLDTLL--VDIDAIVHFAAESHVDRSVRDASAFVETNVLGTQRLLDAALRAKTPRFVHVSTDEVYGSIAEGSWDEQRPLEPNSPYSASKAGSDLLARSYFRTHGLNLSITRCSNNYGP--------YHFPEKLIPLFVTNLIDGKHVPL--------YGEGNNVRDWLHVDDHCRGIALVV---SGGRAGEIYNIGGGTELTNKELTQLLLDHTGTDWFVDRVEDRLGHDLRYSVDISKIQSELGYEPQVPFESGLADVVQWYRDNRQWWE---- 217978848 MKDKRILVTGGAGFIGSAVVREIIETPHSVLVVDKLTYGNLDSLKRYDFIRADIVDAPRMQSVFAQFQPDIVMHLAAESHVDRSIDGPGEFIQTNVVGTFTLLQATLGYWRFRFHHISTDEVFGLGPEGFFIETTAYCPNSPYSASKAASDHLVNAWRHTYGLPTVLSNCSNNYGP--------YHFPEKLIPLTIINALEGKPLP--------VYGAGANIRDWLYVEDHARAL---LTVATQGAVGGSYCIGGHNEKTNLDVVHAICALVDRAGLVSFVSDRPGHDLRYAIDPSKIAVDLGWRPRETFESGLRQTVQWYLEHRDWWER--- 198273938 ----KILVTGAAGFIGSRLMFMLASRNYEVVGIDNINDYYDVRLKYGRFIRMSIDDKFSMEVLFRKEQFDKVVNLAAQAGVRYSITNPYAYLQSNLTGFLVLLECCRTYHVKHLIFASSSSVYGLNKKVPYSENDQVDPVSLYAASKKANELMAHSYSKLYGISMTGLRYFTVYGP----------WGRPDMAPMLFAKAIFAGQPINVFNQGDLMRDFTYIDDIVEGTLRVVEHTPNL--NMDGVSYRIYNIGCSSPIKLMDFIQTIEQAIGKTAQKNFLPMQPGDVYQTYADTSRLEKELNYKPCVSLHEGIARFIKWYLKNP-------- 29346752 ----KVLVTGAAGFIGSFTSKKLLEQGHEVVGLDNLNDYYDTSLKYGRFIRMNLEDRQAVQMLFANGDFDCVCNLAAQAGVRYSIENPYAYIESNIDGFLNILEGCRNSKVSHLIYASSSSVYGLNGNVPFSEDSIAHPVSLYAASKKADELMAHAYSHLYNIPTTGLRFFTVYGP----------WGRPDMSPFLFADAILHHRAIKVFNNGNMFRDFTYIDDIIEGIIRVMQHVPIGNKNWKPAPYKIYNIGNSRPVKLMDFIQAIENAIGREAEKIYLPMQPGDVYQTYADITSLEHDTGFKPDTSLLEGVRKTIDWYCDFYK------- 257455592 MTNKNILVTGGAGFIGSAVVRYLINNDNRVLNVDKLTYAGNETVSNNPRYQFDICDKSAMTKAFQDFQPNIVMHLAAESHVDRSIDGPMDFIQTNIIGTYNLLEVARNYWQFKFHHISTDEVYGDLEGTLFTEATSYSPSSPYSASKASSDHLVRAWHRTYGLPIVITNCSNNYGP--------YHFPEKLIPLVILNALDGKPLP--------VYGDGKQIRDWLYVEDHASALYLVA---TTAKVGETYNIGGHNEKQNIDVVKTICTILDYTQQITFVKDRPGHDLRYAIDASKINKELGWQPQETFESGIEKTVEWYLNNLEW------ 136096680 ------LVTGGCGFIGSNFVHQIIESGFHPVILDKLSYAGSLSNLEYTFIKGDICDEVLVNNLFEKYKFASVFHFAAESHVDRSIDGPKDFINTNIIGTFNLLQVVRMHSAFKFIHVSTDEVYGDNEGEYFKETTPYNPSSPYSASKASSDHLVKSWGRTYGLPVIITNCSNNYGP---------FQFPEKLIPLMIINCLGWKP-------LPVYGDGENVRDWLHVIDHCSAIKAVYD---TGKLHETYNIGGNNEIKNIEIVHSICNILNKKKLIKFVDDRPGHDFRYAIDSSKIQKNLDWKPKESFESGIAQTINWYIKNEKWWKNIQE 110598632 ----KILVTGAAGFIGFHVCKQLLERGEEVTGIDNMNDYYDVSLKEARFVRMDIADRSAMEELFRTGEFEKVVNLAAQAGVRYSLINPHAYIESNIVGFTNILEGCRHNGVKHLVYASSSSVYGANETMPFSHDNVDHPLSLYAASKKANELMAHTYSHLYNLPTTGLRFFTVYGP----------WGRPDMALFLFTDAIVNNKPIKVFNFGKHRRDFTFVDDIIRTLDHPEWSGLKPDPGTSRAPWRVYNIGNSSPVDLMDYIKAIEDQLGRTAEKEYLPLQPGDVPDTYADVDQLMQDVNYKPETTVQEGIKRFVAWYKEYYK------- 77920186 ---KKILVTGGCGFIGSHVARQFLPD-IAIINLDKLTYAGNPSNLRGRFVAGDICDIDLVSRIFTEEKIDTVVHFAAESHVDRSIEGPAEFIQTNIVGTFNLLEAARKTWDKRFLHVSTDEVYGLGATGFFTETTPYDPRSPYSASKASSDHLVSAYFHTYGLPTLITNCSNNYGP--------YQFPEKLIPLIINNALNGKPLP--------VYGDGKNVRDWLFVADHCHAILQVLARGRI---GETYNIGGNNERQNIEVVHTICDILDEKVGISFVKDRAGHDRRYAIDASKIGNDPGWQPAVTFEEGIRRTIDWYLDNPDW------ 259908059 ----KILVTGGAGFIGSAVIRHIINNTDDVVNVDKLTYAGNLESLQGISDKTDICDPESLNHAFNDFEPDVVMHLAAESHVDRSINGPAAFIETNIIGTYVLLEAARRYWAFRFHHISTDEVFGDGTDDLFTEQTAYAPSSPYSASKASSDHLVRAWQRTYGLPALITNCSNNYGP--------YHFPEKLIPLTILNALAGKPLP--------VYGDGKQVRDWLYVEDHARALYRVATAGI---VGETYNIGGHNERQNIDVVNTICRVTDYSVLITFVQDRPGHDLRYAIDATKIEKELGWLPEETFETGLEKTVRWYLENTEWWKR--- 288942435 ---QTLLVTGGAGFIGGNFVHHILEQADRVVNLDRLTYAGNLDTLAGVFVQGDIADAELVGRLLREYEVDAVVNFAAESHVDRSIDGPAEFIQTNVVGTFNLLDRARDYWTFRFLHVSTDEVYGLGPTGLFTETTPYAPNSPYSASKAASDHLVRAWFHTYGLPVLTTNCSNNYGP--------YQFPEKLIPLMILKAQAGEPLP--------IYGDGGNVRDWLFVLDHCRAIARVLEAGT---PGEVYNVGGNSERTNLQVVDTLCALLDHSRLKTFVADRPGHDRRYAIDASKLKRELGWEPTETFESGMRRTVDWYLDNPEW------ 226942696 ----KVLVTGAAGFIGAHCVRRLLLDGHEVVGLDNFNDYYDDRVRWICRERLDLGDADGVAGLFAAQRPEVVVHLAAQAGVRHSLSDPHAYVRSNLAGFLNILEGCRRQPVQHLVYASSSSVYGANQHTPHSQDNVDHPLSLYAASKKANELMAHSYSYLFGIPATGLRFFTVYGP----------WGRPDMSPIQFARAIVEGRPLRLFNYGRHQRDFTYIDDIVEGVVRLLPQPPRSDPHWDRERPRAYNIGGHRPIALTDYIDTLERHLGRKALRELLPLQPGDVLDTCADVSELQRDTGFQPQVSLEEGLARFVSWFCHYYR------- 116753458 MRNKKIAVTGGAGFIGSNIVRALCDEN-DVTVIDNMSTGRRENLRRIRFVECDINDIKMLKREFESV--DYVLHQAALPSVQRSIMDPMATNRSNIDGTLSVLVAAMDCGVKRVVFASSSAVYGDSPELPKRESLIPRPMSPYAVTKLVGEHYCRVFYEIYGIECVSLRYFNVFGPGQDP------------ASEYAAVIPKFIDAVLSGSQPVVYGDGEQTRDFVYVDDVVRAN--ILACLSPGAPGLAINIGTGYATSLNRLLDAIGRVLKRYIHPIYTEPRPGDVRDSVADITLAREVLGYAPEYGLEDGLNEMLK-------------- 189347267 ----HILVTGGAGFIGSHVVRHFLRADYTVTNLDSLTYAGNEHQPNYRFVKGDITDALFLERLFEEFRFDGVIHLAAESHVDRSIASPVEFVTTNVLGTVNLLNAARRCWAKRFYHISTDEVYGLGSEGMFTEETAYDPHSPYSASKASSDHFVRAWHDTYGLPVVISNCSNNYGS----------YQFPEKLIPLFINNIRTKKPLPVYGKG------ENVRDWLWVVDHASAIDVI---YHEGVDGETYNIGGHNEWKNIDLILLLCRIMESAELITYVTDRAGHDLRYAIDSSKLQRELGWEPSIRFEEGLEKTVAWYLGNQEWLDQ--- 171683445 MAVGTVLVTGGTGYIGSFTTLALLENDYNVVIVDNLYNSSKVAVKRPLFYEVDVTNETALDEVFAKHEIDSVIHFAALKAVGESGEIPLDYYRTNVGGSISLLRSMEKHNVTNIVFSSSATVYGDPNMIPIPEHCPIEATNTYGRTKVMIEQVITDQIHFEQWNGALLRYFNPCGAHPSGIMGEDPQGPYNLLPLLGKVATGEREKLLVFGDDYASKDGTAIRDYIHVLDLASGHLAALNYREHKPGVRAWNLGSGRGSTVFEMIKAFSKVVGRDLPYEVVGRRQGDVLDLTANPARANEELHWKTQLTLEDACADLWRWVENNPRGYRQD-- 304405207 ----NLLVTGGAGFIGSNFVRYMLEADYNVVNLDSLTYAGNEHNERYHFVKGDIADAALVTRLLDEHEIDVLVNFAAESHVDRSITDPGVFVRTNVIGTQVLLDAARTKGISKYVQISTDEVYGLGETGYFTEETPLAPNSPYSASKAGADLLVKAYHETYGMNVNITRCSNNYGP--------YHFPEKLIPLMITNALDGLPLP--------VYGDGLNVRDWLHVQDHARAIDLVIHKGKA---GEVYNIGGHNERTNIEIVKLIAKLGKSEDQIKYVTDRLGHDRRYAIDPTKLTEQLGWKPDYTFATGIAETIEWYLANESWWRS--- 53804360 ---KTLLVTGGAGFIGGNFVRQLRRGGVEVVNLDALTYSGNEDNPNHDFVLGSIGDRELVEYLLERYQPDAVVNFAAETHVDRSIDGPDAFVHTNVIGTFELLEATRWYWAFRFLHVSTDEVYGTGATGKFTEETPYRPNSPYSASKAGSDHLVRAYFHTYGLPVLTTNCSNNYGP--------YQFPEKLIPLMIDHALQGLPLP--------VYGAGTNVRDWLYVEDHCRAIETVLARGR---PGEVYNVGGNNEKTNIEVVETICALLDHRQLIRFVQDRPGHDLRYAIDAGKIGRELGWQPEETFETGLRKTVRWYLANRDWVE---- 149197652 ----KIIVTGGAGFIGSAVIRNLIENNDEVLNLDKLTYAGNENSERYHFAQVDICDREAVEKVFEAFQPDIIMHLAAESHVDRSIDGPGEFMQTNIIGTYTLLEVARKYWAFRFHHISTDEVYGDGPEDLFREDTPYAPSSPYSASKASSDHLVRAWNRTYGLPVVITNCSNNYGP--------YHFPEKLIPLVTLNALAQKDLP--------VYGDGKQIRDWLYVDDHARALCLVAKKGSN---GETYNIGGHNEKQNIEVVKTICSILDYEDLIVFVKDRPGHDLRYAIDADKIERDLGWTPEETFESGIRKTVEWYLANKDS------ 150020063 MKT---LVTGGAGFIGSHVVDKLVQNGFEVVVLDNLSKGKKENVNEAKLIVGDIKDKKAMEELFENENFDYVFHLAAQASVSVSVKDPVEDANVNIIGSLNLINLSIKHGVKKFIFSSTGGAIYGDDVEPTPESVCPKPISPYGIAKLSVENYLRFAKREFNLDYTVLRYANVYGPRQD------PFGEAGVIAIFISRMLNGDD-------IVINGDGGYVRDYVYVEDVANANLRAL----KSGSGLEINIGTSVGTSVNQLFGYLKKIIRYDKEPIYGPPRKGDIRKSILCYTRALEELRWKPTVDIEKGLRLTVEWFKRNFK------- 142298217 --SKKIIITGGLGYIGSHTIVELKDIYDEFIIIDDLSNSDVATIKTITHYNLSINDEINLKKIFQEHTPLDVIHFAGLKSVQESEDFPDRYFYTNIVGTASLLNAIKEFSCENFIFSSSATVYGSPSYLPYDEQHPTVPINNYGRSKLIAEQLIRQWASVNNISSLSLRYFNPVGAHPSGNIGEKPLGPNNLMPFILEVISGNIDKLKIFGNDYDTKDGTGERDYIHIVDLAKAHVAALAYTKTKNINDVINVGTGSSISVLEIIETFRESLNIDVKYEISKRRIGDLPKYFSETKKANNLLKWKAEKSLIDMCSDSLKWQEK---------- 113868864 ----HILVTGGAGFIGANFVDWLRQEGAAGIVVDKLTYAGNRKSLRHVFLQADICDTAAVTALLEAYRPRAVLHFAAESHVDRSIVSPGDFVQTNVVGTFRLLETVLEYWAFRFLHVSTDEVFGAPADPPFTEVSRYLPNSPYSASKAASDHMVRAYHHTYGLPVLTTNCSNNYGP--------LQFPEKLIPLMITNAMVGKPLP--------VYGDGLHVRDWLYVGDHCAALREVL---ASGQPGATYNIGGLNEKTNLEVVQALCDLLDYRNQITFVKDRPGHDRRYAVDAGKLARELGWKPSETFESGLRKTVQWYMQNAEWVQD--- 242398639 IKNKLIVVTGGAGFIGSHIAEEL-SKDNDVIIIDNLYAGKIENIPPNKFIRADIRDYKSMAELIS--QADYVFHEAALVSVVESVERPLLTEEINVLGTLNVLKA-LSEGHGKFIFASSAAVYGDNQNLPLKESEKPKPLSPYGITKVSAEYYCKVFYELYGVPTVSLRYFNVFGERQG-------YNQYAGVISIFINRALKGEPLIIYGDGKQT------RDFIYVKDVVKANILAAESSKANGK--VFNVAKGERTSILELALKIIEITGSSSSIIFDKPRPGDIRHSQADISEIMK-LGFKPEYSLKEGLLKTVEWYR----------- 162447906 ----KVLVSGGMGYIGSHTVVELLELGHEVVIVDNLYNSQIDKINKLKFYEADCSNMNIMDNIFNKEQVDAVIHFAGYKAVGESVLKPLMYYQNNLNTTMTLASLSEKYKVKHFIFSSSATVYGDQVSPLHELMELKVTTNPYGETKKMSERILKDFSRNNDMNITLLRYFNPIGAHKSGLIGEKPQGPNNLMPYVTQVAKGIREKLFVFGNDYDTVDGTGVRDYIHVVDLAKGHIAAL--MNAKSNFNIYNLGSGVGTSVLELIHTFERVNGIKIPYDIVERRPGDLATVYADASKAKKELHWGTKLTLEDMVKDAW--------------- 291453757 ------LITGGAGYIGAHVVRALDEAGESAVVLDDLSTGVEGRLPAATLVQGTTLDRPLLDKVFADHAITGVIHTAAKKQVGESVSVPLRYYHDNIEGLRVLLAATVAAGVDSFVLSSSAAVYGMPDVDLVTESTPCAPMNPYGETKLAGEWLVRATGRAHGLRTACLRYFNVAGA----AVPELADTGVFNIVPMVFERLTDGVPPQIFGDDYPTEDGTCVRDYIHVVDEAHAAAARALTAATPGEDLTVNIGSGRGVSVRELIDLINDVTGKHIDPVVLPRRPGDPARVVASTARAAEALDWRARLTPRDMVTSAWEW------------- 142036036 ----KILVTGVAGFIGFHLASRLLKEGNNVVGIDSLNDYYDPKLDNFVFEKIDIAERETIDNFFKNNKFDAIVHLAAQAGVRYSLENPNAYIDSNIVGFTNILEGCRSTNIGHFIFASSSSVYGMNIKQPFSTDDKTDPVSLYAATKKSNELIAHTYSHLYNIPTTGLRFFTVYGP----------YGRPDMAYFKFTKAILEQETIDVYNNGDMKRDFTYIDDIVEGICTAIAKIPSKQENVSSPKYQIYNLGNNNPVTLKEFIESIEKACEKKALQNLLPIQAGDVPITYADIDKSIQDLEFQPTTNIEEGIKKFVDWYKKY--------- 257052080 -SGQTVLITGGAGFIGSHLADALVEHN-DVIVLDNLSTGKRENVPDGTFVEGDVRDADVVADVSDGV--DLIFHKAAVVSVERSIDEPAFSHEVNFDGTLTLLEAARRVDA-RVVFASSAAIYGDPDTLPITESDPVDPQSPYGIDKCAADQYLRAYHDLYGLETVALRYFNAYGPRQTA-------SDYSGVISIFGEQARAGEPITVNGDG------TQTRDFVHVSDVVTAN---LLAATTEHVGTAYNIGTGGETSIRSLAETIQATVGTDSPIVHGDPRPGDIQRSRADITTARERLGYEPSVPLETGLATLFD-------------- 239983208 ----HVLVTGGAGFIGSHYVRMLLSRGLTITVLDKLSYAGNPANLAEWFRRGDICDRALLDEVLPGA--DCVVHFAAESHVDRSIEDGDVFLRTNVLGTQRLLEASLRHGVGKFVHVSTDEVYGSIDQGSWPETDPLRPNSPYSASKASSDLLVLAFHRTHGLDVSVTRCSNNYGP--------MQYPEKVVPLFLTRLIDGLPVPL--------YGDGRQVRDWLHVED----HCHGIELVCSGGPGEVYNLGGGTELSNRDLTERLLAVTGSDWSVRRVPDRKGHDLRYSVDWSKAREELGYLPTRDFASGLEATARWYRDHPGW------ 307130164 ----KFLVTGAAGFIGFYACQSLCAAGHTVVGIDNLNNYYERALPGFHFERIDIADSQAMTALFAAEKFERVIHLAAQAGVRYSLENPMVYAESNLIGHLNVLEGCRHNGVGHLIYASSSSVYGLNSKTPFTADSTDHPISLYAATKKSNELMAHSYSHLYDLPTTGLRFFTVYGP----------WGRPDMALFKFTRRILAGEPIDIYNQGDMWRDFTYVTDIVEGMRMVDQIPGRDVGWTVEGGSQLYNIGHGSPVRLMDFVTALESALGREAVKNFMPMQAGDVYQTYADTSDLFAVTGYRPQVGVEKGVQAFVDWYRDFYQA------ 143913873 ----RVLVTGGTGYIGSTAVEILRAQGFDISILDDCSMGHADTVPAGRFINGSLLNPTEVADALTGC--DAVMHFAGKSLVGESVEKPDLYHSVNVDGTRILLDEMRKQSITKIVFSSSAATYGEPKVVPILETSETVPTNPYGATKLAIDHMITAEAKAHGISAASLRYFNVAGAAERGWLAERHNPETHLIPNVLRSTI--DNPVKIFGTDWPTSDGTCIRDYVHVIDLIDAHLKALNSLGKPG-HEIYNLGSGSGYSVREVVAAASSATGHQIPFIDSPRRAGDPAVLIADISKAKSHLGWEPTRDMNTMVSDTLK-------------- 135162279 ---RTVLVTGGAGYIGSHACKALAAAGYIPVTYDNLATGWADAVKFGPFEEGDLADRERLDDVFRRHRPVAVMHFAASSLVGESMRAPGAYWRNNVCGSLSLIEAAVAAGCMDIVFSSTCAVYGEQDWVELDEAAPQAPINAYAASKRAIEDILANFGESHGLNAVIFRYFNVAGADPDGEVGEFHRPETHLIPLMLDAIDGKRPALTVFGTDYPTPDGTCIRDYVHVMDLVDAHLLGLKWLEEGRGSRVFNLGTGHGFSVREVIE------------------------------------------------------------------- 75908852 -----ILITGGAGFIGSNFVHHWYEQGDRIIVLDALTYAGNRQNLADRFVKGDIGDRALIDQLLEEEKIQAIAHFAAESHVDRSIVAPDAFIQTNVVGTFTLLEAFRHHWTYRFLHVSTDEVYGSLEDPAFTETTPYAPNSPYSASKAGSDHLARAYYHTYGLPTLITNCSNNYGP--------YHFPEKLIPLICLNILLGKPLP--------IYGDGLNIRDWLYVED----HCRALDIVIHQGKGETYNIGGNNEIKNIDLVQMICELMDASKLITFVKDRPGHDRRYAIDATKIKTELGWEPQQTISTGLRHTIQWYLTHRHWWE---- 297538707 --NKTIIVTGGAGFIGANFVLAWIKQGLGPIVLDKLTYAGNQHNPEHIFVHGDIGDQSLVAELLAKHKPCAVVNFAAESHVDRSIHGPADFIQTNVVGTFHLLEAVRAYWDFRFLHVSTDEVYGGKTDAAFTETHPYAPNSPYSASKASSDHLVRAYHHTYGMPTLTTNCSNNYGPH--------HFPEKLIPLVIHNALAGKPLP--------IYGTGHQVRDWLYVEDHCAAIRRVLEAGQ---VGEVYNIGGWNEKPNIEIVKTLCRMLDEKDQIAHVEDRLGHDQRYAIDATKIATQLGWKPKETFETGIEKTVNWYLDHQDWVAN--- 163848788 ----TYLVTGAAGFIGSHLVDRLLARGEQVIGFDNFVDYYSPDRKRRNLIEGDIRDPDTVAHIFTRYRPRFVAHLAAMPGPRPSIANPQLYEAVNVGGSLVILDYARRSEVENLVLASTSSVYGKTNRVPFREDDNTDPLSPYAATKKAAEVLAYTFHSLYGIPTSVVRFFTVYGPR----------GRPDMTPYLFVERMVRGQPITLFN-----GGENLFRDYTYIDDIVSGVINALDRPH---PYEIFNLGHSQPVELRRFVNLLEQITGYPAQIEIKPLPATEPPITYADTTKAGQLLDFAPRVAIEEGLARFWAWYC-------DEHQ 144159589 --NGSILVTGGAGFIGSTLVRHLLDTTDRVVTLDALTYAGSLASLRHTFVQGDITDSDLVRRVFDEHAPNAVLHLAAESHVDRSIDGPSAFVDTNVVGTLTLLDAWRGRDNVRFVHVSTDEVFGAANDPPFMPGSCYDPRSPYAASKAASDHLARAWFHTYGLPVIVTNCSNNYGPR--------QHPEKLLPTIILRGARGDKLP--------VYGDGDQIRDWLHVHDHARGIHLALEHGT---PGDTYLFGARNERTNLEVVTKVCSLLDHAERITHVANRPGHDRRYAVDPSHAERALDWKPEIPFDDGLASTVDWYLLNPTWVD---- 281357610 IENCRVLVTGGAGFIGSNLVEALLAARNEVVVLDNFMTGRRENLPAFTLLEGDIRDMAMCRK--AAAGADYVLHQAALGSVPRSIKDPMTTTEVNISGFVNMLFAAQEAKVKRFVYAASSSTYGDSTALPKVEERIGKPLSPYAITKYVDELFAENFSGIYGVETVGLRYFNVFGRRQD------PDGAYAAVIPRFVRSLLRHESPVINGD------GSYSRDFTYIDNVVDANLLAMAAVEPEAVNTVYNVAFGERTTLNELFLYLRENLAKGIEPQYGPVRAGDIPHSLADIGKARRLLGYEPEIGVKQGLELAAEWYFNHPAW------ 295838926 ------LVTGGAGYIGSHVVRVLAGAGVPVVVYDDLSTGDAARLPAGPLETGSVLDRARLDTVLGEHEVTGVLHIAGKKQVAESVEVPLHYYHENVEGLRVLMEAMRAAGIDRLVFSSSASVYGVPEAELVTEDTPCLPISPYGETKLIGEWLLRDASVAHGTRTIALRYFNVVGAGLPELADKG----AANLVPLVFERLDADRPPLVFGDDYDTPDGTCVRDYVHVQDIAEAHLAAARRLEEAGTALRLNIGRGEGSSVREMIERILKTTGRELAPEVVPRRPGDAARCVASADAIHAELGWSARYGLDEM-------------------- 297182566 ----KILVTGGAGFIGSAVCRHLVAADHAVVNLDKLTYAANLSSLRYRFVEGDICDRAMVAGLMETEGIDAVMHLAAESHVDRSIDGPGEFVRTNVTGTFELLEAARGHWAFRFHHISTDEVFGDLPGGLFTEETPYEPSSPYSASKAASDHLVMAWHRTYGLPVVLSNCSNNYGP--------YHFPEKLIPLMILNALHGEALP--------VYGRGENVRDWLYVDDHARALTTILTR---GAPGRSYNVGGRNERSNLEVVHAICDATSRRDLVRFVTDRPGHDLRYAIDATRIETELGWRAEETFETGLEKTARWYLANEAW------ 292488436 ----KYLVTGAAGFIGFHVAQRLLNAGHQVVGLDNLNDYYDVNLKTARFIKGDLADREGMAELFRCHRFQRVIHLAAQAGVRYSLENPLAYADANLVGHLNILEGCRHNQVEHLLYASSSSVYGLNRKMPFTDDSVDHPVSLYAATKKANELMSHTYSHLYGIPTSGLRFFTVYGP----------WGRPDMALFKFTRAIIAGEKIDVYNHGQMRRDFTYIDDIVESVFRLQEVIPQADKDWTSAPYRVYNIGNSQPVTLMDYIGALESALGTVADKNMLQMQPGDVVDTSADISALYKAIGFKPQTSVKEGVARFVSWYKEFY-------- 206602026 ----RYLVTGGAGFIGSHLVRALLEKGHEVRVLDNFSTGKEENLARIDVIRGDVRSFADIERALEGVTF--VFHQAAVGSVPRSIADPFDTQTANVNGTLNLLWKAKEFGVQRVVIAGSSSVYGDTPGMPRVETLLPSPLSPYALSKLSQELFGKIFSKTFGLETVTLRYFNIFGPRQD------PRSEYAAVIPRFVRAILKKDAVTINGT------GEQSRDFTFIDNVVQANLLAMET--TRGIGEAVNIGCGSSFSILELVDHLSEILGVRPEVRHLPPRAGDPMASQADISKARDLLGYSPKVYFREGLERTARWFEEKFRRTGD--- 301632561 -----ILVTGGAGFIGANFVDWLACQDEAVVNLDKLTYAGNLHNLRHVFVQGDIGDHALLERLLAAQRPRAVVHFAAESHVDRSIHGPEDFIQTNVLGTFRLLEAVRHYWAFRFLHVSTDEVYGTPTDPAFTEDHRIEPNSPYSASKAASDHLVRAWHHTYGLPVLTTHCSNNYGP--------LHFPEKLIPLMIVNALAGKSLP--------VYGDGMQIRDWLYVRDHCSAIRRVLAAGRL---GETYNIGGWNEKTNIEIVYTVCALLDALRQISHVKDRVGHDRRYAIDARKIERELGWKPAETFDSGIRKTVQWYLEHPEWVQQ--- 257387487 ----KILVTGGAGFIGGHIAERFVVDGHDVVALDNLDPFYDVDIKRHTIEEGDVRDADLVSELVA--DADYVYHQAAQAGVRQSVENPRKYDEVNVDGTLNLLDAARETGIERFVMASSSSVYGKPRYLPYDEEHPTTPVSPYGASKLAAERYVCAYSEVYDLSAVALRYFTVYGPRMR---------PNMAISNFVSRCLDGRSP-------VVYGDGSQTRDFTFVEDVVEANVALLDT--DAADGEAINVGSTDNIEIETLAEEIRDQLAPDLDLVYEDRHDADAEHTHASTERAAELLGYEPEYTIREGVSAFIDWYRENREWYE---- 124549149 ----RILITGGAGFIGSALVRMLIEQTESVVNFDKLTYASHPESLAGHFVQADICDRARLDQVLQQFQPDLVMHLAAESHVDRSIDGPAEFIQTNIVGTYTLLEACRSYYQFRLHHISTDEVYGLGKAGLFSETSAYDPSSPYSASKASADHLVRAWHRTYGLPIVITNCSNNYGP--------FQYPEKLIPLMVNNALLGKPLP--------VYGNGQQVRDWLYVDD----HVKALFLVATEGQGETYNIGGSNEYTNLAVVQRICDLLGFRDLIQHVADRPGHDVRYAIDASKIQRELGWQPLESFDSGLRKTVEW------------- 142108558 ---KKILVTGGAGFIGSNLVRLLLEKNCSVIIVDKLSYGNSESLPGYFFEQLDLGGAPLLQSLFQEHRPDAVIHSAAESHVDRSIDGPGEFIQANVIGTYNLLQASLHYWKFRFLHVSTDEVYGLPANGLFSETTRYDPHSPYSASKAASDHLVRAWGDTYGLPVLVTNCSNNYGP--------YQFPEKLIPLVILKCLHGEAIP--------VYGKGANIRDWLYVTDHVEALYLVLTKGRA---GETYNIGGNNECQNIELVRMLCQLMGYESLITFVSDRPAHDMRYAIDSTKIRDELGWEAKEDFESGLRKTVQWYLNYPNWWER--- 299135745 ----TYLITGIAGFIGSHLAQALVERGHHVRGIDNFSTGRRE-NLAHIAEKVDLLTVDLVNAARACVGVDAILHQGALPSVPRSVKDPRPSHNSNLDGTFNLLEGARAAGIQRVVYAASSSAYGNQPGFPRVETMAPQPIAPYPVQKLAGELYMQSYARVYGMETVCLRYFNIFGPRQTP-----DSPYSGVIAKFCLQMLKTGKTKDE--QPTIQGDGEQGRDFTYIANAVSANLLALEAPAEKIAGRVFNVACGERHSLNETYQILARLTGYKKPAVYTEARAGDIRDSLADISAAREAFGYEPIVSFEEGLAQTVAWYRE---QLASRH- 53712100 --KRNILITGGAGFIGSHVVRLFINKEYRIINLDKLTYAGNESASNYTFVKADICDFDKLIDIFSEYKIDGIIHLAAESHVDRSIKDPLTFARTNVMGTLCLLQAARQMWGKRFYHISTDEVYGASDGTYFTESTKYDPHSPYSASKASSDHFVRAYHDTYGMPTIVTNCSNNYGP--------YQFPEKLIPLFINNIRQGKPLP--------VYGKGENVRDWLYVEDHARAIDLIFHK---GKIADTYNIGGFNEWKNIDLIKVIIKTVDREHLITYVTDRAGHDLRYAIDSTKLKKELGWKPSLQFEEGIERTVRWYLDNQEWMD---- 83582780 ----KILVTGGAGFIGSAVVHHLMSATDSVVNVDALTYAANLASLRYQFEKADIRDAAALDRLFATHQPDAVMHLAAESHVDRSIDGPAAFIETNLLGTYTLLEAARRYWAFRFHHISTDEVYGLGEIGAFTEATPYSPNSPYSASKAGSDHLVNAWHHTYGLPTVISNCSNNYGPR--------QFPEKLIPLMILNGLEGKPLP--------VYGQGQNIRDWLHVEDHARALWAVLTKGQ---PGESYNVGGAAERRNIDIVTTVCDLLDRRALITYVQDRPGHDFRYAIDASRIHGELGWQPVETFETGIRKTIQWYLDNEAW------ 144174201 MEKMKILITGGAGFIGSHVVRLFVEKDYQLYNLDALTYAGNEDRPNYHFVKGDIVDAEFLDRLFAEHRFEGVLHLAAESHVDRSITDPLAFVRTNVIGTVNLLNAFRDTWKDRFYHISTDEVYGTGAEGLFEETTPYDPNSPYSASKASSDHFVRAYGETYGLPYVVTNCSNNYGPN--------QFPEKLIPLFIHNVKNGKPLP--------VYGDGKYTRDWLYVIDHARAIDLVYHQGRN---GETYNIGGFNEWQNIDLIKVLCEQMDSEKLITYVKDRPGHDRRYAIDATKINQELGWKPSVTFEEGLSETIDWYLANGPWLDR--- 29828781 ------LITGGAGFIGAHVVRTMLEAGERVAVYDDLSTGDPGRTPEGPFVKGSTLDGGLLRRTLADLHITGVVHLAAKKQVAESVERPLYYYRENVHGLETLLDAAAETGVRNFLFSSSAAVYGMPDVKVVTEDTPCAPINPYGETKLAGEWLVRAAGRAHGMTTASLRYFNVAGA----ADERLADTGVFNLIPMVFEKLTQGTAPVIFGDDYDTEDGTCVRDFIHVEDIASAHLAAARTGREPGSDLTVNIGRGEGVSVREMIELIGEVYGADAAPVSAARRPGDPARVVAAADRIQAELGWSARYDARAMVASAWAWCARHPQA------ 148508229 ----RILVTGGAGFIGGHLAERFITDGHDVIVLDNLEPFYDTRIKQQTIEEGDVRDVDIVSELVS--DAEYVYHQAAQAGVRQSVSDPRKYDEINVEGTLNILDAARDTETERVVLASSSSVYGRPEYLPYDESHPTTPVSPYGASKLAAERYACAYSEVYDLPAVALRYFTVYGPRMR---------PNMAISNFVSRCLNEQPP-------VVYGDGTQTRDFTFIGDIVEANVTLLTESAADGEAVNI--GSTDNIDILTLAEEIRDQLAPEQEITFSERHDADAEHTHAAVEKARDVLGYEPTHTIREGVEEFVEWYHENRDWYE---- 143988258 ---------GGCGYIGSHTVIELIENGYDLLVFDNLSNSRIEQIIRPAFIQIDLSDAKETNILFKRHQDAAVIHFAAYKAVGESVLDPLKYYQNNLYVLINTLSAQRENGINKFIFSSSATVYGDPDQLPVTEESEQRATSPYGNTKKIGEEILEDLTKDMNFSAISLRYFNPIGAHASGFIGEIPTGPNNLMPYITQTAAGIRQQLLVYGNDYPTPDGTAIRDYIHVVDLAQAHVVALKRLLEQKQEEVFNLGTAKGYSVLEVIHSFESVTQVQLNYKLTDRRAGDIASLYAATEKAEKKLGWKAQKGLDEMMRSSWDWE-QHYRS------ 298243406 ----RILVTGGAGFIGSHIVDHYIAAGHEVAVVDNLWSHGANLNPQAQLFRADITDEESLAHIFDAVKPETVCHQAAQHSVVVSTKDPKLDAHVNVFGLLSILNNCTRVGTRKIIFASSGATYGTPMCLPVDEDVMQRPESPYGITKMVAEHYLRYWHEANGLTYTALRYGNVYGPRQD------PNGEAGVIAIFARRFLTHQPVRIDW-------DGEQSKDYVYVADVARANVQAL----TRGDNEVFCIGTGEAVSVNGIYKTLAAVTGYEPEIVRAPKRPGDLYKSQFNCGKAERLLGWKPEVSFEEGVRATLAFFQQ---------- 136181435 ----RVLVVGGAGYIGAHVCDVLVNNGYQVRIYDDFSNGLRRRVKFTDVVIGDILDRTKL--IQSLEDVDSVIHLAAKKAVGESVENPLKYYQNNVGGTLNLLAAMSAKSIKTLVFSSSAAVYAPNDKPAIEESDLTKPLSPYGETKLLSEQLISKVSGAERISAISLRYFNVVG----SAKPEFGDNSKDNLVPKVFNALNSGKSPEIFGNDYPTKDGTCIRDYIHVGDLADAHLVALEKASNEYINEVYNVGSGTGYSVNEMMEQMARSMNVAFKPVVSDRRPGDSPQLISSIKKIEEELGWIPKATLKEMIDSSW--------------- 159036396 ----KLLVTGGAGFIGSVVTRMLLDAGHEVVVLDDLRTGHQVAVAPEATHVVAPLD-DVARVVTPEAGFDGVLHFAALIAAGESMVRPELYWHANVVGSLALLEAVRAAGVPKVVFSSTAAVYGNPVELPISESAVTAPTNTYGATKLTVDMALTSAAVGHGLAAVSLRYFNVAGAHLDAPVGERHDPETHLIPIALDVAAGRREKLQLFGDDYPTVDGTCVRDYIHVTDLARAHLLALDTAV-GGRHRIYNLGSGSGFTNRQVVEVVREVTGHPVPVTVAPRRAGDPAELVAAAELAREELGWTPKPTLHDMVGDAWTFYRHH--------- 282899586 ----KILVTGAAGFIGFHLSNYLLNRGDYVVGIDNLNSYYDVSLKQARLVQLDLADRDRVNNLFAQYQFDVVVNLAAQAGVRYSIKNPHAYIDSNIIGFTNVLEACRHYGVKHLVFASSSSVYGANTKIPFTHDNVDHPISLYAATKKANELMAHTYSHLYELPTTGLRFFTVYGP----------WGRPDMAYFSFTKAILSGQPIDVFNYGKMKRDFTYIDDIIEGVVRVNWVSDIPDPGSSRAPYKIYNIGNNSPVELMHFIEVIEQCLGIKAKKNMLPLQPGDVTITYADVDDLIQDVNFKPDTPIEVGVRQFVKWYREYYQ------- 114321825 ------LITGAAGFIGYHTAQALLARGDEVVGLDNLNDYYDPRLKRARFVKLDLADRAGMAELFRAERFQRVIHLAAQAGVRHSLTDPYSYVDSNVSGTLNVLEGCRYNDVEHLTYASTSSVYGAHEDMPFTEHHTDHPLAIYAATKKATEHMAHSYAHLYGLPCTGLRFFTVYGP----------WGRPDMALFLFTRKILAGEPIDIYNNGDHGRDFTYVDDIVDGVIRAEWDPKRPDTATSNAPWRIYNIGANRPVRLMHYVEVLEEALGRKAEKNFLPLQPGDVPETHADVSALAQDTGYSPKVSVEEGIRRFVDWYREY--------- 144212042 ----KVLITGGLGFIGSHTVAELVDINSEVIIIDNLTNSYLKTLKEILFFNIDIRNRDQLQKIFLKYKPNKVIHFASLKSVSDSSINPDLYYDNNVIGSKVLFDLIVKYDVKNLVFSSSATVYGDPKYLPINESHPLSATNPYAQNKIDIEFMLKNISSKYDLSIKVLRYFNPIGSHPSFKIGENPKGPNNLMPYIMGVVFGKYKFLKIFGSDFDTADGTGVRDYIHIHDLALAHQAALNY--EKEGINYFNIGTGKGYSVLNLLKTFEEINKIKIPFKFVDRRKGDVATVFADSKSAEEVLNWKAQKNLEDMCRD----------------- 150006700 ----KILVTGGAGFIGSNLCEHLLAHNYEVVCLDNFATGKPENVFKFKLIVGDIRVLADCRKAVEGV--DYVMHEAALGSVPRSIKDPITTNDTNISGFLNMLVTARDAGVKRFIYAASSSTYGDSKSLPKVEDVIGKPLSPYAITKYVNELYADVFAKTYGMECIGLRYFNVFGRRQD------PFGAYAAVIPLFVKKLMAHESPVINGD------GEYSRDFTYIDNVVQMNMLAMTTNNKNAVNQIYNTAYGERTTLNQLVGYLKEFLSIQVEVIHGPNRLGDIPHSLACIDKAKSLLGYNPQYSMREGLKEAVKWYWNN--------- 16331720 MAKTPILVTGGAGFIGANFVYHCVQTDRRIVVLDALTYAGNEKLPNFRFVQGDIGDRHLVDQLLREEQIETIAHFAAESHVDRSILGPGAFVQTNVVGTFTLLEAFREHWQFRFLHVSTDEVYGTPNEPGFSETTPYSPNSPYSASKAGSDHLVRAYFHTYGLPTLITNCSNNYGP--------YQFPEKLIPLMCLNILRGEKLP--------VYGDGQNVRDWLYVTD----HCQALDLVLHQAPGATYNIGGNNEVKNIELVEILCDLMDARQLISYVTDRPGHDRRYAIDASKIKRELGWEPKVTVERGLRQTVQWYLDHEAW------ 113953729 -----ILVTGAAGFIGAALCERLLQRGDRVIGIDNLNDYYDPALKQARLARIELEDGDALLKLFAAEQPRVVVNLAAQAGVRYSLENPAAYIQSNLVGFGHILEGCRHHGVDNLVYASSSSVYGGNRNLPFHEQQAVHPVSLYAASKKANELMAHTYSHLYGLPATGLRFFTVYGP----------WGRPDMAPMLFARAILAGEPIKVFNHGKMQRDFTYIDDIVECCDQPDFDPMQPDPATAAAPHRVFNIGNSQPTELLRFIEVMEQALGREAIKDFQPMQPGDVVATAANTEALETWVGFKPSTPIEEGIQRFADWYRKFYQ------- 145220024 ----KVLVTGAAGFIGSNVSRRLLERGDRVTGIDNMNDYYDVSLKEARLERLDLADRKAMEELFAEGGFDRVVNLAAQAGVRYSLINPHSYIESNILGFTNILEGCRHNGVEHLVYASSSSVYGANETMPFSHDNVDHPLSLYAASKKANELMAHTYSHLYQLPTTGLRFFTVYGP----------WGRPDMALFLFTDAIIKGKPIKVFNYGKHRRDFTYIDDIIRTLDHVDWSGLQPDPGSSRAPWRVYNIGNSKPVELMDYIGALERELGKTAEKEFLPLQPGDVPDTYADVEQLMEDVQYKPQTSVDEGIKRFVVWYREYY-------- 255305246 ---KKILITGGAGFIGSNFIHYMLNKDYFIVNLDLLTYGNLETLIEYKFVKGDISNREQVYKLFEEERFDIVVNFAAESHVDRSVENPDLFIKTNIIGTQVLLDASIKYGVKRYHQISTDEVYGDRKDLFFTEQSSINPSSPYSASKASADLLVSSYYRTYGLLTTISRCSNNYGP--------YHFPEKLIPLMILNALENKQLP--------VYGNGENVRDWLHVYD----HCTAIDLIIHKGNGEIYNIGGHNERSNLEVVKMILNLLGKEELISYVNDRPGHDLRYAIDATKIENELGWKAKYDFDLGIKETVKWYIENESWWK---- 307261952 ---KTVFITGGAGFIGSAVIRYIIQNTDRVVNVDKLTYAGNLTSLRYHFEQVDICDSGRISQLFCKYQPDAVMHLAAESHVDRSIGGPATFMQTNIIGTYTLLEASRRYWIFRFHHISTDEVYGDSSDELFTEKTAYAPSSPYSASKAASDHLVRAWFRTYGLPTLVTNCSNNYGP--------FQFPEKLIPLMILKALSGSPLP--------IYGNGLQIRDWLFVEDHAIALYKVL---CEGKVGETYNIGGHNEKTNIEVVRMICRLLDYEELITYIQDRPGHDIRYAIDARKITDELGWQPQETFESGLRKTVEWYLSNKDW------ 254513947 ----RVLVTGAAGFIGFHLSHRLLNEGHDVVGLDNLNDYYSVQLKRDRFVQLDLEDRQAMEKLFADQALDAVINLAAQAGVRYSLENPRAYISSNIDGFMNVLECCRHANTSHLIYASSSSVYGMNTRMPFSHDNVDHPVSLYAATKKSNELMAHTYSHLYGLRTTGLRFFTVYGP----------WGRPDMALFLFTKAILAGEPIKVFNQGQMRRDFTYIDDIVEAHSNPQWDSAQPDPGSSSAPYRLFNIGNNQPIALMDFVSAIESALGQEAQKEFLPLQAGDVPATFADIEALADYVDYRPGTDINVGIQNFVDWFRDYYR------- 225850891 -----VLVTGGAGFIGSHLVEELIKKDQTVIVVDNLSTGKIENLPCSDFIEGDISEKGFVKELFSFYSFDKVFHLAAVASVAKSVELPEETHRTNFDATLYLLESSI-GKVNRFVFASSAAVYGDLLELPKREDMPVKPLTPYAVDKYASERYVVNAFRLYGLDSTAVRFFNVFGERQDP-------SSPYSGVISIFIDRIKRYKNGEDTEIVIYGDGKQTRDFIYVKDVVKALILLSESRDSSGE--VFNLGTGSSISLLEILDILKEIVGDLPPVRFEKERKGDIKHSQADISKIKS-LGFSPEYSLKEGLEK----------------- 150025362 ---KNILITGGAGFIGSHVVRRFVQNNYHIYNLDALTYGNLENIKDIEKEKGDIVDEHFINELFAKHNFEGVLHLAAESHVDRSIEDPLSFVKTNVIGTMNLLNAAKNIWKKRFYHISTDEVYGLGAEGLFTETTAYDPNSPYSASKASSDHFVRAYGETYGLPYVLTNCSNNYGP--------YHFPEKLIPLFINNIINNKPLP--------VYGDGNYTRDWLFVKDHAIAIDLVFH---EGNNHETYNIGGFNEWKNIDLVKLLCKVMDEKKLITYVKDRPGHDLRYAIDASKINKALGWKPTVTFEEGLEQTIDWYLANTNWLDN--- 24216647 ----RLLITGGAGYIGSHVVALLLEKKHELVIVDNLEKGNRSNLFSETQIQGNIQDESVLENAFSK-PIDAVFHFAAWKAAGESMTDPSKYALNNINGTLKLLTFMEKAGTNQFIFSSSAAVYGSPEYLPIDEKHPVRPENYYGYTKLAIEQNLKWYETLKGFKFAALRYFNAAGYDPKGRVRGLERTPANLLPIIMEAAVGIRKDFEVFGTDYETPDGSCVRDYIHVTDLAKAHVLSLDYLDSEKKSLTVNLGSEKGYSVLEMVRLAEEVVGRSIPHKISGRRAGDPAKLLASSAMAQRLLQWVPEYSAKTLLKTMWDVY-QNP-------- 15606538 ----NILVTGCAGLIGWKVSEKLLEHGHRVIGVDNLNSYYDPRLKEYRLEQVNIENREALRILFQEFEFDAVINEAARAGVRYSIQNPHIYFTTNTLGNLNLLELMKEFGVKKLILASTSSLYAGQPMPFKEELPVNTPISPYAASKKAAEVTAYTYHYLYGIDVVILRYFTVYGL----------AGRPDMAVFNFIYKTLKGIPIKVYGDG------SQKRDFTYVDDVAEATVKAL----NLKGYEIINVGNNKPRALKELIELIEKYTGKEVKVEYGDFHKADMRDTWADITKAKRLLGWEPKTSLEEGVKKTVEWFLENWDWVKD--- 114563994 ------LITGGAGFIGSAVIRIILNTEHSVINVDALTYGNLESLERYSFKQVNICDSRALARIFTEYKPDAVMHLAAETHVDRSIDGPAAFIETNIVGTYTLLEATRAYWAFRFHHISTDEVYGDGTDDLFTEETSYEPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLMILNALDGKPLP--------VYGDGMQIRDWLFVEDHARALYRVV---TEGVVGETYNIGGHNEKSNIEVVKTICSLLEYSDLITYVTDRPGHDFRYAIDASKIDQKLGWKPEESFESGIRKTVEWYLDNKQW------ 116749153 ----NILVTGGAGYIGSHTSKLLRKAGHTPIAFDNLSNGWAELVRFGPFVFGDIRNEEALARALEVFKVDAVIHFAAKAYVEESTRLPEEYFDNNVGGTVALLKAMKRAGTKTLVFSSSCATYGNARTPTIKENHPQEPTNPYGLSKLMCEQVISTVAPVAGIRFAALRYFNVIGGDPEGEVYERHEPETHVLPNLMKAGLSGAE-FSLYGTNHPTPDGTAVRDYVYVMDLAAAHVKALDVLRAR-DRLISNVGRGRGTSVRELLEIVRRNVKAELNVVEKPIRPGDPPELVADNTYLKT--------------------------------- 119475334 -EGMKILVTGGAGFIGSAVVRHIIENTHDVINVDKLTYAGNDTSDRYSFYRADICNRGALEAIFDAEEPDAVMHLAAESHVDRSIDGPSDFIQTNMVGTFILLEVARTYWQFRFHHISTDEVYGDGDDDLFLETTPYAPSSPYSASKAGSDHLVRAWCRTYGLPVLLTNCSNNYGP--------FHFPEKLIPHIILNALDGKALPIYGDGQ--------QIRDWLYVEDHARALYKVV---TEGAIGETYNIGGHNEKKNIDVVKVICKILGFEALITYVKDRPGHDRRYAIDAKKIERDLGWVPEETFETGMKKTVQWYLNN--------- 302347865 ----KFIVTGGAGFIGSNLSRLLLSEGHDVIVVDDLSSGARENVPAGARVIGDVSDRRALEGVEAMARGDAIVHLAAVSGVVEAREDPSRAVRANVLGTQEVLDMARRLDAYV-TIASSAAVYGDVSDVPVKEDAPLRPTSLYGLTKLFDEQLAEQAYRDYGLRSSYLRLFNVYGPGMR------RGPYASVIYNFMEAAIRGLRP-------VIYGDGLNTRDFVYVDDVARAFVEAVRRRA----TGPFNVGTGREVSVLDLLRLISKVAGVELRPEFREPRPGDIRRSCADVSRARESLGWEPRVSLEEGLRLTYSYMRE---------- 269839847 ----RVLVTGCAGFVGSHLARRLLAEGHHVVGVDNFTNYYPRHILADPRFEFHELDLVTANLLPLLADVEIVYHQAAQAGVRASWGAQFEYLRNNVLATQRLLELLKALPIRKFVYASSSSVYGDAESYPTHEDMVPRPVSPYGVTKLAAEQLTYLYWKNYGVPTIALRYFTVYGPG--------QRPDMAFHKFIASALHGR--PIQVYGDGHQT------RDFTFISDVVEAN---IAAGLCEAAGIAVNVGGGSRVTVRQVLAILQEILGRELLVEYTAPQHGDVRHTAADIALANRVLGYEPRVSLREGLEAEVRW------------- 149278940 ---KKILITGGAGFIGSHVVRRFVNEDYQIVNLDKLTYAGNEDRPNYRFVKADITDAPRINELFLQENFDAVIHLAAESHVDRSITDPSAFVMTNVIGSVNLLNAAREYWKKRFYHVSTDEVYGLSEEGMFTEQTAYDPHSPYSASKASSDHFVRAYHDTYGLDVVISNCSNNYGSH--------HFPEKLIPLAINNIKNGQPVP--------VYGKGENVRDWLWVEDHARAIDVIFHQAKT---GETYNIGGHNEWKNIDLIHLLCRIMDKKKLITFVTDRAGHDLRYAIDSTKLQQVLNWVPSLQFEEGLEKTVDWYLENEEWLAN--- 300120608 ----HILLTGGTGYIATHTAIELLKEGYKLTLLDNFCNSSPKKKLAGVDEEADLNDKASIEAVFEKRGPDAVIHFGTLKSVGRSWKIPLRYYQNNLTGTFNLLETMNKFNCKKIIFSSSATVYG-ADPVPITEESPVGILNPYGRTNYMIEQVLMDATADKEWKVILLRYYNTVGAHESGEIGENPSNPNYLVPYIQQVVIGRRPYVSVFGNDYDTPDGTGVRDYIHIYDLAQGHLKAIQYIFMKSGTEVFNLGAGRGYSVLEMLHAMEEACGKTIPYKIVPRREGDVTEFYLDVSKAKRVLGWEAVKTLKDMCRDVMNWQTKHPYGFDD--- 153001416 ----KILVTGGAGFIGSALIRHVINETDSVINVDKLTYGNLESLPRYFFELVDICDREELDRVFQLYQPDAVMHLAAESHVDRSITGPAEFIQTNIVGTYTLLEAARSYFKFRFHHISTDEVYGDLPHPLFTENTSYAPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKSLP--------IYGKGDQIRDWLFVEDHARALYKVV---TKGTVGETYNIGGHNEKQNIEVVETICSILDELKQVTYVTDRPGHDRRYAIDASKMSIELNWQPLETFETGLRKTVEWYLANQEW------ 142792808 --DMRILITGGAGFIGSALVRMLIEQTTCVVNVDKLTYASHPEALRYHFIQADICDAATLEQVFQAHQPDMVMHLAAESHVDRSIEGPAAFIQTNIVGTYTLLEASRRYWQFRFHHVSTDEVFGLTETGLFSETSAYDPSSPYSASKASADHLVRAWHRTYALPIVMTNCSNNYGP--------FQYPEKLIPLMVTNALQGKPLPIYGNGQ--------QVRDWLYVDD----HVKALYLVATQGEGQTYNIGGSCEQTNLTVVRQICALLGFADLIQYVVDRPGHDVRYAIDASKIQRELGWRAQESFESGLRKTVEW------------- 192288553 --TKRFLVTGGAGFIGSAVVRRLIGTTHEVLVVDKLTYGNLDSLPRFRFVRADIVEQGTMRLLFEEFSPDVVMHLAAESHVDRSIDGPGDFIQTNIVGTYSLLQAALAHWGFRFHHISTDEVFGLGAEGLFREDTPYQPKSPYSASKAGSDHLVRAWHHTYGLPVVITNCSNNYGP--------YHFPEKLIPLAIIKALHGEAIP--------VYGTGANVRDWLHVEDHADALLLAAERGEN---GESYNIGGRNERTNLEVVQAICRLLDRAKLISFVADRPGHDARYAIDASKVERELGWRARYNFDDGLRQTVQWYLDNRTWWER--- 186681831 ----KVLVTGAAGFIGFHLSQRLLARGNEVFGLDNLNDYYDVNLKKARFYQVDLADRESMAMLFAEEGFDVVANLAAQPGVRYSLKNPHAYIDSNVVGFINVLEGCRHSRVKHLVFASSSSVYGANTKVPFSVHDKDHPVSLYAATKKANELMAHTYSHLYGLPTTGLRFFTVYGP----------WGRPDMAPFLFTKAILAGESINVFNYGQMRRDFTYIDDIIHVIDKIPKPNSSLDSEISNAAYKLYNIGNNQSVELMRFIEVIENCLGMKAEKNLLPMQPGDVPVTYADVDDLATDVGFRPNTPIEVGVERFVSWYRSYYQ------- 270264237 MALKRILVTGGAGFIGSAVVRHIIEATQDVMVVDKLTYGNLESLERYSFEQVDICDRTALDRVFAQYQPDVIMHLAAESHVDRSIDGPAAFIETNVVGTYTMLEAARHYWHFRFHHISTDEVYGDGTDDLFTETTPYSPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNAVAGKPLP--------VYGDGAQVRDWLYVEDHARALYQVV---TEGVVGETYNIGGHNERKNIEVVHTICDLLEYRDLITYVKDRPGHDMRYAIDAGKIDRELGWRPQETFESGIRKTVSWYLNNETW------ 148241284 -----ILVTGAAGFIGAAVCKRILADGESIIGIDNINDYYDPGLKNWQFEKLDISNQSSMKELFAKHKPCRVIHLAAQAGVRYSIENPSAYIQSNLVGFGNILEGCRHHDVKHLVYASSSSVYGGNTNLPFQESQAVHPISLYAATKKSNELMAHTYSHLYGLPATGLRFFTVYGP----------WGRPDMAPMLFAKAILSGQPIRIFNNGLMQRDFTYIDDIVEGIVRVKFDKMKPDPATSWAPHRLFNIGNSNPIPLMEFINCMEDALGIEAIKQFEPIQPGDVEATAADTAALHEWVGFKPSTSIEEGVKAFARWYRNYYQ------- 37522804 ---KHLLVTGGAGFIGSNFVHYWFTHHDRVVVLDKLTYAGNRANLRLRFVAGDICDAPRVQSLLEEERIDTVVHFAAESHVDRSIAGPEPFIETNIRGTFVLLEACRRYWDCRFLHVSTDEVYGTPDDPPFTETTPYRPNSPYAASKAGSDHLVRAYYHTYGLPVLTTNCSNNYGP--------YQFPEKLIPLIITRALEGQSLP--------VYGDGQQVRDWLYVEDHCRGIKAVLERGQL---GETYNIGTRNEQRNLETVGLVCDILGSIYPITFVTDRPGHDRRYAIDPARIERELDWRPVENFETGLRKTVEWYIENRAW------ 39937041 MAETAVLVTGAAGFIGYHVARELLEAGNVVVGLDSLNDYYDPALKQARFVHADLSDRPAIADLFAKHRFPVVIHLAAQAGVRHSLSHPHDYADSNLEGFLNVLEGCRHNGCSHLIYASSSSVYGANTKLPFSVDDPTHPISLYAATKKANELMAHCYSHLYRLPTTGLRFFTIYGP----------WYRPDMALYLFARAITEGRPIKLFNHGKMRRDFTFVDDVTRVVTKLMTLVPTAEPGQNGGPARVYNVGNHSPEELMHVVALLERELGRPAIKEMLPMQPGDVPETFADVEALFRDVGFRPSTPIEDGVRAFVRWFRDYHR------- 95929367 ----HIIITGGAGFIGSHLTEMLLDQGHSVTVIDNFSTGKRSNLPGSSNHELDICNFEGV--LNHTKGADAIVHLAAIASVQASVEAPRETHAINLDGTINMLEVARIHDISTFVFASSAAIYGNNQQLPLKEDTPPAPLTPYAVDKLGSEYYIDFYCRQFKLKTTTFRFFNVYGPRQD------PSSPYSGVISILMDRAQNKRPFTVFGDGL------QSRDFIFVKDLVEILCKAATQQAPSGNT--INLGNGIQTTLLELLSTVESLSNHKLDTSFEEPRPGDIKHSCADNTRLRQLFSYTPKTNIAEGLKQIWDY------------- 136780056 ----RILITGGAGFIGSAVVRKAIKEGHTIKNIDKLTYAACLESLDSICEKIDVCNRDDLIDCFNRFKPDAIMHLAAESHVDRSIDAPSTFIYTNIIGTYEILEASRHFWKFRFHHISTDEVYGLGATGLFTEKTPYDPKSPYSASKASSDHLVRAWHETYGLPIVLTNCSNNYGP--------FHFPEKLIPVVIIKAISGEKIP--------IYGTGDNIRDWLYVEDHADAL---LSVIKDGEIGRSYNIGGKNEATNLELVQKICVLLDYADQITFVTDRPGHDQRYAIDPSRIINELNWKPSVTLDEGLRKTVLWYLENKDWWK---- 163786442 ----KVLVTGGLGFIGSHTVVELQNEGFEVVIIDDLSNSSEDVLVKPHFEKLDLKVKEDVISFFKKHDVAGVIHFAASKAVGESVNKPLMYYENNINTLVYVLQELSKLNTQNFIFSSSCTVYGQADELPISENAPVKPASPYGNTKQIGEEIIKDTCKINNLNSIALRYFNPIGAHFSAEIGELPIGPQNLVPYITQTAIGMREQLSVFGGDYPTPDGTCIRDYIHVVDLAKAHVVALQRNKNVSNYEVFNLGTGTGSSVLEAIQSFDRVSGKKLNYKIVDRREGDVVAAYAETKKANDVLGWKTELNLDDAMASAWKWEQK---------- 94987022 ----NVLVTGAAGFIGFHLCRRLLDEGHSVVGIDNLNDYYSVTLKKDRFSSIDIVNLPNLQELFLQYRFSHVVNLAAQAGVRYSIENPSSYIQSNLVGFGNILECCRHTEVEHLVFASSSSVYGLNTLMPFSVHQGTHPISLYGASKKANELMAHAYSHLYNLPSTGLRFFTVYGP----------WGRPDMALFLFTKAILSGEPISVFNEGRMRRDFTYIDDIIEGVIRVNWNSHSPDPSSSKAPWKIYNIGNNNTVQLSEFIEVLEVELGKKAIKEYLPMQPGDVEATWADIDDLKHDVDFSPNTPIEYGIKKFVEWYKSYY-------- 260774439 ----KILVTGGAGFIGSAVIRHIIQNTQDVVNLDKLTYGNLESLERYAFEQVDICNRAELDRVFAQHQPDAVMHLAAESHVDRSIDGPAAFIETNIVGTYTLLEATRSYWAFRFHHISTDEVYGDPHLPLFTETTAYAPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKDLP--------IYGKGDQIRDWLFVEDHARALYKVV---TEGKVGETYNIGGHNEKQNLEVVQAICSILDYAEQITYVQDRPGHDRRYAIDASKMQNELGWTPLETFETGLLKTVQWYLDNQQWCQNVQD 298706193 --KKTVLVTGAAGFIGSWVADTLLARGDDVVIVDEVNSYYDVRTKRSKFFEGDLCDAPFITRIFETEGVEWVVHMAARAGVRPSIEDPFVYVHSNVEATTRLLELSRLHGVKSFVFASSSSVYGGSQKEVFSEKDVVDPVSPYAATKKSCELMAHTYSHLYGLNIAGLRFFTVYGPR--------GRPDMAPYKFIDLVARGQ--------EIMQFGDGSTSRDYTYISDIVDGVVRSLDR---PAGYQIYNLGNGSPVSLSSFIKLVEKSVGTPAKIRVCPEQPGDVPRTCADISKARAMLGYNPSVAFADGIDKTVGWYQN---------- 124265821 -----ILVTGGAGFIGSNFVLDWIASTDEPVVLDVLSYSGNLRNLRHVFVRGDIRDRPLLDRLLADHRPRAIVHLAAESHVDRSIHGPGAFLHTNVEGSFVLLEAARAYWSFRFLHVSTDEVFGEPGAPAFTETHAYDPSSPYAASKAASDHLARAWHRTYDLPVVTSNCSNNYGP--------YHFPEKLIPLTIVNALAGQ--------TLPVYGDGLQIRDWLHVGDHCRALRTVLERGR---VGETYNIGGGSEFANIDTVRRVCALLDYERLIRLVADRPGHDRRYAIDTRKIERELGWRPTETFETGLRKTVQWYLAH--------- 227355782 ----KILVTGAAGFIGYHLSQRLIEMSYHVVGIDNLNDYYDVRLKEARFEKIDITDSVSIAQLFADHRFDRVIHLAAQPGVRYSIENPMAYIDANIVGHINILEGCRHHNVGHLIYSSSSSVYGLNQKQPFTEDSVDHPVSLYAATKKANELMSHSYSHLYQLPTTGLRFFTVYGP----------WGRPDMALFKFTKAMLAGEPIDVYNGGNMTRDFTYVDDIVSPEPNPNWTVEQGETSSSSAPYKIYNVGNGQPTKLMDFITAIEKSLNIKAKLNLMPMQDGDVLSTCADCSDLAQTTGFSPNTAVEYGVKQFVDWYVDYYQ------- 154247481 ----RILVTGGAGFIGSALVRYLVSEGAEVLNVDKLTYAGNENAPNYRFLQADITDRAAMSEALASFKPDRIMHLAAESHVDRSITGAGEFVHTNVVGTFTLLEAARHYWAFRFLHVSTDEVYGLGEDGLFEEVTPYDPSSPYSASKAASDHLVVAWHRTYGLPVVVSNCSNNYGP--------YHFPEKLIPLTILNALEGR--------TLPVYGNGANIRDWLYVEDHARALHLIA---SNGRLGEKYNVGGRNERRNIEVVRRICDLMDHHDLITFVTDRPGHDARYAIDATKLETELGWKARETFDTGIANTVKWYLDNAWW------ 258406617 ----RVLVTGAAGFIGSHLSRRLLDDGHVVVGLDNLNDYYSPELKEADFVMLDLIEDAELDRVFAEYGFTHVVNLAAQAGVRYSLENPKAYIDSNINGFAHLLECCRHHSIHHLVFASSSSVYGLNTAMPFSHDNVDHPISLYAASKKSNELMAHTYSYLYGLPTTGLRFFTVYGP----------WGRPDMALFLFTEAILSGKPIKVFNHGKMQRDFTYIDDIVEGHRPARANPEWDGARPDPGSSKLYNIGNNNSVELERFIEVVESCLGKKAKKDYLPLQPGDVPATYADVDDLMADVGFRPNTPIEEGVANFVSWYMSYY-------- 226357052 MESMKVLVTGAAGFIGSTLSHRFLERGDEVIGFDNFNPYYDPQLKRDRAIEGNLEDRSAVDRLFREHRPERVVNLAAQAGVRYSLENPHAYIDANIVGFMNILEGCRHHGVQHLAYASSSSVYGMNTSMPFSHDNVDHPLSLYAATKKANELMAHTYSHLYGLPTTGLRFFTVYGP----------WGRPDMAMFLFTRAILQGQPINVFNHGQMQRDFTYVDDIVEGVVRVQWNGAQPDPGTSSAPYRLYNIGNNNPVQLLHLIEVLEEKLGKKAEKNMLPLQDGDVPATYANVDDLVRDVGFKPATSIEDGVGRFVEWYRGYFR------- 126727010 ----KVLLTGGAGYIGSHTFVALQDAGYDPVILDDFSNAKKSVLDRIKCHQVDVRDSDAIFEILNQGGFVAAVHFAAKKAVGESVEKPLDYIDINCTGLLSLLKAMERAEVFNLVFSSSATVYGDQEIIPIPETATRSYASPYAFTKLMGEQILEQVGDADPRWSFGTRYFNPAGAHASGMIGEDPDIPNNLMPYIAKVATGEFEHLSVFGDTYNTHDGTGVRDYIHVMDLADAHVKSLKTLQKNSISHAINIGTGTGYSVLDMLAAYGRAVGKTLPHKIAPRRDGDVDTLLGEVEKAEEVIGFKTRYDLDEICASSWHW------------- 171057310 -----ILVTGGAGFIGSNFVLDWLAQSDEPVNLDVLTYGNLENLARHVFVQGDICDRALIDRLLAEHRPRAIVHFAAESHVDRSIHGPGAFMRTNIEGTYTLLEAARAHWAFRFHHVSTDEVYGGASDPAFTETKAFEPNSPYSASKAASDHLVRAWFHTYGLPVVTTNCSNNYGP--------YHFPEKLIPLMIVNALASKPLPIYGDGQ--------NVRDWLYVCDHASAIRAVL---AGGRLGETYNIGGWNEKTNLEIVHTVCDLLDYRRLITYVKDRPGHDRRYAIDARKVERELGWRPAETFETGIRKTVQWYLDHPDWVA---- 154486827 -QNMTVLVTGGCGYIGAHVVHALHQAGEKVVVVDDLSYGKPTRIEGSRLYGMDIAAPERLAEIMKAEGVDSVIHFAARKQVGESVEKPLWYYQQNLNGMLNVLIGMRDSGAKKLVFSSSAATYGVPPVDVVPEDVVPMPINPYGQTKLFGEWMARACEEPYGIRFCGLRYFNVAGCGP----VELEDPAILNLIPMLFDRLKKGKAPAIFGDDYPTPDGTCVRDYIHVSDLADAHIAALKYDRDERKYDAFNVGTGEGTSVRQIVDEVKKVTGLPFKETVMGRRAGDPPHLIGSPKRINEEMGWHAKYNVEDIVKSAWDAWQANPE------- 15616211 ----KVLVTGGAGFIGSHLVDLLIAEGTEVVIVDNLSSGSLKHVHPSSHFKLDILD-ERVADVFQEHDIDRIVHLAAQSKVGPSVLNPTYDAQVNIQGTIRLLEFSRKYGVKQFVFASSAAIYGPSHTLPIREEFPALPLSPYGTSKYAAEAYVKTYGRLYGLNVHVLRFANVYGPRQTA-------ETEAGVISIFIEKLLKNEQPIIFGDGKQT------RDFIFVLDVVNAIRSCLETETNQEVDPVYNVSTGLQTSVEDLLKELCAQLNVTYAPAFEQERSGDIKHSCLDQQKLQKHLTWNPRIALNEGLAKTIAYYQ----------- 34556483 ----KILVTGTAGFIGSHLAKRLLERGDEVVGLDNINDYYDLRVKYGRLERLNLEDREALHALFEQEKFDKVCNLAAQAGVRYSLTNPYAYIDSNIVGFINILEGCRHFGVKHLAYASSSSVYGLNESMPFTSDNVDHPISLYAASKKSNELMAHTYSHLYKIPTTGLRFFTVYGP----------WGRPDMALFLFTKAILEDRAIDVFNHGEMLRDFTYVDDIVEGVVRVIDNPPMGDPNWSKAPYKIYNIGNNSPVRLMDFITEIEKNLGKVAKKNMLPLQMGDVPATYADVSDLVENLHYKPNTSIEEGIARFVKWYREFFR------- 307265778 ---KTILVTGGAGFIGSNFIRYMLSKDYKIINLDKLTYAGNEDNPNYTFIKGDICDKELVEEIFSQ-DIDYVVNFAAESHVDRSIMDPEIFVKTNVLGTVTLLNAAKKAWGKKFLQVSTDEVYGLGETGYFTETTPLDPHSPYSSSKAAADLIVKAYYDTYKMPVNITRCSNNYGP---------YQFPEKLIPLMINNCLNKKP-------LPVYGDGLNVRDWLYVED----HCKAIDLVLHKGRGEIYNIGGNNEKTNIEIVKLIVAYIHDESLITYVADRKGHDRRYAIDATKIKEELGWYPETKFEEGIKKTIEWYLKNREWLKN--- 135164710 --------------------------------------GYRDAVLYGELVEGDLADTDCLNSILRDGHFDAVMHFASNIEVGESVVDPRKYYHNNVQNSLSLIHAMMDEGVDKLIFSSSAAVYGIPEKTPVDEAAPIRPINPYGRTKAMIEMILDDYRKAYGFKSTSLRYFNAAGADPDGELGERHEPESHLIPLVIQAALGLRPEIKVYGNNYDTVDGTCVRDYVHVTDLCDAHLLAMDYLLAGSRGQSFNLGNGEGFSVKHVIDTAIRVSNRDFVVTPFEPRPGDPAVLVADASEARRLLGWSPKYDLQDIVSSAWNYFRA---------- 283832549 ----KYLVTGAAGFIGFHVSKRLLEAGHQVVGIDNLNDYYDVSLKQAHFHKIDLADRESMSALFASGHFDRVIHLAAQAGVRYSLENPHAYADSNLTGFLNILEGCRHNKIQHLLYASSSSVYGLNRKMPFTDDSVDHPVSLYAATKKANELMAHTYSHLYGLPTTGLRFFTVYGP--------WGRPDMALFKFTKAMLEGK--------SIDVYNYGKMKRDFTYIDDIAEAIIR-LQDVIPQADSQWYNIGNSSPVELMDYIKALEEALGIDATKNMLPLQPGDVLETSADTKALYDVIGFKPETTVRDGVRNFVDWYRDFYK------- 135157290 ----NILVTGAAGFIGSHVAEALLAEGHRVYGFDNFDPYYDRELKEFRFFEGSLLDAPGIAQVFSAHSLDAVIHLAAKAGVRPSIEDPAGYTKTNIEGTVNLMEAMVAGDVRNLVFASSSSVYGNTEQVPFKEDQWDHPISPYAATKKACELLCHTYCHLQGLKTAALRFFTVYGPR--------QRPDLAIAKFTRLIEAGSTIP--------VYGDGSTRRDYTFIDDTVSGVLGALRWVTEQGTYDVFNLGESRTVALSELISELERALGKEARIDRQPMQPGDVNQTYADISKARAAFGYNPQTALRAGLEAYVDWFRR---------- 254362238 -----ILITGGAGFIGSALVRYLIEHTHTVINVDKLTYAGNAHHERYHFEKADICASGQISEIFGKYQPDVVMHLAAESHVDRSISGAADFIQTNIVGTYTLLEAARAYWAFRFLHISTDEVYGDKSEELFTEKTPYNPSSPYSASKAASDHLARAWHRTYGLPTVITNCSNNYGA--------YQYPEKLIPLMILNALEGKSLP--------VYGNGLQVRDWLFVEDHIRALYLVLQKGQI---GETYNIGGHNEKTNIEVVRSICSILQYADLITYVQDRPGHDFRYAIDTSKIEKELDWKPKETFESGLRKTVEWYLANRN------- 4240415 ---KKILITGGAGFIGSHYVRTLLNDGYEVTVLDKLTYAGNRDNLRLTFVQGDICDFELLLELLPGH--DAVVHFAAESHVDRSLESAEEFVHTNVTGTQRLLDAVLATRVKRVVHVSTDEVYGSIDEGSWTEEWPLAPNSPYSASKAASDLLARSYWRTHGLDLSITRCSNNYGP--------YQHPEKLIPLFVTNLLEGEQVPL--------YGDGGNIREWLHVDDHCRAIDLVLNKGRA---GEIYNIGGGNEQTNRAITERLIALTGQDWKVRHVPDRKAHDLRYSLDESKIREELGYAPRITFEQGLADTVAWYHDNPGWWK---- 218288132 ----KLLITGGAGFIGSNFVRYMRQTHPEVVNVDALTYAGNLSNLKDIDEKADITDVAAMDDIVA-EGFDVIVNFAAESHVDRSILDPGAFVRTNVMGTQVLLDAARRHGVKKFIQVSTDEVYGTPDDAPFTETTPLRPNSPYSASKAGADLLVRAYHETYGMHVNITRCSNNYGP----------YQFPEKLIPLMIANALEDKPLPVYGDGL------QIRDWLHVWDHCAGIDHVIRHGRS---GEVYNIGGNNERTNLDIVLTILRYLGKPEAIRHVEDRPGHDRRYAIDATKIRTELGWQPKYAFEDGIRETIEWYLTHRDWWEE--- 308187471 ----KILITGGAGFIGSAVVRHVINNNDEVINVDKLTYGNLESLKRYTFRQIDICDGQAIAEALSEFQPDAIMHLAAESHVDRSITGPAEFVQTNVVGTYAMLEAARQYWAFRFHHISTDEVYGDLPHPLFTEETPYAPSSPYSSTKAASDHLVRAWGRTYKLPVIVTNCSNNYGP--------YHFPEKLIPLIISNALEGKPLP--------IYGKGDQIRDWLYVEDHARALYTVV---KNAETGTTYNIGGHNEMKNIDVVLKVCELLDYRDQITYVQDRPGHDRRYAIDAAKIEKDLGWKPEETFETGLKKTVEWYLNNSEWVE---- 300022033 ----KVIITGGAGFIGSALVRHLVDRDWQVANVDKLTYASLKSVSSAPNHRFDICDASAMDAIFADIEPDAIIHLAAETHVDRSINGSAEFITTNILGTHVLLESARRYSHFRFVHVSTDEVFGLPPGGFFTESTAYDPRSPYSASKAASDHLASAWHATYGLPTITTNCSNNYGP---------HQFPEKLIPLVILNALEGKP-------LPVYGDGRQVRDWLHVDDHVTALTRVVEHGRI---GETYNIGGRAPMENIAVVEAICKALDRRDLIVHVEDRPGHDRRYAIDPSKIESELGWRAERSFATGIADTVQWYLDNESW------ 254294169 ----TILVTGAAGFIGFHTCKALVARGETVLGLDNVNGYYDVDLKQARLEQLDISDNDALERAVSGQKIHAVLHLAAQAGVRYSIENPKVYADTNLQGFFNVLEYARNSGVANVVYASSSSIYGGNTKMPFAEDDVTDPVSFYAATKKSNELMAHSYAHLYGISLTGLRFFTVYG----------EWGRPDMAYWIFSEKLRRNEPVQIFNNGDMS------RDFTYIDDIVTGVIAAIDRPASDVPHRVYNLGNDKPEKLMDLVGCIEKAFGQELIKEFQPMQLGDVERTWADISRARKELGFNPHTSLEEGIERFASWFK----------- 16519748 ----RILVTGGAGFIGSALVRYLVSINAEVLNVDKLTYAGNEGLRNYRFLRADICDRVAINEAFETFQPDYVIHLAAESHVDRSITGADDFVQTNVNGTFTMLETARQYWFFKMLHVSTDEVYGLGDRGQFEEVSPYDPSSPYSASKAASDHFATAWQRTYGLPVVISNCSNNYGP--------FHFPEKLIPLMILNALDRKPLP--------VYGTGSNIRDWLYVDDHARALWLIVR---EGRPGEKYNVGGRNELRNIDVVNRICLLLDYGDLITFVKDRPGHDARYAIDATKLETELGWKAQENFDTGIRKTVEWYLEN--------- 87307567 --SKTVLVTGGAGFIGSCLVRQLLQDDLTVINLDKLTYAGNLDSLRHILVEGDICDADLIARLLAEFRPNAIFNLAAESHVDRSIDGPAEFVATNVVGTFTLLEAARNYWRFRFLQVSTDEVYGLGKTGLFTEATPYSPNSPYSASKASADHFVRAYFHTFGLPTLTTNCSNNYGPR--------QFPEKLIPVMILNALEGKPLPIYGDGQ--------NVRDWLFVEDHCRAIRRVLQQGR---PGEVYNIGGNCERTNLEIVTAVCAALDCEKLITFVKDRPGHDRRYAIDAAKMKSELQWSPVETFETGLEKTIRWYLEHRTWLDR--- 142429624 MRAMKILLTGGAGFIGSRFATFLLQQEYSVVILDKLTYAGTKTNLLKILEQGDICDQSLVDLVLQ--KIDTIINFAAESHVDRSIQSSAEFIQTNITGVQVLLDAIKSKRKIRFLQVSTDEVYGSIPTGSWSEDAPLLPNSPYSASKAGGELLASAYHRTHGLDVVITRCSNNYGTH--------HFPEKLIPLFVTNLLEGKKVP--------VYGSGLNVRDWLHVDDHCRGIFQVL---MNGKSGEVYNIGGGRELNNLEITKLILNAMDSESSIEYVEDRKGHDFRYSVDWSKIKQELGYEPKVKFEDGLKETIEWYRNNREWWE---- 254478570 ---KHALVTGGAGFIGSHLVDRLLKEGWQVTVVDNFDPFYDPAIKRKNIFEIDIRDMEALHEKLTD-DYDVIVHLAAKAGVRPSIQDPIGYQEVNVRGTQNLLEFARHRGIKQFVFASSSSVYGINHNVPWKEDDCLKPISPYASTKVSGELLGHVYSHLYGIRFIALRFFTVYGPRQRPDLAIH----------KFARLMLEGKPIPIYGDG------SSRRDYTYIDDIIEGVRRAMDYTATL--YEVINLGNNQIVSLLEMVRTLEEALGIRAHLEFLPLQPGDVPQTWADMEKAERLLGFRPKIEFKKGIHNFVEW------------- 296136997 -----IFLTGAAGFIGANFVHHWLGQKDEPVVLDALTYGNLESLPRYHFARVDICDRPAVAALLAQHRPRALLHFAAESHVDRSIHGPGDFIRTNVQGTFELLEATRAYWAFRFLHVSTDEVYGSPTDPAFTETTPYAPNSPYSASKAASDHLVRAWHHTYGLPVLTTNCSNNYGP---------YQFPEKLIPLMIHNALADK-------SLPVYGDGQNVRDWLYVQDHCRAIARVLEAGRL---GETYNVGGCNEVKNLDVVHTLCDLLDYRAQITFVADRPGHDRRYAIDARKIERELGWTPQETFATGLRKTVQWYLDNPDWVE---- 304314541 MKGMNVAVTGGLGFIGSHLTDELLRMGNRVTVIDDLSSGKRGNLMYPKHENLEIINEVDLDSVFM--DKDYVFHQAALASVPESVRDPLRCHRVNATGTLRVLLAACRAGVRKVVNASTSAVYGNNPEMPLSEDARPMPLSPYAVSKVTGEYYC-SVFEDYGLETVSLRYFNVYGPRQ-----RPDSQYAAVIPRFIDAILQGRQP-------EIYGDGEQSRDFIYVGDVVRANIFLAE----SPETGIFNVACGSAVTVNRLFEIISRILGSDSEPVFLDERPGDVRHSLADTSRL-EATGFRPDVKLEEGLIRTVEWFK----------- 310657749 ----NLLVTGGAGFIGSNFIKYMLKHDYRIVNLDLLTYAGNEGDARYKHIKGDICNRELVESIFRRFDIDMVVNFAAESHVDRSIEDPEVFLRTNIMGTQALLDIAKDFWKIKFVQVSTDEVYGLGETGLFKETTCLNPNSPYSASKASADMIVRAYSETYGLPVNITRCSNNYGP----------YQFPEKLIPLMINNAINDKPLPVYGDG------KQVRDWLYVEDHCSAIDSVLHKGML---GEVYNIGGNNEKQNLDIVKLILNVLGKDETITHVKDRLGHDRRYAIDNTKISTELGWEPKYTFDEGIKLTIKWYLDNPRWIEK--- 182677789 -----ILVTGVAGFIGSFLAARLLDENREVVGIDNMNAYYDPALKRARLAQGDLVDTDFMRAAFTETRPKIVVNLAAQAGVRYSLENPRSYVDSNIVGFLNILENCRAMGVEHLVYASSSSVYGANPTMPFTRDNVDHPVSLYAASKKANELMAHSYAHLYGLPVTGLRFFTVYGP----------WGRPDMAYFIFTRKILAGEPIDVFNHGDLSRDFTYIDDIVDGVRKVMDHVPKGDPNWATNGYQLYNIGNNRPERLLDMIETLETLLGRKAEKRFLPLQPGDVLATWADIDDLQKDTGFAPKTTLAQGLSHFVDWYRDFYK------- 143897809 MKNMNILITGGAGYIGSHITEKLINKKNNIIILDNLETGFKKLIKKAIFIKGDISDKKKLVSIINKYKIETIFHMAAYLNVSEAEKSKLKYKRNNILGTKNLLLSCKNSTVKNFIFSSSCSVYGNVKGS-VSESKKLNPQGYYAYTKYKGEELIKKYSKKFNYNYGLLRYFNVAGASNSGKIGQIEKSHGQLIKNLAIQSLKKNPVISIYGNDYPTKDGTCVRDYIHVVDLASIHIIAMNYLNKVKKSFIVNCGYGKGYSVKQIVNIFKK-NKKNFSIKYEKRRPGDIAQVYSNTKKFQNLFKWKPKHNINKIIKSAISWEKK---------- 297569788 -----ILVTGGAGFIGANFIDWLAEEGEPVLNLDKLTYAGNRENLAGRFVHGDIGDAELVAGLLARHRPRAVINFAAESHVDRSIHGPAAFIETNVAGTSRLLEVVRDYWGFRFLHISTDEVYGAPAEPPFTENHPYQPNSPYSASKAASDHLVRAWHHTYGLPVLTTNCSNNYGP--------YQFPEKLIPLVIHNALAGQPLP--------IYGDGLQVRDWLYVGDHCRAIRRVLQAGR---VGEVYNVGGHNELTNLQVVEAICALLDYSAQMTHVPDRPGHDRRYAIDAGKIERELGWKPAESFATGIRKTVQWYLDHQGWVDN--- 142109678 -----ILVTGGAGFIGANFVDWLRQSDEAVLNVDKLTYAGNLRTLQHVFARVDICDRAALDTLLAAHRPRAILHFAAESHVDRSIHGPAEFVQTNVVGTFTLLEAARQYWGFRFLHVSTDEVFGSPTDPQFSETTPYAPNSPYSATKAGSDHLVRAYHHTYGLPTLTTNCSNNYGP--------YQFPEKLIPLMIANALAGKPLP--------VYGDGKNVRDWLYVGDHCSAIREVLARGV---PGETYNVGGWNEMTNLDVVHTLCDLLDSARQIAYVKDRPGHDRRYAIDARKLERELGWKPAETFATGLAKTVFWYLDN-QAWSDE-- 226326263 ----KILVTGAAGFIGYHMSQRLIEMGYHVVGIDNLNDYYDVRLKEAHFEKLDIVDSVKVAQLFASHQFDRVIHLAAQPGVRYSIENPMAYIDANIVGHINILEGCRHNKVGHLIYSSSSSVYGLNQKQPFTEDSVDHPVSLYAATKKANELMSHSYSHLYQLPTTGLRFFTVYGP----------WGRPDMALFKFTKAMLAGEPIDVYNGGNMTRDFTYVDDIVGSVVRLNWTVEKGETSSSSAPYKIYNVGNGQPTKLMAFIEAIEKSLNIKAKLNLMPMQDGDVLSTCADCQDLSETIGFSPNTEVEYGVKQFVDWYLSYYKN------ 297585300 ----NILVTGAAGFIGMYLSKRLMDEGQQVIGVDNINDYYETQLKKDRFYKVDLADRNSFNQIFKDNKIDIVINLAAQAGVRYSIENPHAYVDSNLVGFVNVLEACRHYDVKHLIYASSSSVYGANQKMPFTTDEVNHPVSLYAATKKSNELMAHTYSHLYNIPTTGLRFFTVYGP--------MGRPDMAYFSFTKKIVAGE--------TIQVFNNGEMMRDFTYIDDIVDGIVRLLDHPPKGAPYKVYNIGNNQPVKLMDFIQTLEKHLGIEAKKEYLPMQPGDVKATYADIDELSRDTGFKPTTTIDEGLGKFVAWYKDYYN------- 282163533 MSS-RILLTGGLGQVGSYLCEELVQRGNIVTIIDNLSSTVNPYPSEANFVKGDIRDASTVSIL--MHSADAVIHCAAQIYVSRSMEDPLFDAQNNIIGTLNLLNAARKADIKRFVYFSSAATYGDPVRLPVDESHPQEPLSPYGASKLAGEKYALMFHRAYGLPSTVIRPFNIYSPRQD------PSNPYSGVISKFMDSVSKGKPPVIFGDGSAT------RDFVSVHDVVNMVMLMLENSAAIGKA--FNCGAGTKTRIDELARMVIRLYGKDMRPELLPERPGDIKDSYADITLARDILGYSPKITLSAGLKEIVD-------------- 119898168 -----ILVTGGAGFIGGNFVDWIAETGEPVLNLDALTYGNLETLERHVFKRGDICDRELVDGLLQTYRPRAIVHFAAESHVDRSIHGPGEFIRTNVQGTFTLLEAARAYWNFRFLHVSTDEVYGEPQAPAFTERHPFEPNSPYSASKAASDHLVRAWYHTYGLPVLTTNCSNNYGP--------FHFPEKLIPLMIVNALAGRPLP--------VYGDGLNVRDWLYVKD----HCSAIRRVLAQGRGETYNVGGWNEKRNIDIVHTVCALLDYARLITFVQDRPGHDRRYAIDASKIENELGWRPAETFETGIRKTIAWYLENPGWVE---- 158605287 ---QTFLVTGGAGFIGSAVVRHLVRQGARVINLDKLTYAGNENAPNYRFVHADIADTATILPLLREEQVDVVMHLAAESHVDRSIDGPGEFIETNVVGTFKLLQSALQYWAFRFHHISTDEVFGDFDSGIFTEETPYDPSSPYSASKAASDHLVRAWGHTYGLPVVLSNCSNNYGP--------FHFPEKLIPLTILNALEGKPLP--------VYGKGENIRDWLYVDDHAKALATIA---TTGKVGQSYNVGGRNERTNLQVVETICDLLDQRIPITFVTDRPGHDRRYAIDATKLETELGWKAEENFDTGIAATIDWYLANEWW------ 224826611 -----ILVTGGAGFIGANFVRLWLEQNDEPVVLDKLTYSGNAANLQPYLEEGDIGDAALLERLLAEHRPRAILNFAAESHVDRSIHGPAVFVETNIDGTFRLLQATTAYWAFRFLHVSTDEVFGGEHDAPFCETTPYAPNSPYAASKAASDHLVRAWHHTYGLPVLTSNCSNNYGP--------YQFPEKLIPLMIDRALDGQPLP--------VYGDGRQIRDWLYVEDHCRALMAVLERGRA---GEVYAIGGSCEKTNLEVVETLCATLDARQQIAFVADRPGHDRRYAIDAGKMRRELGWEPRETFASGIERTVAWYLANPEWVG---- 136851813 ----NILLTGGAGYIGSHVTNLLIDEGHSVTVIDSLITGNRNLVPTKAELNVDIADKNKVSKIIKSNNFDLVMHFAGLIRVDESVEKPEMYNQYNCEKTKIFLDMCFNNGIQKIIFSSTASVYGNAKKDKVDEKDRLDPLNPYAVSKLNIENFLIEKSKTLPIAYNILRYFNVAGADNKMRTGLISKFSTHLIKIACEVAVGKKKELIINGDDYDTKDGTPVRDYIHVSDLAEIHYICAKDLIKNHKSNIFNCGYGKGFSVKEVILCLNKILGFKILTKVGNRRLGDSKQIVADSKKFMKYFSWKPKYDLEYIIQTALEWEKK---------- 142296378 ----KILVTGGLGYIGSHTSVELIQQGYEIIIVDDLSNSSTDVLISPEFIKLDLRNKKGVNRLFEDHDLSGIIHFAAFKAVSESINQPLDYYENNIGSLIYLLQAIQSKQKFSFIFSSSCTVYGQADQLPITEAPIKKAESPYGNTKQIGEDILYDNTRSNSLRVISLRYFNPIGGHSSIEIGELPEGPQNLVPFITQTAAGIHQKLNVFGDDYPTLDGTCIRDYIHVVDLAKAHVVGLKRIMAGEQSENFNLGTGKGKSVLELIKTFEKVSGIALNYEIVDRRPGDVVEAYSDTTKANKILGWQAKNDLEKALKTAWEWEKK---------- 228999302 ----KVLITGGAGFIGSHLALKLLEQGKQVVLLDNFHSYYAKSRKQFQLEQVDILHKEDVKAVMQQEKIDAVIHLAGFPGVRPSLEMPGAYVDINIKGTSNVLTCAGEENVKHVIVASSSSVYGEQMGMPLKEEMANGRVSPYAASKYGAESLCHAYQYMYGFQMNILRFFTVYGP----------WGRPDMAIASFTRKLLNGEEIIVYGKGTG-------RDYTYIDDITEGIALTLE----SNRSDVYNLGSNAPILMTELLTQLEKHF-PLMRVRREGHRKGDVTSTWADISKAKEQLGYEPRVSFAEGLERTIAWAKQYP-------- 136534929 ----HILVVGGAGYIGSHMLKRLAQAGCQLTTLDDLSGGHADAVRWGSLVQGSVGDAALLERLFSRHRFNAVMHFASFIEVGASVSQPLLYYQNNLAHTLTLLQAMQAHDVRRLVFSSTAATFGQPQYSPMDEKHPQQPINPYGRSKWMVEQVLADCDKAYGLRSVCLRYFNAAGADPEGELGERHQPETHLIALVLQAASGRRSHITVHGQDYDTPDGTCIRDYVHVSDLCEAHWLALQSLLAGGPSQAYNLGHGRGYSVSQVIAAAERITGCRVPVAYGPR-------------------------------------------------- 226228112 ----KILVTGAAGFIGYNTSERLLARGDAVVGLDNVNDYYDPTLKEARLARLELGDREGVERLFREERFDRVIHLAAQAGVRYSITNPHTYIDSNLVGFLHILEGCRHHGVQHLTYASSSSVYGANTAMPFSVHNIDHPVSLYAATKKANELMAHTYSHLYGLPTTGLRFFTVYGP----------WGRPDMAMFLFTKAILEGKPIDVFNHGKMQRDFTYIDDIVETSDHVDWNSDRPDPATSKAPYRIYNIGNNNPVELMHLIATLEQALGRTAEKNMLPIQPGDVPATYADVEALVQDVGFAPRTSIETGVANFVAWYRDYYR------- 257875243 ----KILITGAAGFIGFHLAKKLLNKGFDIDGIDNLNDYYDIRLKNSRFHKIDLKNKEKVEDYFEKNRPEIVINLAAQAGVRYSIENPYAYIDSNIVGFLNVLEGCRKYPVSHLLYASSSSVYGGNTTVPFTNHNVDHPVSLYAATKKSNELMAHTYSHLFGIPTTGLRFFTVYGP----------YGRPDMAYFSFTKDILEDKEIKVFNHGKMERDFTYIDDIVEGIDKISKVPQPLEEWSDNKNTLDYNLGNNNPVPLMRFIKALEKSLGKEAKKKYLEMQPGDVYKTYADISDLENEIGFKPVTSIENGLDRFVEWYKNYYN------- 34863493 --NMKFLVTGAAGFIGFHTCKRLLEAGHQVVGIDNMNDYYDVNLKQARFHKVDLADRQGIAELFAEEKFNRVIHLAAQAGVRYSLENPHAYADSNLIGYLNILEGCRHNKVEHLLYASSSSVYGLNRKMPFTDDSVDHPVSLYAATKKANELMAHTYSHLYGIPTTGLRFFTVYGP----------WGRPDMALFKFTKAMLEGKSIDVYNYGKMKRDFTYVDDIVEAIVRVQDVIPQSNAEWTSAPYRVYNIGNSSPVELMDYITALEEALGMVAEKNMMPIQPGDVLETSADTKPLYDLVGFKPQTTVKEGVQNFVDWYKAYYKA------ 301154916 --SKRILITGGFGFIGSALIRYIINQTQDVINIDKLTYAEVENNPRYTFEQVDICDLKAIESVFEKYQPDAVMHLAAESHVDRSITGAADFIQTNIVGTYALLEVAKNYWHFRFHHISTDEVYGDLSEPAFTEQSPYHPSSPYSASKAASDHLVQAWHRTYGLPVIITNSSNNYGA--------YQHAEKLIPLMISNAVMGKPLP--------IYGDGLQIRDWLFVEDHVQALYLVLTKGR---VGENYNIGGNCEKTNLEVIKTICQLLEYGDLITFVKDRPGHDVRYSLDCSKIHAELGWQSQITFEQGLRQTVKWYLENNR------- 289548768 ----TILVTGCAGFIGWKVSQKLLEKGFHVVGIDNLNHYYDVRLKWHRLEQVDVENMEALSVVFQVHKFDAVINEAARAGVRSSMEDPFVYMRTNALGTLHLLDLCKRYGVQKFVLASTSS-LYAGQSMPFREDLPVNPISPYAASKKAAEVIAYTYHYLYGIDVTVLRYFTVYGP----------AGRPDMSVFRFIKWALEGKPIQVFGDG------SQKRDFTYIDDIAEGTVRALTPL----GYQIINLGGNRPHSLLEVIDLVEKYTGKKVQLQYGDFHKADMQATWADIGKAKDLLGWEPQVSLEEGIRRTVEWFLENWDWLRE--- 91201500 -----ILITGGAGFIGSHFVRRMVKHNH-VVVLDKLTYADREFSGYFKFYKGDICNQELVDHIMSTEKIDVIVNFAAETHVDRSILSAGTFIDTDIKGVFVLLEASRRYTIKKFIQISTDEVYGTAFHDAFKETDSLNPSNPYAASKAGGDRLAFAYWNTYKLPIIITRASNNYGS--------YQHPEKFIPLFITNAIEGLKLPL--------YGDGRQERDWIHVEDHCAAIDFIIEK---GKEGEVYNIGGGNEKQNIDTALFILSVLKDKGMIESVKDREGHDRRYALDCTKLKS-LGWKPQIDFEEGLRDTIKWYEENEQW------ 258516021 ----RCLVTGGAGFIGSNLVHTLINEGYKVRVLDNFSTGKFENIKNIDLIVGDLCREDDVRRAVKGVDI--VFHQAALPSVPRSVADPYTTNRVNIEGTLNVFLAARDSGVKRVVYASSSSVYGSNEKLPKEETMLTRPMSPYAASKLAKEVYGRIFYDLYGLETVGLRYFNVFGPRQ-----NPESQYAAVIPKFITALLKGKSP-------DIYGDGEQSRDFTYISDVVKAN--LLAARGSGAAGEVFNIARGTKINLNELLNLLKKITGSKAEAAYAASRPGDVKHSLAAIEKAQSILGYQPEVSLEAGLRQTVAWF------------ 146298118 ---KKILITGGAGFIGSHVVRRFVNKEYQIYNLDALTYGNLENIIDYTFVKGDVVNEDFINELFNIHNFDGVLHLAAESHVDRSIEDPLAFVKTNVIGTINLLNAAKNQWKDRFYHISTDEVYGLGIDGLFTETTSYDPNSPYSASKASSDHFVRAYGETYGLPYVLTNCSNNYGS--------YHFPEKLIPLFINNIINNKPLP--------VYGDGNYTRDWLFVEDHAIAIDLVFH---EGKNHETYNIGGFNEWKNIDLVRLLCQIMDRKKLITYVKDRPGHDLRYAIDASKITKELGWKPSVTFEEGLEKTIDWYLNNQEWLQN--- 142704346 ----KILITGAAGFIGYHLTSRMLNEGFDILGVDNLNDYYDPQLKNKRFIECDISDKNNLKKIYENNSIEIVINLAAQAGVRYSLENPLAYISSNILGFQNILDLSVEYNINKIIFASSSSVYGGNKVTPYSEDNVQKPVSLYAATKISNEVIAHSYSHLYKIPIIGLRFFTVYG----------------KWGRPDMAYFSFTDKILKDEVIDVYAKNEMKRDFTHIDDIVDGIVAAIGYSDEKKPFELFNLGNNQMIDLSKFIELIESECGKKAKINDLPKQKGDVLKTHADITKAKKYLNYSPQIKINDGLKEFVQWYKNYIKG------ 170785376 -TSKRVVVTGGAGFIGSHYVRQLIGADASVVVLDKLTYGNLDNLAAVSGDEGDICDAQLLAGLLPGA--DVVVHFAAESHVDRSIVGAADFMHTNVQGTYTVLQACLDAGVGRVVQVSTDEVYGSIATGSWPESDPLEPNSPYSASKACGDLIARSYFRTYGLPVCLTRCSNNYGPHQYP----------EKIIPLFITNLLDGRPVPLYGDGH------NVRDWLHVDDHCRAIQLVVE---GGRPGEVYNVGGGTELSNHDLTARLLAELGADWSVRHVPDRPGHDRRYSVDWRKIKNELGYEPRIGLETGLTETVRWYVENRDWWE---- 147742770 ---QNILVTGGAGFIGTHTVVQLLKEGFKVSIIDNLDNSVIEAV--DRVRELVGPDLSKKLEFTQGNRFDAVIHFAGLKAVGESVGNPRRYFDNNLVGTINLYETMAKHNCKMMVFSSSATVYGQPEKIPCMEDFELKAMNPYGRSKLFLEEIARDIQAEPEWRIVLLRYFNPVGAHESGRIGEDPKGPNNLMPYIQQVAVGRLPELNVYGHDYPTEDGSAVRDYIHVMDLADGHIAALRKLFDDPKIGCYNLGTGRGTSVLEMVAAFEKASGKKIPIKLCPRRLGDATAVYASTEKAEKELGW----------------------------- 284176196 IRDKTVLVTGGGGFIGSHLVEALAPHN-EVRVLDNFSSGDRRHLPDSVTVEGDIGDPIALQRAARGV--DVIFHHAALVSVSRSVDAPRRSNETNLDASLLVLEQARQEDA-RVVVASSAAVYGHPDELPVSETASTEPTSPYGIQKLAVDQYARRYADLYDLETVALRYFNAYGPRQQGPYSGV--------ISTFLEQARAGEPITIEGDG------EQTRDFVHVSDIVRAN---LQAATTDAVGEAYNIGTGSRTSIEELAETITDATGSDSPIVHRDSRPGDIRHSGADISKARRTLGFEPRVSLESGIQSLVD-------------- 218264191 ---KKILVTGGAGFIGSNLCEHLLENGYEVICLDNFSTGHMSNIQHFTLIEGDIRNLETC--IRASKGVDSILHEAALGSIPRSIDDPITTNDVNIGGFLNMLVAAKDNNVKRFIFAASSSTYGDSTELPKVEDRIGKPLSPYALTKYVDELYAEVFAKTYGIEYIGLRYFNVFGRRQDPN-----STYAAVIPLFIKQYLNYQQP-KINGDGLNT------RDFTYIDNVIHMNMLALNTVNPSSINQIYNTACGEQITINKLAHLIKDSYVSTIDPINGPYRLGDIPHSFACIDKAKLLLGYKPLVLFREGLISTIEWYVNN--------- 148252644 ----KIIVTGGAGFIGSAVCRHLVADGHAVLVLDKLTYAGNTASLAPVMEQVDICERQKVEQAFASFAPDAIIHLAAETHVDRSIDGPDHFIQTNLVGTFTLLEVARRYDQFRFIHVSTDEVFGLGEEGLFTETTAYDPSSPYSASKAGSDHLARAWHRTYGLPVIVSNCSNNYGP--------YQFPEKLIPLMILNALHAQPLP--------VYGDGGNIRDWLFVDDHARALVALLERGV---PGATYNIGGRTERRNIDVVRQICQCLDRERLLTFVADRPGHDRRYALDCSKLEGELGWKAQETFETGIEKTVRWYLD---------- 296452603 ---KKILITGGAGFIGSNFVHYMLNKDYFIVNLDLLTYGNLETLIEYKFVRGDISNREQMYKLFEEERFDIVVNFAAESHVDRSVENPDLFIKTNIIGTQVLLDASIKYGVKRYHQISTDEVYGDRKDLFFTEQSPINPSSPYSASKASADLLVSSYYRTYGLLTTISRCSNNYGP--------YHFPEKLIPLMILNALENKQLP--------VYGNGENVRDWLHVYD----HCTAIDLIIHKGNGEIYNIGGHNERSNLKVVKIILNLLGKEDLISYVNDRPGHDLRYAIDASKIQNELGWKAKYDFDFGIKETVKWYIENESWWKS--- 206602020 -----ILVTGAAGFIGSTLSLRLLREGHDVVGLDNMNDYYEVSLKEARFHRLDIVDRNRILDLFWQENFPAVYHLAAQVGVRYALENPFAYMDTNLGGFGNILEGSLRGNTRHLIYASSSSVYGANVRQPFSEHHPTEPISLYAATKKANELMAHSYAHIHGLPVTGLRFFTVYGP--------WGRPDMALFKFARLIVEGHSIP--VYGEGKMIRDFTYVDDIVESLVRLNWDAMAADSATSHAPYRIYNIGNKNPVPLMRYIEVLEQCLGRKAVKEFLPVQPGDMASTWADTAELEALTGFTPNTSIETGIRRFVDWYLEYYK------- 219847095 ----TYLVTGAAGFIGSHLVDRLLARGEQVIGLDNFVAYYDPARKRRNLIEGDIRDAETVAAIFSRYRPQAVAHLAAMPGPRPSIANPLLYESVNVGGSVVILEQACRAEVANIVIASTSSVYGKASRVPFREDDPTDPLSPYAATKKAAEVLAYTFHSLYGIPTSVVRFFTVYGPR----------GRPDMTPYLFVERMVRHQPITLFN-----GGVNLFRDYTYIDDIVSGVMNALDRPR---PYEIFNLGHSQPVELCRFVQLLEQITGYAAKIEVKPLPATEPPITFADTAKAGQLLDFVPTIAIEEGLERFWAWY------------ 137613184 ----NILVTGGAGYIGSHIIELLIKKKFKVFIYDNLVTGYKRLIKKAIFIKGDIKNSNLLKKTIHENNINSIIHLAGHLNISEGQKNKKKYYRNNVIGTLNLVKACENSNVKNIIFSSSCSVYGNIKGS-VSEKRMLNPKSYYAFTKYKSEEIIKKFEKKFNFRYGILRYFNVAGASQSGKIGEIEKSYNHLIKNLAIQSTKLRPKVEIFGNNYNTKDGTCIRDYIHVSDLADIHILALKKISSSKKSLILNCGYGRGYSVKEIVEIFKKIKKGVVVKYKK-RRIGDIAQVYSDNSKLKRILKWKPKFDIQTILKSAID-------------- 135810390 LKNKNILVTGGAGFIGSNLCQELISLGNQVVCLDDFSTGKKENIADFTLVEGDIRNFKDC--LNATKDVDYVLHQAALGSVPRSIKDPIISNDVNVSGFLNMLVASRDNGVKRFVFAASSSTYGDSEIIPKVEDVIGKPLSPYAITKYVNELYADVFSKIYGLEIIGLRYFNVFGRKQD------PNGAYAAVIPKFVSQLMKLESPTINGD------GSHSRDFTYIDNVIQANLLSLVTKNKKAINTVYNVACGDRSTLNDLMLYLKEYLSKDVKVIYGPKREGDIPHSHASIEKAKDLLNYKPQFSFSEGLKESVKWYWKN--------- 300770493 ----KILITGGAGFIGSNLTEYFLSKGHQVVVLDNFATGHRHNLEQHAHIEGDIRNVSDCEKAVKGV--DYVLHQAALGSVPRSIKDPQTSNEVNVSGFLNMLVAARDANVKRFIYAASSSTYGDSEALPKIEEKIGKPLSPYAITKYVNELYAEIFSKTYGLETIGLRYFNVFGRRQDPN-----GAYAAVIPLFVKQLMKHESP-------VVNGSGDYSRDFTYIDNVIQMNELAMNTVNSEAVNTVYNTAVGDRTTLNQLLAYLKDALSANVEVIHGPNRQGDIPHSLASVEKARRLLGYEPSHTIRSGLKEAVKWYWQN--------- 198429695 MTETCVLITGGAGFVGSHVVVELLEAGESVVVIDNLSNANASQRKRLYFRKGSYGDRNIMDSIFNEFKIKAVIHAGGFKAVGESKELPMKYYKNNVKGEFKAVKAMNDHDVKNIIFSSSATVYAEPEKLPLTEESPVGNCSCPYASKLFIENILRDVTVSDGWKVMSLRYFNPVGAHHSGMIGEDPKGPNNLMPFIAQVAVGRRKALNVFGSDYPTPDGTGVRDYVHVVDIAKGHVAALKALPNMKQGQPINLGSGVGTSVLEMVQAFERASGVVIKTVMQDRRPGDVASMYCQPTLAFEELGWKTEKTVDEMCEDLWRFQNQNPNGL----- 172035535 -EQKSILITGGAGFIGSNFVHHWSNHDDNVIVLDALTYAGNRQNLNFKFIQGDICDRPLIDKLLKEENITTLAHFAAESHVDRSILGPDAFIQTNVIGTFTLLESFRHYWNYRFLHVSTDEVYGEADDPAFSETTPYAPNSPYSASKAGSDHLARAYFHTYNVPTIITNCSNNYGP--------YHFPEKLIPLMCINILLGKPLP--------VYGDGQNIRDWLYVGD----HCSALETVINKGKGETYNIGGNNEVKNIDLVTLLCNLMDAKELITFVKDRPGHDRRYAIDATKIKTELGWTPQETVENGLRKTIEWYLNNQDWLSKEYQ 229147807 ----KVLVTGVAGFIGFHLTQKLLDRGVEVIGIDNLNDYYDVSLKEGRFIKMDLVDNHQIESLFNKNDFEVVINLAAQAGVRYSIENPRAYIDSNVVGFLNILEGCRNKKIKHLIYASSSSVYGANKKMPFSEDSVDHPVSLYAATKKSNELLAHAYSHLYKIPTTGLRFFTVYGP----------WGRPDMAYFKFAKNITEGKPINVFNNGDMYRDFTYIDDIVEGMDVIPEPMQDIDPSNSYAPYRVYNIGNNNPEKLMEFINILEKAIGKKAEIEFLPMQKGDVKATYADINKLNGAVGFTPSTSLEVGLGKFVDWYKDYY-------- 299128866 -----ILVTGGAGFIGANFVLDWLAGSDEPVVLDKLTYAGNLHNLDGLFVQGDIGDGALVSRLLAGHRPRAIVNFAAESHVDRSIHGPEDFIQTNVVGTFRLLEVVRHYWAFRFLHVSTDEVYGTPSAPAFTEAHGYEPNSPYSASKAASDHLVRAWHHTYGLPVLTTNCSNNYGP--------LHFPEKLIPLMIVNALAGKSLP--------VYGDGMQVRDWLYVKDHCSAIRRVLEAGRL---GETYNVGGWNEKPNIEIVKTVCALLDYATQITYVQDRPGHDRRYAIDARKIERELAWRPAETFETGIRKTVQWYLDNPQWVQQ--- 225848521 ---KKVLLTGVAGFIGWKTGKFLLEKGVEVVGIDNMNNYYDVRLKEYRKKDVDIENLGALEVIFKDHKFDCVINLAARAGVRYSMINPHVYMTTNANGTLNLLEMMKKYQVRKMVLASTSS-LYAGQPMPFKEDLPVNPISPYAASKKAAEVMAYTYHYLYGIDVSIVRYFTVYGP----------AGRPDMSIFRFIKWIDEGKPIILYGDG------SQSRDFTYVDDIAEG---TILSTKELGYEIINLGGGKNPISLNTVIQTIEKYLNKKAVIDYRPFHKADLKETWADITKAEKLLGWKPKVSFEEGIKKTVEWYLENREFLKD--- 119718380 ----KVLVTGGAGYIGSTTAKALEEAGHTPVILDSLLTGPLAFVRDRIFYEGDIADRALVRRVFDEHDIDATIHMAARIVVPESVEKPYEYYRDNVAKSLELFDELNTLGKGRVLFSSSASIYALKDDFEVSEGDRLEPASPYARTKRMMEEVLQDMSAATDLRAIILRYFNPIGSDPDLESGIYAKEPSHVLGQLVMAARGQKDAFTITGTDHPTRDGTGIRDYIHVWDLARAHVRAVERFDEGEPSVIINVGTGSGVTVRELVTAFQNVFGQEVPVREAPPRPGDAVGAFANVDRSGRLLDWRTELSLEDAIASALAW------------- 223939597 ----KVLVTGGAGFIGSHLAEALCRRGARVVVLDNLSSGKTSNLDWKRCIQGDVADELLVKDLIQGC--EWVFHEAAMTSVPISVAKPLETHQHNVDGTLRLLILARAAGVKRFLFASSSSIYGDSPLTSKHESMPPAPLSPYALQKFAAEKYCQLFHQLYDLPTVSLRYFNVFGPRQ------AFDSPYSGVIAKFCTSMLQGQPPTIYGDGL------QSRDFTYIENVIQANLAAAEAPSDKVIGKVFNIAAGQSISLLQLFRELNQLTGQSLKPRFEPARLGDVKHSQADISAAKQALGYEPKVNWQAGLKRTLEFYRQ---------- 86748679 -----VLVTGAAGFIGFHVTQQLLAKGSKVVGLDVLNDYYDPALKRARFVKLDLADRGAIKALFAEYRFAVVIHLAAQAGVRYSIENPYAYIDSNLEGFINVLEGCRHNGCRHLLYASSSSVYGANTKLPFVQDNVDHPISLYAATKKANELMAHAYSHLYRIPTTGLRFFTVYGP----------WGRPDMAMFIFAKAITEGAPIKLFNRGMMRRDFTYVDDIVRLVDNAAWSGDHPDPASSTAPWKIYNIGNNNPEELLHVVSLLEKEFGRPAQKEMLPMQPGDVPATYADVEDLMRDIGFRPSTTIERGVAAFAAWYRAYHK------- 88860028 ----KYLVTGAAGFIGSFVAERLCEMGHQVIGLDNLNDYYDEHFANFTFIKMDLADRDAIAALFARAQFDCVIHLAAQAGVRYSIDNPMAYIDSNLVGMATVLEGCRHNKVQHLVYASSSSVYGANTKVPFAESDQDHPVSLYAATKKSNELMAHTYSHLYQLPTTGLRFFTVYGP----------WGRPDMAPFLFTDAIAHQRPIKVFNHGNMRRDFTYIDDIVEGVIRIQALIPAPNKQSRSPYYQLYNIGNNQPETLEHFISCIETALGKKAIKEYLPMQAGDVIQTYADVSSLESAIDFKPNTTLADGISQFVNWYTDFYK------- 143582668 -------VTGGAGYIGSHIVDLLCELDYNVFVLDNLANGYKENINRAKFVYGDIRSKVELDNFFSKHKIDSIVHMSALKAPGESMINSAIYSNNNIIGSINLITAAIKFKVKKFIFSSSAAVYGQPKYNPIDELHPTNPINYYGYTKLFIENYLSWSSLQGDINYIVLRYFNAVGSKKKNLCKNKEKNPQNLLPIIMEVANGSRKILKVFGNDYPTYDGTCIRDYINVVDLADAHLKALDY-LDEGKSCCLNLSSGTGYSVLDVIKISEIVTARKIIYSISKRRMGDPAILISTNENARKKLKWNPANSLKEIIKSMWAHYKN---------- 76802963 -----VLVTGGAGFIGGHLAEQFAADGHDVVVLDNFEPYYDLGIKEHNVEDGSITDDDQVDTLVSEA--DVIYHQAAQAGVRKSVEQPAKVNAYNVDGTVTLLEAARRHDVERVVLASSSSVYGKPEYLPYDEAHPTTPVSPYGVSKLAAEQYARVYSEVYGLPTVGLRYFTVYGPRMR---------PNMAMTNFVSRCLHGESP-------VIYGDGTQTRDFTYVADIKRVNAQLL--NDDSADGEILNIGSTDTIDIQTLAEVVRDEIDPSLDIEYTDPREGDAEHTHADISKANALLGYEPTVDIREGVSAFIDWYRENKAWYD---- 114772233 ----KILVTGGAGFIGSALIRYLIQHTHEVLNVDKLTYAGNLTSLRYRFVQIDIVDSQALKQTFATFQPDAVMHLAAESHVDRSILAADEFIQTNIVGTFNLLDVARRYWAFRFQHISTDEVYGDFTGQLFSETTPYAPSSPYAASKAASDHLVNAWCRTYGLPILMTNCSNNYGP--------YHFPEKLIPLTILNALEQKTIP--------IYGKGTQIRDWLYVEDHVEALYLVLQ---QGHIGETYNIGGHNEVENLQLVHILCDTLDKKTLIRHVDDRLGHDRRYAIDASKIHKELGWKPRHTLEQGLQKTIKWVIDHRAW------ 78223533 ----TVLVTGAAGFIGFHLSQRLLARGDRVVGLDNLNDYYDVNLKLDRLRQLELADRPALEELFSGERFDAVVNLAAQAGVRYSLKNPHAYVESNLVGFMNILEGCRHHGVKHLVYASSSSVYGANTAMPFSVHHNDHPVSLYAATKKANELMAHTYSSLYGLPTTGLRFFTVYGP----------WGRPDMALFLFTKAILEGRPIDVYNHGKMQRDFTYIDDIVEGVMRVMWSGDHPDPGTSYAPYRIYNIGNNSPVELLTFIETIEKCIGKPAEKNFLPIQAGDVPATYADVDDLMNDVGFKPATPIGEGIRRFVEWYREYY-------- 138342368 --NRTILVTGGAGYIGSHTAFELQERGYRVVILDNLSTGHRWAIRADEFIEGDIRDTGLLTQIFERIPIDAVVHFAAKSVVSESVLNPLDYYDNNVVGAQRLIQAAIFAGVTKFIFSSTAAVYGTSDAEVIREDAGKSPINPYGHSKRMVELMLADAFKANQLNSVSFRYFNAAGARPEAGLGEIHQPETHLIPNILLSCLKDKESLKVFGDDYPTSDGSCIRDYIHVKDLARAHADAIAFLDAHPEAHTINLGTGKGYSVLDII-------------------------------------------------------------------- 144188225 ----NVLVTGGAGFIGSNFVHLIAPRVAKLVVLDALTYAGSPSNLAGTFVEGNILDRALVDELMRVHEVDTVIHFAAESHVDRSIHGPEAFVETNVQGTLTLLESAKGAWMVRFHHVSTDEVYGTPDDPAFTEETPYAPNSPYAASKAASDHLVRAYQHTYGFPATVSNCSNNYGPRQF---------PEKLIPLVIMNALKEKP-------LPIYGDGKQVRDWLYVDDHNEAIWTIVTQSEDRG---VYNIGGDNQPTNLEIVHAICGVLDELMPITFVKDRPGHDRRYAMDIRKIKADLGWVPSRSLAVGLRDTITWYLENTAWLSS--- 225010775 ----NILVTGAAGFIGFHVCQQLLSEGHSVVGLDNINDYYELGLKYARLEKLNIEDRENLPSLFANQSFDVVCNLAAQAGVRYSIENPETYIDSNIVGYLNILEACRHHSVKHLVYASSSSVYGLNEDIPFTEQQVDRPISLYAASKKSNELMAHTYSHLYGFCTTGLRFFTVYGP----------WGRPDMALFLFTKAMLEDHPIAVFNQGNMSRDFTYIDDICKGVTTI-INEYTGDREKANAYYKLYNIGNSSPVALTEFIEAIEEALGKKAIKNLQPMQAGDVAKTWADVSGLEKDYNYHPNTPVKEGIKQFIDWYKEYYK------- 198282896 --SRRILVTGAAGFIGYHLCRRLLTEGWIVHGLDNLNAYHLENHPDFQFHTVDLADREAMTGLFAGPHFDVVVNLAAQAGVRHSLQDPAAYVDSNLVGFANVLEGCRAQAVDHLLFASSSSVYGANARLPYSHDGVDHPLSLYAASKRAGELMAHSYAHLFGIPCTGLRFFTVYGP----------WGRPDMAYFRFTRQILAGEPIPVFNHGQMRRDFTYIDDVIEAPRPAPMSTERPDPSTSDAPFRLYNIGNHTPVALLDFIAILEDLLARKADIEWLPMQAGDVIATYADVGELQEAVGFSPATPLRDGLARFIDWYRSYY-------- 134917744 ---RNILVTGGAGFIGSHLVRLLVNKNYHITNIDVLTYAGNEHKDNYSFVKCDVCDFKKVKQIFINHKIDSVIHLAAESHVDRSIEDPFSFAKTNIMGTLSLLQAAKESWNGNFYHVSTDEVYGLGSEGVFTEETSYDPHSPYSASKASSDHFVRAFADTYGIPIVISNCSNNYGSH--------QFPEKLIPLCINNIINNK--------PIPVYGKGENLRDWLYVDD----HIRAIDVIFHQGRRETYNIGGFNEWKNIDLIKVIIKTVDRDKLITYVKDRAGHDLRYAIDSSKLKNELGWEPSLQFEEGIEKTVNWYLKNRKWIDN--- 269965956 ----KYLVTGAAGFIGAKTIEVLLSKGASVVGVDNINDYYDVNLKEARFVKMDLSDRQAVADFFANNQFDCVIHLAAQAGVRYSIENPMAYADSNLVGHLNILEGCRHSKVKHLVYASSSSVYGLNQKTPFTSDTVDHPVSLYAATKKSNELMAHSYSHLYDLPTTGLRFFTVYGP----------WGRPDMAPFIFTKKILEGDTIDINNNGDMWRDFTYIDDIVEPQRNDDWTVESGTPASSSAPYSVYNIGHGSPVNLMDFITEIESALGIEAKKNFREMQPGDVYKTYADTSDLFEATGYKPQVGLKQGVQALVDWYREFYK------- 188586990 -SQETVLITGGAGFIGSYVAGLLIDQGYRVVIVDDLSTGQTGNIPESAAFY-SLCITEDLSSIFLKEKPHYVIHMAAQVSVSKSLEDPEEDAKINLMGGLNLLQEASNNGVEKFVYASTAAVYGDPSELPLKEEHEKKPLSPYGINKLAFEQYLESYRVNLGMDYTVLRYANVYGPRQ-------VPGADGGVVAVFMDRIKKGLPLIIHGD------GSQTRDFVYVEDAARANLLALER----GSGQVFNVGYGEETSISELVDSLARILGRELPYEYTNRRPGDIYRSVFNSEKARTNLGFQAQHSLESGLIKTVK-------------- 310634615 ---KKYLVTGAAGFIGFHLSQKLMDRGDQVIGIDNLNDYYEVALKEARFHKLDLSDRDGINALFAAQKPDVVVNLAAQAGVRYSLTNPHAYVDSNLVGFVNILEACRHNETKHLVYASSSSVYGSNKKMPFSHHSVDHPVSLYAASKKANELMAHTYSHLYGLPTTGLRFFTVYGP----------WGRPDMALFLFTKAILEGRPIDVFNYGKMQRDFTYVDDIVEGVIRVSDNQPNPEWSGDEADPGSYNIGNNQPVELMHMIETLEKCLGKTAEKNLMPIQPGDVPATYADVDDLVRDVGFSPATPIETGISNFVDWYRDFYK------- 142036031 ----NILVTGAAGYIGSTFSFEAVKRGHTIFGIDNFSNSTDLFVKKLKEEKCDLRNHDDLHQCFKEIDISIIIHFAGLKSVPESEEKGEEYWDNNVNGTKNLLDVMSIYNIKNIIFSSSASVYGKQELQPISEEAALNPASMYAKTKKAAEELIQHEVLNNGISGISLRYFNPIGSHKDYVFEDFDKPYPNLMSSIINVAKGTKEKVLIYGEDYITSDGTGERDYIHIFDLINGHFAALKYLNHVKGYDVFNLGTGKCVSVKELINNFEKVNKVKIPYQKTDRRPGDIATCYADPSKAKKDLKWETKYNLNDMCRDSWE-------------- 86142323 LKNANVLVTGGCGFIGSNLIEALLEAEAFVTCLDDLSTGKKKNIENFTFIEGDIRDFETCKK--AVEGCEYVSHQAALGSVPRSLKTPLLTHSVNVTGFLNMMEASRLAGVSKFVYAASSSTYGDNEALPKIESEIGRPLSPYAVTKLVNEYYAGVYKRNYGFNSIGLRYFNVFGPKQD------PNGAYAAVIPKFISSLMNNESPVINGD------GSFSRDYTYIDNVVQANLLALVTDNKNALNEVYNIAYGERTSLIELVNLLKDRLLIDVQPKFGPERPGDVPHSLASIAKAKNLLGYDPEYDLEAGINKAIDWYKQN--------- 284041245 ----TILITGGAGFIGHTLTRHLLAMGHTVLLLDNFNSSYDPAIKWQHIYQGDIRDAVLLHQIFSAYRVDGVIHLAGLAGVRPSLQNPSAYMDHNVNGTAVLLEAMRVFNIKRFVFASSSSVYGSRSGGVFLETDCENSVSPYAFSKRAAERLCQQHHQLHGLHVFCLRLFTVYGP----------QQRPDMGISRFIQQLNNRQPITLFGNGL------SRRDYTYVDDIVAGISQSIERVK---GCEIINLGSAHPVTLLELIGMLEQLIKRRVPINWLADQPGDVPYTHASIEKARRLLDYQPATDLKDGLRNMVNQYQR---------- 295084059 ---RNILITGGAGFIGSHVVRLFVNKEYHIINLDKLTYAGNEDQPNYTFVKADICDFEKMLEIFKQYHIDGVIHLAAESHVDRSIKDPLTFAQTNVMGTLSLLQAAKCYEDKRFYHISTDEVYGEFDGTFFTEETKYQPHSPYSASKAGSDHFVRAFHDTYGMPTIVTNCSNNYGP--------YQFPEKLIPLFINNIRQGKPLP--------VYGKGENVRDWLYVVDHARAIDLIFH---NGNTADTYNIGGFNEWTNIDLIKVIIKTVDRDHLITYVTDRKGHDLRYAIDSNKLKNELGWEPSLQFEEGIEKTVRWYLDNQNWMDN--- 142029679 ---KNILVTGGLGFIGSVTCSLLLKKGFNIIIIDNNHVRNRDNLRKLFLYDYDLKNLRETNSVFSDHNIDGVIHFAALKSVPDSMKDPFEYYSNNILGSLNLFNAMVKHNVKQIVFSSSAAIYSPENTYPIKEDSKIEPQNPYASSKYFIENILEDFYESHEVSSISLRYFNPIGTDELNIFGDTFDEDNKSITSSIIKTINDEQKHEIYGRDYDTKDGTALRDFIHVQDVASAHIDALNLLFKRNKFECINIGCGKGYTVLELVRMFKNLSGSNFEYKFCKPRKNDIPSSVADIRKAKNILKWKPHLSLDKMCED----------------- 171909510 MADRRILVTGGAGFIGSNLVHYLLEHGITVVNLDKLTYAGNRSSLRHVLVEGDIQNSELVAFLLRKYNLNAIMHLAAESHVDRSIDSPEEFIMTNFVGTFKLLDAARVYHKFRFLHVSTDEVFGGPSDPAFSETTPYAPNSPYSASKAGSDHLARSYVHTFHLPVVTTNCSNNYGP--------FQFPEKLMPLMIQKVIRGEKLP--------VYGDGSNVRDWLYVEDHCRGLTL---AMLKGEIGETYNIGGKCEMKNIEVVHALINAVDPAELITFVKDRPGHDRRYAIDCTKIGRELGWQPQETFDSGMRKTVRWYLDHANWIEE--- 22299749 ------------------------------LILDTLERGHRESVLKTELIVGDIGDRPLLDWLFQTYPVTAVMHFAAYIEVGESIHSPDRFYQNNVHGALTLLQAMVAAKIPYFIFSSTAAVYGVPPEIPISETCPCAPINPYGRSKWMVEQMVADMGTAYGLKSVIFRYFNAAGADPHSRLGEDHRPETHLIPLVLQAAMGRRPHIAIYGTDYPTPDGTCIRDYIHVVDLAQAHVRGLKYLLSGGNSQIFNLGNAQGFSVRQIIETAQRVTGCSIPVIEGDRRAGDPAILVANSDRARCLLGWQPQYDIEQIIHHAWQWHQRRHRG------ 24374701 ----KILVTGGAGFIGSAVVRHIIGNTQDVVNVDKLTYGNLESLPRYTFEKVDICDRTELERVFSLHQPDAVMHLAAESHVDRSITGSADFIQTNIVGTYTLLEAARHYWMFRFHHISTDEVYGDLPHPLFTETTPYTPSSPYSASKASSDHLVRAWLRTYGFPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKPLP--------IYGKGDQIRDWLYVEDHARALFKVV---TEGKVGETYNIGGHNEKRNLEVVQTICSILDSAEQIAYVADRPGHDRRYAIDATKMSAELDWQPQETFETGLRKTVEWYLANQEW------ 160876139 ----KVLVTGGAGFIGSAVVRHIINTQDSVINVDKLTYGNLESLARYTFEKVDICDRGELDRIFLQYQPDAIMHLAAESHVDRSITGPSDFIQTNIIGTYTLLEAARHYWIFRFHHISTDEVYGDLPHPLFTETTPYAPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKPLP--------IYGKGDQIRDWLYVEDHARALYKVV---TEGKVGETYNIGGHNEKRNLEVVQTICSILDVAEQITYVTDRLGHDRRYAIDASKMSAELNWQPQETFETGLLKTVEWYLANQEW------ 300779206 ----KILITGGAGFIGSNLTEYFLNKGYYVVCLDNFATGHRHNIPNYKLIEGDIRDLEVCKEAVKNV--DYILHQAALGSVPRSIKDPITSNDVNVSGFLNMLVAARDANVKRFVYAASSSTYGDSESLPKVEDVIGRPLSPYAITKYVNELYADVFGKTYGIKCIGLRYFNVFGRRQD------PNGAYAAVIPLFVKQLINHESPTINGTGD------YSRDFTYIDNVIQMNELAMLTENPEAINTVYNTAVGDRTTLNDLVGYLKKYLSEDVEVVHGPNRVGDIPHSLASVEKAEKLLGYKPSHTIEKGLKEAISWYWEN--------- 269965939 ----KILVTGGAGFIGSAVIRHIINNTEDVVNVDKLTYGNLESLERYVFEKVDICDRESLETVFSKHKPDAVMHLAAESHVDRSIDGPAAFIETNIVGTYALLEAARNYWNFRFHHISTDEVYGDGTDDLFTETTPYSPSSPYSASKASSDHLVRAWLRTYGLPTIITNCSNNYGP--------YHFPEKLIPLMILNALEGKSLP--------VYGDGMQIRDWLYVEDHARALYKVVREGL---VGETYNIGGHNEKANIEVVKTICTLLEYADLITYVSDRPGHDVRYAIDATKIERELGWKPEETFDSGIRKTVEWYLEN--------- 134300858 -KTKTYLITGAAGFIGYFLSKLLLEQGCRVIGVDNINDYYDVNLKYARSIKGDISDKAMIMKIFEEYKPNIVVNLAAQAGVRYSLENPDAYIQSNTIGFYNILEACRYNPVNHLVYASSSSVYGANKKVPFEETDFDHPVSLYAATKKSNELMAHTYSHLYKIPATGLRFFTVYGPMGRPDMAYFGFTDKYF----------KGEPIRIFNNGDF--ENDLYRDFTYIDDIVEGVERLLSNAPTDAPHRVFNIGNNSPEKLMVFIETLEKALEVVFDKIFEPIKAGDVPATYASTDLLQEAVGFKPETSIEEGLQRFADWYVEYYK------- 225848195 ----KLLITGGAGFIGSEFTRQAVKNLFETVVVDKLTYAGDEVEDKIKFYKTDINNQEFLDYIFQKEKPDVVVHFAAESHVDRSILDPSIFIETNVKGTQILLDISKKYNIKLFINIATDEVYGLGENGQFYEDTPLIPNSPYSVSKASADMLGRAYYRTYGLPVITVRPSNNYG----------YWQYPEKLIPVVIIKALNNQPIPVYGKG------ENIREWLFVSDCAQAVFEIIQK---GKVGEIYNVGSGQERRNIEVVKSILDILNKPYDITFVKDRPGHDYRYSLNTEKIQREIGWKAKITFEEGIEKTVKWYLNNLEWVNKK-- 142925868 ME--KIIVTGGLGYIGSHTAVELSDK-FEVVLVDNLSNTTIDVLERPIFEKLDLKNKDDVKSLFDKHNDAVLIHFAAYKAVGESVDNPLKYYENNLSSLIYIQEIDKLNHSFNFIFSSSATVYGQAINLPITEEEIKKAESPYGNTKQISEEILFDYSKINNLNVISLRYFNPIGAHQSSSIGELPIGPQNLVPFITQTAAGVHEEIIVFGNDYNTHDGTCIRDYIHVVDLARAHIKNLIQNKNESNYNVYNIGTGKGTSVLEVIDSFIKSTGVKLNYVIGKRRSGDVESAYADNSKAMQKLNWEPKFSIDQAILSAWEWEKK---------- 307221886 ----KFLVTGAAGFIGFYVSKRLLAAGHQVVGIDNLNDYYDVNLKQARFYKIDLADREKMAALFADERFDRVINLAAQAGVRYSLENPNAYADANLIGFLNILEGCRYNNVQHLLYASSSSVYGMNRKMPFTDDSVDHPVSLYAATKKANELMAHTYSHLYGLPTTGLRFFTVYGP--------WGRPDMALFKFTKAMLEGKSIDVYNFG--------KMKRDFTYIDDIAEAIIRLQDVIPEKAPYRVYNIGNSSPVELMDYINALEEALGIEANKNMMPLQPGDVLETSADTKALYDVIGFKPETSVKEGVKNFVEWYRNFYK------- 241772170 ----RILVTGGAGFIGSALVRYLVSEGAEVLNLDKLTYAGNLASLEPIFVQADICAREAVVAAINGFKPDHIMHLAAESHVDRSITGAGEFVQTNVIGTFTMLEAARHYWNFRFLHVSTDEVYGLGETGLFEEVTPYDPSSPYSASKAASDHLAKAWHRTYGLPVIVSNCSNNYGP--------FHFPEKLIPLNILNALEGKALT--------VYGDGSNIRDWLYVEDHARALHLIASKGRL---GETYNVGGRNERKNIDVVHRICALMDHDRLITFVTDRPGHDHRYAIDATKLETELGWKARENFDTGIEKTVRWYLDNEQW------ 142287287 ----KILVTGGLGYIGSHVTVLLLESGVEVLSIDNLENSNIEVLKTPVFELLDLKDKDRTQDLFKKHDIDGVIHFAAYKAVGESILKPIEYYKNNIGGLLNLLE-PLSQFNIPLIFSSSCTVYGQALKLPIDENAPVQPTSPYGSTKQIGEQIIKDCCQVNDFKSIILRYFNPVGAHPTSKIGEYPKGPQNLVPFLTQAVIGKRLTLEVFGKDYNTPDGTCIRDYIHVMDLAQAHIESIYYLISSNQYETFNVGTGKGSSVLEVIKAFEKTTGESVPYKFSKPRTGDTTSAYADVRKIQNKMGWKAKYSLEAALQSAWNWEKE---------- 310644248 ----KLLVTGGAGFIGSNFVLYMLKQDYEIVNIDALTYAGNENHPKHTFVKADITDAQAIDQLMQQ-GIDVVVNFAAESHVDRSILEPEVFVKTNVLGTQVLLDAAKKYNVTKFVQVSTDEVYGLGETGLFTEETPLQPNSPYSASKAGGDLLVRAYHETFGLPVNITRCSNNYGP--------YQFPEKLIPLMISRALSDQQLP--------VYGDGLNIRDWLYVED----HCSAIDMVIHQGKGEVYNIGGNNERTNVHIVKTVLEELGKPESISYVQDRPGHDRRYGIDPTKTMNELGWTPKHSFETGIKETIRWYLDNEEW------ 143003970 -----ILITGGTGFIGSHVAVEFLKNDYKVFLIDNLSNSSKKTVKDIIFKKIDLKNQEDLEKIFHKNEFDAVIHLAGLKSVKESNEYPSKYYENNVDGTKNLINVMQKFDVKKIVFSSSATVYGNPKSLPIYESSEIGDISVYGETKLIIENFLETWEKDNSWRICILRYFNPIGAHPSASLGENPKGPDNLLPYIYKVATGEKEYLKIFGNDYPTPDGTGIRDYIHVEDLSSGHLSALKYLEDKNIVSCFNLGTGRGFSVFEIIKAFKKATNIDIPFKIVGRRSGDISEIYANTSLAQELLCWKTTKTLNEMLLDGWNCQKK---------- 143882267 --KRNILVTGGAGFIGSHLVRLLVNKAYHIINMDVLTYAGNEHKENYSFVKCDICDFKKVKQVFVDYKIDSIIHLAAESHVDRSIEDPFSFAQTNVMGTLSLLQAAKSYWENNFYHISTDEVYGLSDEGFFTENTNYDPHSPYSASKASSDHFVRAFSDTFGLPVLISNCSNNYGS----------FQFPEKLIPLFINNIVNNKPLPVYGKGENVRDWLFVNDHVRAIDVVFHKGKLNETYNIGGFNEW-----KNIDLIKVIIKTVDKILGRDEGITYVTDRAGHDLRYAIDSTKLKNELGWEPSLQFEEGIEKTVKWYLENKEWMDN--- 38174848 ----KVLVTGAAGFIGYHVCERLLARGDTVIGVDNLDTSGDVTLKATRFHRMDIRDAKACRELFDGARPERVVHLAARVGVRTLDSESPEYAETNVTGFLQVLELCRRSRVEHLVFASSSSVYGAGSDMPFSEDSADRPLSLYAATKRANEMMAHAYSHQYAMPITGLRLFSVYGP----------WGRPDMAPMMFLRAMLEGRSLELHGEGKA------QRDFTYIDDVVEALVRVLDAAPTGLPLYRVNVGRGTPVSMSRLVDLLEEHLGTTAWVEMRSSRSEEMDATCADVTALERETGFRPSVTLEQGLARLVAWYR----------- 307692150 ----TLLVTGGAGFIGSNFIFYWLKQHDRVVCLDKLTYAGNLATLNFRFVRGDICDRAAVKRIFEEEQPQAVINFAAESHVDRSIQAPEVFLQTNVLGTQVLLDACRAHGGVRFHQVSTDEVYGDRPDLLFTEENPLRPSSPYSASKAGADLLTLAYCRTYGLPVTVSRCSNNYGP--------YQFPEKLIPRMIVRALAGK--------TLPVYGRGENVRDWLHVDDHCAALDAILQRGVA---GEVYNIGGRSERTNLEIVGLICRRLDVPPQVVHVADRKGHDKRYAIDSGKLSSQLGWQPQVSFDQGMDETINWYLDHRYWWE---- 149909222 ----KILVTGGAGFIGSAVIRHILETDYQVVNLDSLTYGNLDSIPQYVFECVDICNKEQVDRVFLQHQPDIVMHLAAESHVDRSIESPNCFVETNIIGTYNLLESARVYWDFRFHHISTDEVFGDSQDGLFVETTAYDPSSPYSATKASADHLVRAWFRTYGLPTLITNCSNNYGP--------YHFPEKLIPHMILNALNGQALP--------VYGDGLQVRDWLYVDDHARALVLVATKGQI---GERYNIGGHNEKRNIDVVNSLCSVLEYRDLIQFVNDRPGHDLRYAIDASKIQRELGWQPEETFATGIRKTVQWYLDN--------- 113374877 ----RVLVTGGAGFIGSHIAEALLREGLEVAVLDNLSTGKRENVPPGPFYQVDLRDGEGVERVFREFRPTHVSHQAAQASVKVSVEDPLLDFAVNLVGGMNLLEACRRHGVEKLVFASTGGAIYVPEGEAAEETWPPRPKSPYAASKAAFEGYLSAYGQNYGLKWVSLRYGNVYGPRQD------PHGEAGVVAIFAERVL-KGEPVTLYARRTP-GDEGCVRDYVYVGDVAEAHTLALLSLE-----GVYNVGTGEGHTTLEVLKAVAEAALRTPEVRHAPPRPGDLERSVLPPLKLMAH-GWRPRVGFREGIRLTVE----HFRG------ 156744185 ----RYLITGGAGFIGSHLSEALLARGDQVVCIDNFNDYYDPVRKRRNIVEADFRDAEIMDRVFAQYRPQRVAHIGAMAGPRPSMRNPALYEEVNVRGTLTILETAARYEVEGLVLASTSSVYGMSPTPWSEESPTDRPLSYYAATKKAAEVLAYTAHRQYGMPIRIVRFFTVYGPR----------GRPDMTPHLFVDAMVAGKSITLFN-----GGMGVYRDWTYVDDIVSGVVAALDAGYA---FDIFNLGHSSPVQLIDFVTALERVTGLCARIVAQPLPAADPPITYARIDKATRMLGFQPCTPLEEGLARFWEWYR----------- 289434340 ----NLLVTGGAGFIGSNFVHHILNKDYKVVNLDLLTYADIKNNANHVFVEGNICDYDLVKNIVLEHKIDAIVNFAAESHVDRSIINPGIFIETNVQGTLNLLNVAKELNVTKYLQVSTDEVYGLGEIGYFTEETPIAPNSPYSASKASADLLVRSYFETYGLNVNITRCSNNYGPN--------HFPEKLIPLMITNGLDGEQLP--------IYGDGKNIRDWLHVSD----HCAAIDLVIHKGKSEVYNVGGHNERTNNEIVHIIVDDLKSEDKIVYVEDRLGHDLRYAIDPKKIETELGWKPKYTFDTGIKETIEWYVNNEAW------ 53715205 ----RVLVTGAAGFIGSFVCRELLLRGDEVVGLDNINTYYRESVDWYKFVQSDLEDRQAMQMLFANEHFDRVVNLAAQAGVRYSIENPYAYVESNVNGFLNVLEGCRHNGVKHLVYASSSSVYGLNGKVPFSEDGIAHPVSLYAATKKSDELMAHTYSYLYGIPTTGLRFFTVYGP----------WGRPDMSPFLFADAMLHNRSIKVFNNGDMLRDFTYIDDIVEGVLRVIDHIPMPDPRWCAAPYKIYNIGNSYPVKLTDFIQAIEDMIGYSAEKIYLPMQSGDIYQTNADTTSLQEELGFKPNKSIKEGVKETIEWYRSYYK------- 260774424 ----KYLVTGAAGFIGSATVKKLTDQGHHVVGIDNINDYYDVNLKHARFIQLDIADRAAMAQLFEQEKFERVIHLAAQAGVRYSLENPHAYADSNLVGHLNILEGCRQNKVGHLVYASSSSVYGLNAKVPFTSDSVDHPVSLYAATKKSNELMAHSYSHLYDIPTTGLRFFTVYGS----------WGRPDMAPFIFTKKILAGETIDINNHGDMWRDFTHVDDIVEPTRNAAWTVESGTPATSSAPYAIYNIGHGSPINLMEFVKAIEDQLGIEAKKNFRGMQPGDVYQTYADTEDLFTATGYRPRVGVKEGVAEFINWYREFYK------- 83594905 ------LVTGTAGFIGSHVALRLLQEGEQVVGIDCYTPYYDVGLKEAREHRLDLADEAGVDAVFRAARPRRVIHLAAQAGVRYSVENPRAYLESNLMGTFSVLEGCRKTGVEHLVFASTSSVYGANKTQPFSEHQPDHPLTFYAATKRATEMMAHSYANIYQLPSTALRFFTVYGP----------WGRPDMALFLFTEAMLKGEPIRVFNHGKMVRDFTYIDDIVDGILRASAKIPVAMAGGAAGPFRVYNIGNSQPVELMRYIEVLEGCLGVTAKKEMLPMQLGDVPGTWADVSALAADTGYAPKIGVEEGVRRFVDWYRGYYK------- 172058630 MINNKILITGALGFIGFHLSNKLLSMGIEVIGLDNENNYYDVNLKKNRFEKIDITDREKLNTLFEKHNIEIVINLAAQAGVRYSIENPYAYLDSNLTGFLNILEACRNYPVKHLLYASSSSVYGGNKVAPFTNHNVDHPVSLYAATKKSNELMAHTYSHLYDIPTTGLRFFTVYGP----------WGRPDMAYFSFTKDIVEGNPIKVFNHGKMERDFTYIDDIVEPQKNPDWDESKDELGASFAPYRVYNIGNNQPVQLMKFINVLEEKIGKEANKKYMEMQPGDVLRTYADVSELERDIDFKPSTSIEEGLGKFVDWYKEYYN------- 30248485 -----ILVTGGAGFIGSNFVDWLAQSEETVINLDALTYAGNRANLRHIFVKGSITDFDLVARLLHEHHPRAVINFAAESHVDRSIHGPENFIHTNIVGTFRLLECVRAFWNFRFLHVSTDEVYGTKEASPFTEVSRYEPNSPYSASKAASDHLVRAWHHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLMIVNALAGKPLP--------VYGDGMQIRDWLYVKDHCGAIRRVLEV---GKPGEIYNIGGWNEKPNIEIVNTVCKLLDYASQITYVADRPGHDRRYAIDAHKIERELGWRPAETFETGIRKTVQWYLDNPEWV----- 143882743 MKIKKILVTGGLGYIGSHTVVELVNKGYEVVIVDDLSNSNKICNKSIKFEKLDLRNIKFIKDFIENNDIDGVIHFAASKAVGESVENPLKYYSNNLFSLINLSEALKDNKPIKFIFSSSATVYGDPEILPITENESIKAESPYGNTKQIGEEILYDLTQSNNFKVISLRYFNPIGAHISAEIGELPIGPQNLVPFITQTAIGKRKELIVFGNDYPTKDGSCIRDFIHVVDLANAHIKSLEYLNKITKPNFYNIGTGQGSSVFDLINSFQIVSKQILKYKIGKKRKGDVGECYANVNKANKILNWKSKLSIEDSLLSAWNWEKK---------- 39997339 -----ILVTGAAGFIGFHLTKRLLDRGDRVVGLDNLNDYYDVNLKLDRLRQLELADRPALEDLFAGQRFDVVVNLAAQAGVRYSITNPHAYVDSNLVGFINILEGCRHHGVKHLVYASSSSVYGANTAMPFSHHNVDHPVSLYAATKKANELMAHTYSSLYGLPTTGLRFFTVYGP--------WGRPDMALFLFTKAILEGRPIDVYNFG--------KMQRDFTYVDDIVEGVTRVMDRTPEPAPYRIYNIGNNNPVELLAFIEAIEQNLGITAQKNLLPLQAGDVPATYADVDDLMNDVGFKPATPIGEGIERFVEWYRGYY-------- 187477741 -----IIVTGGAGFIGSNFIDWLAGEQEAVVNLDALTYGNLENLARHLFVQGNICDRTLIDQLLATHQPRAIVHFAAESHVDRSIHDPGDFLRTNIDGTFTLLEAARVYWAFRFLHVSTDEVYGEPEEAPFTETRAYQPNSPYSASKAASDHLVRAWHHTYGLPVLTTHCSNNYGP--------YHFPEKLIPLMITHALSGQRMP--------IYGDGMQIRDWLYVKDHCSAIRRVLQAGR---PGETYNVGGWNEKPNIEIVQAVCDLLDYRRLISHIADRPGHDRRYAIDARKIERELGWRPAETFESGLRKTVEWYLANAEWVS---- 294631184 -----VLIAGGAGYIGSTVASACLDAGITPVILDNLARGRAEFVEGRVFYRGDIADGELVDRIFAEHDISAVVHCAALIVVPESVADPVGYYEANVVKSLEFVRHLRRNGCDRLVFSSSAAVYRAEDGSAVTEESPLAPQSPYARTKAVCEEMFADIAAAGQLRVLSLRYFNPIGADP-QLRTGLQLKRPTHALGMLIRAHEAGTPFPVTGVGYPTRDGTGIRDYVHVWDLAAAHIAAIERFDDARPSLAINLGTGSGTTVRDLCAAFDNVVSTPLVTVDAEARPGDVAGGYTVGDRAEKLLGWTPKLSLEDGIRSALDW------------- 118588017 ----KVLVTGGAGFIGSAVVRKLVSQQAGVVTVDALTYAGNMASLQHRFVKADIRDGAAMASLLEKEAPDAVMHLAAESHVDRSIDGPAAFIDTNIVGTCTLLEAVRGYWAFRFHHVSTDEVYGLGPSGAFKETTAYAPNSPYSASKAASDHLVRAWHHTYGLPVVTTNCSNNYGP---------YQFPEKLIPLMILNGLEDKP-------LPVYGAGANIRDWLHVEDHADALWLVLTRGV---PGQVYNIGGDAERRNIDVVYLICDLLDRHELITFVTDRPGHDARYAMDISRITAELGWRPSHSFESGLRQTVDWYLANRSWWE---- 170781063 ----RILVTGGAGFIGSNFVRHALQEGADVVVLDALTYGNLENLPRYTFVQGDIRDDAVLDEWIP--QVDAVVHFAAESHVDRSVRDASIFVETNVLGTQKLLDAALRHDLKRFVHVSTDEVYGSIAEGSWDEERPLEPNSPYSASKAGSDLLARSYHRTHGLNVSITRCSNNYGP--------YHFPEKVI--PLFVTNLIDDKHVPLYGEGL------NIRDWLHVDDHCRGIALVL---VQGAPGEIYNIGGGTELTNRELTQLLLDATGRDWSYVDVEDRKGHDLRYSVDISKIQRELGYAPQVPFAEGLADVVQWYRDNRAWWE---- 170748797 ----RILVTGGCGFIGSALVLHLVNDGHDVCTLDAMTYAANPDNPRHRLVEADICDRAAVQKAYADFKPEAVMHLAAESHVDRSITDPGAFVRTNVIGTQTMLDGARGHYTFRFLHVSTDEVYGLPPDAFFTEESRYDPRSPYSASKAASDHLARAWHETYGLPVLVTNCSNNYGPR--------HFPEKLIPLMILNALEGKKLP--------VYGDGLNERDWIHVEDHAKGLVAVLERGRI---GETYLLGGRAVRNNLAVVKALCAAFDREQLISFVADRPGHDRRYAIDCTKAETELGWRPQKTFEQALEETVAWYLGN--------- 136289273 ----KILVVGGAGYIGSHFVLEASKKGNEVTVFDDLSSGFKSNLNDNIFYKGSTLSKKELDSVMLSDNFDVAVHLAAFKAAGESMLNPVKYAKNNIIGGLNLIETCVKNNIKNVIFSSSAAVYGTPHYTPIDENHPLLPINYYGYSKLIIEQNLKWFSKLKGIRYASLRYFNAAGYDSGCKKFQVESDPQNLIPLVMEVALGIRDKLEVFGNDYATPDGTGIRDYIHVKDLAEGHLASIDYIIKNNKNLEINLGTGEGFSVLDIVKLTEIISNKKINYVISKRRDGDPGIVLSSSDKAKQLIGWSPKRSLDNIIKSSWD-------------- 90580916 ----KYLITGVAGFIGSAITERLCAQGHQVIGIDNLNDYYDVSLKQARFIELDLADREGIANLFAEQQFNRVIHLAAQAGVRYSIDNPLAYADSNLVGNLTILEGCRHNKVEHLVYASSSSVYGLNHKTPFTADSVDHPISLYAATKKSNELMAHTYSHLYGVPTTGLRFFTVYGP----------WGRPDMALFKFTNAIMEGKEIDVYNHGDMRRDFTYIDDIVEGVMRIQDVIPQPNADWTSAPYRVYNIGHGSPVKLMDYIEALEEALGIEAKKNFMDMQPGDVYMTYADTEDLFKATGYKPEVKVKEGVKAFVDWYREFYQ------- 224373679 ----KILITGTAGFIGFHLAKRLIERGDEVIGLDNINDYYDVNLKYGRLEELNLEDKAGIDKLFKEEKFDKVCHLAAQAGVRYSLENPDAYIQSNIVGHMNILEAVRHNDVKALSYASSSSVYGLNKKQPFTDDNVDHPISLYAATKKADELMSHTYSYLYNIPTTGLRFFTVYGP----------WGRPDMALFKFVKNILEDKPIDVYNYGEMQRDFTYIDDIIEGVVRVIDNPPKSNPEWDGRASEVYNIGNGSPVKLMDFIEAIEESLGKEAKKNLLPMQPGDVPSTWADTTDLEKDLGYKPYTDVKEGIKNFVEWYKGFYK------- 289522135 ----TVLVTGGAGFIGSHIVDAFIEEGHRVIVIDNLSQGKLENLNKAGFYKLDICD-SRVSEVFDEVHPDYVCHHAAQIDVRKSVANPMYDAEVNIKGLLNILSCAIRTGVKGVIFASSGVVYGEPTLLPVSETHPKGPLSPYGVSKLSSEYYLYYYNKVFGLPYIALRYANVYGPRQD------PMGEAGVVAIFSNKMLKEEVPT-------IYGDGTQVRDYVYVGDVAMANILSLQRLKESIDDHAYNIGTSIGTSVNELYDILSDIIGFQKMARHDAPRKGELYKTYLSIDKAKEELGFVPSVSLRDGLKRTLAYF------------ 15077647 ----RILVTGGAGFIGSHYVRQLLGADADVVVLDKLTYAGNEANLAPVADNGDICDRELVGGLMSGV--DVVVHFAAETHVDRSITGSDAFVITNVVGTNVLLQAALDAEIGKFVHVSTDEVYGSIEDGSWPEDHALEPNSPYSAAKAGSDLLARAYHRTHGLPVCITRCSNNYGP--------YQFPEKVLPLFITNLMDGSQVPL--------YGDGLNVRDWLHVSDHCRGIQLVADSGRA---GEIYNIGGGTELTNNELTERLLAELGLDWSVVRVTDRKGHDRRYSVDHSKIVEELGYAPQVDFETGLRETIRWYQDNRDWWE---- 163782909 -----ILVTGCAGFIGWKVCENLISRGHRVIGIDNVNDYYDTRVKLWRFHRIDIEDYEALRKLFEGERFDAVVNEAARAGVRYSIQNPHIYVSTNVMGTLNLLELAKEHGVSKFVQASTSS-LYAGQPMPFKEDLPVNPISPYAASKKSAEALCYTYHYLYGIDVAILRYFTVYGP----------AGRPDMSPFRFTYWVMKGEPIQLYGDG------SQKRDFTYVDDIAEGTVRALDLK----GYEIVNLGNNRPHRLSYMIELIERFTGRKANIEYGKFHKADMKDTWADITKSEKLLGWKPTVSLEEGIEKTVRWFEENWDWVKD--- 255657910 ----NIIVTGGAGFIGSNFIYYMLKKHDRIICVDKLTYAGNLETLESAMERADIADRRAVYRIFEQEKPDIVVNFAAESHVDRSIENPEIFLQTNVIGTSVLLDACRKYGIDRYHQVSTDEVYGDRPDLFFTEETNLKTSSPYSASKAGADLLVMAYHRTYKIPTTISRCSNNYGP--------YHFPEKLIPLMIINALSDKKLP--------VYGDGKNVRDWLYVEDHCHAIDLILQKGR---VGEVYNIGGHNERANIDVVKTILKELGKPEDIEYVTDRKGHDRRYAIDPTKIHTELGWEPETKFEDGIKKTVKWYLEHRDW------ 309789950 ----TYLVTGGAGFIGSHLVETLLRRGEQVVCLDNFTPYYDHNIAWAMQEEADLRDPSALAAIFATYRLTHVAHLAAMPGPRPSIADPALYEAVNVGGTVRLLELARQYGVEQFVLASTSSVYGKAEKLPFEEDDRTDPLSPYAATKKAAEVLAYTFHSLYAMPMSVVRFFTVYGPR----------GRPDMTPYLFVDKMVRGEPFVLFN-----RGINLFRDYTYVDDIVAGVVAALDR---PAGYQIFNLGNSQPVEMCRFVGLLEQITGYPAQIEDRPLPATEPPITFASTAKAQQMLDFAPRTPVEVGLERFWDWYQA---------- 136373557 ---KNVLVTGGCGFIGSNFVKYLISKNYFPIIIDKLTYAGSKKNLNKINEEGDICDEQLILNVFKDYEFDGVFHFAAESHVDRSIDGPREFIDTNIIGTFNLLQVSRNKNNFKFIHISTDEVYGDGSGGYFNEKSSYKPNSPYSASKAASDHLVRAWGKTFNLPVIITNCSNNYGP---------CQFPEKLIPLMIINCIDWK-------QLPVYGNGENIRDWLFVKD----HCTALETVFSKGKGETYNIGGSNEVKNIDIVKTICDIMDELKPISFVSDRPGHDKRYAVDSTKIQKTLKWEPKESFQSGIRKTIKWYLNN--------- 86130455 ----NILITGGAGFIGSHLVRLFLEESH-IYNLDALTYGNLENLADYTFIKGDINDAPFLMTLFSKYQFDKVIHLAAESHVDRSIKNPLAFVQTNVIGTLNLLNAAKEIWKDNFYHISTDEVYGTGETGLFTETTSYDPNSPYAASKASSDHFVRAYGETYGLPYIISNCSNNYGPN--------QFPEKLIPLFINNIILNKALP--------VYGDGNYTRDWLFVKDHARAIARIF---KEGKLGETYNIGGVNEWKNIDLVKLLCDKMDEKSLITFIKDRPGHDKRYAIDASKIEKELGWKPSVTFEEGLELTIDWYLANSSWLEN--- 167623433 ----KYLVTGAAGFIGSKVSERLCAAGHEVVGIDNINDYYDVNLKLDRFKKLDLADREGIATLFAEEGFDRVIHLAAQAGVRYSIDNPMAYADSNLVGHLTILEGCRHHKIQHLVYASSSSVYGLNSKMPFTDDSVDHPISLYAATKKANELMSHTYSHLYGVPTTGLRFFTVYGP----------WSRPDMALLKFTNKIVKGEAIDVYNHGNLSRDFTYIDDIVEGIIRIQDSVPVANPEWNAAEYRVFNIGNGSPVKLMDYISALEKSLGIEAIKNMMDMQPGDVHSTWADTEDLFKTVGYKPQTSVEEGVQKFVEWYKEYY-------- 126662213 ----KILITGGAGFIGSNLCEYFLNKGNQVVCLDNFATGHRHNIKNFTLLEGDIRNLQDCQK--ATEGVDYVLHQAALGSVPRSLKDPITSNEVNVTGFLNMLVAARDAKVKRFVYAASSSIYGDSQGLPKVEDVIGKPLSPYAITKYVNELYADIFSKTYGIETIGLRYFNVFGRRQDPN-----GAYAAVIPLFVKQFMSHESP-------VINGDGNFSRDFTYIDNVIQMNELAMLTKNTQAINTVYNTAYGDRTTLAQLVQLLKDNLIAEVEVLHGPNRAGDIPHSLASIEKAKKNLGYSPKYSIEQGIKEAVSWYWEH--------- 255059008 ---RKMLVTGAAGFIGFHLSKRLLAAGVEVVGLDNLNDYYDVNLKYGRFEKMELSDREGMQALFKRERFDVVINLAAQAGVRYSLINPYAYVDSNLSGFMNILEGCRHHGVKHLVYASSSSVYGANTSMPFSVHHNDHPVSLYAATKKANELMAHTYSSLYGIPTTGLRFFTVYGP----------WGRPDMALFLFTKAILEGRPIDVFNYGKMQRDFTYIDDIVEGVCRVIDRVPEKDPAWSGADPDPYNIGNNNPVELLRFIEVLEQALGKEAQKNLLPIQAGDVPATYADVDDLMRDVGFRPATSIEDGVGRFVAWYREFY-------- 220935274 --SKSLLITGGAGFIGSAVVRHLIHNDYRVVNLDRLTYGNLDSVARYAFEQVDIRDRRELDRVFREHRPAGVMHLAAESHVDRSIEGPADFIDTNIVGTYTLLEASRAYWNFRYHHISTDEVYGLGETGLFTEETPYAPNSPYSASKAASDHLVRAWHHTYGLPVVTSNCSNNYGP--------YQFPEKLIPHMILNALDGKPLP--------IYGDGSNIRDWLYVDDHVRALVRIFERGRL---GENYNVGGHNERTNLEVVNTLCDLLNHRKLISFVKDRPGHDRRYGMDINKVRQELGWRPEWEFEAGLKMTVNWYLEN--------- 147677430 ----TCLVTGGAGFIGSNLAIALVEQGHRVRVLDNFATGSIENLRPVFKERGDLRNLDDVRR--TAGGAEVVYHLAALPSVPRSVADPLTANEVNITGTLNVFLAARDAGVRRVVYASSSSVYGNSEDLPKLETMPPRPMSPYAVTKLAGENYGRVFYELYGLETVGLRYFNVFGPRQD------PRSEYAAVIPRFIDALLKGRPPVIYGD------GRQSRDFTYVDDVVRASILSSEAAGAAGEVFNI--AAGHRISLNELLAVLTEITGINGDAVYAGARPGDVKHSAACIEKASAILGYVPLTAFKDGLRMTVEWFRKN--------- 269127012 ----RILVTGAAGFIGSYYVRAMLDGEYKITVVDKLTYAGNRANLPASHVQADICQQSLLLELVDGH--DAVVHFAAESHVDRSLVNAGDFVSTNVLGTQNLMHACLATGVARVVHVSTDEVYGSIEQGAWTEEWPLSPNSPYAASKAASDLIALSYARTHGLDVSVTRCSNNYGP----------YQHVEKLIPLFVTNLLSGEPAPLYGD------GSHVREWLHVSDHCRAVQLVLTSGRA---GEVYNIGGGTERTNRQIAEELVRLCGAPEMIRRVPDRKGHDRRYALDDSKIRAELGYAPQVPFEEGLAATVAWYRDHPDWWK---- 148556920 ----RILVTGGAGFIGSALIRHLIETDHEVLNLDKLTYAGVLSSLRYRFVRGDICDGELVGRLLGEFRPDVIAHLAAESHVDRSIDGPGAFIQTNLVGTYTLLAEALAYWAFRFHHISTDEVFGLGEDGYFTEATAYDPRSPYSASKAGSDHLVRAWGHTYGLPVLVTNCSNNYGP--------YHFPEKLIPLIIIRALAGEPLP--------VYGDGSNVRDWLFVEDHARALRAVFERGV---PGETYNVGGDSERRNLEVVQAICATLDRAGQIGFVADRPGHDHRYAIDASKIKAELGWAPQVGFEEGIERTVRWYLDNRGWWGD--- 110635096 ----RILVTGGAGFIGSAVCRRLAARGNVVINVDKLTYAGNRTSLNYHFVRADICDMRKMRETFDRFAIDGIMHLAAESHVDRSIDGPAAFIEANIVGTFRLLEAALDYWRFRFHHVSTDEVFGDLPFDPFDETTPYAPSSPYSASKAAADHLVRAWHATYGLPVVLSNCSNNYGP--------FHFPEKLIPLTILNALEEKPLP--------VYGSGENVRDWLFVEDHARALEQVFRHGR---VGESYAIGGNSERTNLAVVETICDLLDTRRPIVFVHDRPGHDRRYAIDASKIDRELGWKPSVSFETGLARTIDWYLANAWWWK---- 293189336 -----ILVCGGAGYIGAHVVRLLRQRGDRVVVVDDLSTSNAARIGDTPLVRLDVTDRSVLSNLMVDEDVTAVIHFSARKQVGESVARPTWYYQQNIGGMANVLAAMEDAGVDQMIFSSSAAVYGIPTAEVVTEDMAGHPINPYGETKLIGEWMMADCERAWDLKWIGLRYFNVAGAG----WPDLADPAIMNLIPMVLDRIERGESAKIFGTDYDTPDGTCVRDYIHVLDLAEAHIAALDAEGRQPDHHTYNVGTGLGTSVREIIDGLRRVIGWDFPVEELDRRAGDPPKLIGDPLSIGVDLGWKANNGLDEILTSAWEWQ------------ 305666593 -SNHHILVTGGAGFIGSNLCEVLLSNKNRVTCLDNFSTGKRENLKKFRLIEGDIRNIKDCQEACSSV--DYVLHQAALGSVPRSINDPITSNDVNVSGFLNMLVAARDANVKRFIYAASSSTYGDSKNMPKVEEVIGKPLSPYAITKYVNELYADIFSKSFGLETIGLRYFNVFGRRQDPN-----GAYAAVIPKFVMQLMKHESP-------VINGDGSYSRDFTYIDNVVEMNIRSMLVTNIEAVNTVYNVAFGERTDLNELIGLLKNYLSKNISVVHGATRQGDVPHSLASIDKAKKLLGYDPKFDIKAGLKEAVDWYWKHLR------- 257058397 MSNHKLLITGGAGFIGSNFVHHWYHQYHRIIVLDALTYAGNRQNLNFRFVQGNICDRPLIDSLLREENIDIVAHFAAESHVDRSILGPDAFIQTNVIGTFTLLESFRHYWNYRFLHVSTDEVYGNPEDPAFTETTPYAPNSPYSASKAGSDHLARAYYHTYNVPTIITNCSNNYGP--------YHFPEKLIPLMCINILLGKPLP--------VYGDGQNVRDWLYVGD----HCSALETVIQKGNGETYNIGGNNEVKNIDLVTLLCDLMDSKQLITFVKDRPGHDRRYAIDATKIKTELGWTPQETVEGGLRKTIEWYLNHQDW------ 291617645 ------LVTGAAGFIGFHVSQRLLAAGHQVVGLDNLNDYYDVNLKQARFIKANLADRQAIATLFEQHNFQRVIHLAAQAGVRYSLENPHAYADANVIGHLNILEGCRHHKIEHLLYASSSSVYGLNNKMPFTEDSVDHPVSLYAATKKANELMSHTYSHLYQLPTTGLRFFTVYGP----------WGRPDMALFKFTRAMLAGEAIDVYNQGQMKRDFTYIDDVAEAVIRLQDVIPQADADWTSAPYRIYNLGNSQPVSLIHYIEAIEKALGVKANKNLMPMQPGDVLATSADTTALFNTIGFKPQTGIDEGVRRFVDWYRNFY-------- 114326766 ----RILVTGGCGFIGSAVIRHLIRDTHSVVNVDKMTYAASEDALRHTLVKADICDATAIAQVFATHRPDAVMHLAAESHVDRSIDGPAQFVQTNVVGTLVMLEAAREHWGGRFHHISTDEVFGENGDPPFTETTSYDPRSPYSASKAGSDHLVRAWHHTYGMPTFVSNTTNNYGP--------WQFPEKLIPLVTLNALEGKELP--------VYGDGSNQRDWLYVDDHAEALVRTLERGEPGG---TYAIGARQPRSNLEVVRTICSVLDRERLIRFVTDRPGHDFRYEIDPSRAEAALDWKAPHDFEKGIRRTVQWYLDHRTWWE---- 255007633 ----KILVTGAAGFIGFYVCKYLLLRGDEVIGLDNINTYYDVNIKYGRFIRMNLEDRQAIESLFANENFDVVVNLAAQAGVRYSIENPYIYVQSNVDGFLNILEGCRHCKIKHLVYASSSSVYGLNTKVPFSEDGIAHPVSLYAATKKMNELMAHTYSYLYDIPTTGLRFFTVYGP----------WGRPDMSPFLFADAMLHKYPIKVFNNGNMLRDFTYINDIVEGIIRIEWNGEFPDPSSSIVPYKIYNIGNSEPVKLMDFIKTIEEVIGYSAKKIFLPMQPGDVYQTYADTTTLQEELKFKPNTPIQEGVKETIDWYRSFYQ------- 126437739 ------LVTGGAGYIGSHVVRALTEADLPVVVIDDLSTGLEQFVPESPFVRGTLLDGALVEQALREHEVTGVIHIAGFKYAGVSVQRPLHTYEQNVSAMVTLLQAMETVGVDKIVFSSSAATFGTPDVDQVDESTPTAPESPYGETKLIGEWLLRDAGRASGLRHTSLRYFNVVGSGSTALFDTSPHN----LFPLVFDMLYRGDTPRINGDDYPTPDGTCVRDYVDVGDVALAHVAAARRLTRSEPVEPVYNGSGAGTSVREIMTAIRTVTGVDFEPQIMPRRPGDPARIVANGDLAARDLDWKMRHSLEDMV------------------- 255524621 --NKTYLITGVAGFIGFYLSQKLLEQGCRVIGIDNINDYYDVNLKYARFIKGDISDKAMMMNIFEEYKPNIVVNLAAQAGVRYSIENPDVYIQSNIIGFFNILEACRYNSVDHLVYASSSSVYGSNKKVPFEESDFDHPVSLYAVTKKSNELMAHAYSHLYKIPTTGLRLFTVYGP--------MGRPDMAYFRFTQKYFAGEPISIFNNGNFE----HDLYRDFTYVDDIVEGIEILISNPPEIVPHKVFNIGNNSPEKLMIFIETLEKALSKAFSKKFEPMKPGDVPATYASTDLLQETVGFKPSTTIEEGLQRFAEWYVEYYE------- 6002934 ----NILVTGAAGFIGSHFVRSLLAEGARVTALDKLTYAGNRNNLRLEFVRGDVCDRALLRELLPGHH--AVVHFAAESHVDRSLEGAGEFFRTNVLGTQTLLDAVLDSGVERVVHVSTDEVYGSIEQGSWTEDWPLQPNSPYAASKACSDLVARAYCAPTEVDLSITRCSNNYGP---------HQHPEKVIPRFVTNLLEGR-------QVPLYGDGRNVREWLHVEDHCRGIHLVLNKGQA---GEIYNIGGGNEYTNLALTEKLLELTGAPEMIRRVPDRKAHDLRYSIDESKIREKLGYAPRISFEQGLSDTVAWYRDNPDWWKSEH- 220909174 ----RILVTGAAGFIGFHLSQKLLRQGDQVIGLDNLNSYYDVNLKKDRFYQLDLSDRQGMADLFAREDIDIVVNLAAQAGVRYSLENPHTYVDSNVVGFLNILEGCRHRGIQHLVFASSSSVYGANTKLPFSVHDVDHPISLYAATKKANELMAHTYSHLFGLPVTGLRFFTVYGP----------WGRPDMAPMQFARSILAGEPINVFNYGKMRRDFTYIDDIVNPTPNPHWSGHSPDPATSKAPYRIYNIGNHQSVELLHFISLLEQYLNKPAQKNFLPLQPGDVLETHADISDLVQDVGFHPGTPIEVGVERFVEWYRHYYQ------- 294665239 ------LVTGGAGFIGGNFVLEAVAAGVRVITLDALTYAGNRDHPGHVFVHGNIGDRALVSRVLEEHRPDAVLNFAAETHVDRSIDGPAAFVQTNVVGTLGLLQSVLDHWAFRFLHVSTDEVYGLGENGRFTETTPYAPNSPYSASKAASDHLVRAFHHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLVIAKALASEPLP--------IYGDGKQVRDWLFVSDHCDAIRTVLEKGR---VGETYNIGGNAERQNIEVVHTICTLLDRASQITHVADRPGHDRRYAIDASKLRNELGWQPAYRFEQGIALTVQWYLDNQDWVK---- 121533497 ---KTIFVTGGAGFIGTNFVRHMLSQDTTIINLDKLTYAGNLNNPRHIFVKGGIEDRSLVEYLLAQYRPQAVVNFAAESHVDRSIDGPAAFIQTNIVGTFQLLEAVRAYWNFRFLHVSTDEVYGLGPEGYFTEATPYAPNSPYSASKAASDHLVRAWHHTYGLPVLTTNCSNNYGP--------YQFPEKLIPLMILNALDGKPLP--------VYGDGRNVRDWLYVLDHCRAIDTVLRYGR---PGETYNIGGNNEKANIEVVETICDILDYRTQITFVQDRPGHDRRYAIDASKIKRELGWQPQETFATGIRKTVEWYLANRAW------ 37520637 ----KVLITGVAGFIGYHLAARLLQEGSKVYGIDNLNSYYDVRLKEARFRHLDIARRPAMFELFESESFDCVVHLAAQAGVRYSLKNPFAYVDSNLSGFVNLLECCRTSGIGHLVYASSSSVYGANTKAPFSVDNVDHPVSLYAATKKANELMAHAYSHLYALPTTGLRFFTVYGP--------WGRPDMAYFKFVQAIEAGK--------PIDVYNHGHMQRDFTYIDDIVEGIVRLLPRVPTHAGYRIYNIGNHQPVSLIEFIEVIEQALGKRAVKNLLPMQPGDVPATCADVDDLMREVGFKPSTPLTVGIERFVCWYRDY--------- 141710002 ----RLLITGGAGFIGSHTCVVLLQAGHNLLVLDDFSNSTPLALERVQVRRGDIRDQACLDDLFAGAPIDAVVHFAGLKAVGESVRDPLRYWDVNLTGSRCLLAAMNEHQCRTLVFSSSATLYGYPERVPIPETAPIQPINPYGHSKTAVEQLLHDLSAPNQWRIACLRYFNPVGAHPSGKIGEDPLGPNNLFPFVSQVAVGRRKSLQVFGGDWPTSDGTCIRDYIHVMDVAEGHRAALDCFAEPAQLLTLNLGSGQGASVLEVVHAFERASGRQVPFDLVARRDGDAAITVADPSLALERLGWR---------------------------- 89094083 ----KFLVTGAAGFIGFYTAARLCEAGHEVVGLDNLNDYYDVNLKHARFVELDIADRAGMEKLFKTEKFNRVIHLAAQAGVRYSLENPFAYVDSNLVGMMTILEGCRQNNVEHLVYASSSSVYGMNTKMPFTVDGVDHPVSLYAATKKSNELMAHSYSHLYDIPTTGLRFFTVYGP----------WGRPDMAPFLFTDAIINERPIKVFNHGKMRRDFTYVDDIVEGVVRIDNNRTMDNPSISKAPYRVYNIGNNEPIELMEFIQAIESAAGKEAVKEFMPMQPGDVPATFANVEDLEKTVGFKPNTSIQGGMSQFVDWYKSYY-------- 251794396 ----TILVTGAAGFIGFHLSARLLKEGKRVVGLDNFNDYYDVQLKRDRWSQLDLADYEGLLALIREEGVTTIVHLAAQAGVRYSLTNPFAYLETNLQGFGHVLEASRQAGIKHLVYASSSSVYGANVSMPFSVDNVDHPVSLYAATKKSNELMAHAYSHLYNLPTTGLRFFTVYGP----------WGRPDMAYFSFTQKIMAGEPIQVFNEGKMQRDFTYIDDIVEGIDQAPQPNAEWDRVDPDPGTSYYNIGNNKPVELMAFINTIEDKLGRKAVMEFKPMQPGDVTATYADIDGLMADVDFRPETTIEEGIGRFAEWYKSYY-------- 269837187 ----RILVTGGAGFIGSHLCDALLAAGHDVIAVDNFITGRRANVAHLMEHRFTLVEHDVIEPL--DIEADQIYHLASPASPEGYMRHPIETHLVNSVGTLNLLRLAQRSGA-RLLFTSTSEAYGDPLVHPQPETYWIGPRSCYDESKRFGESITMEFIRRYDLDARIVRIFNTYGPRND--------PQDGRVVPNFIMRALRGEPLPIFGDG------SQTRSLCYVSDLVRGLILAMERDEARG--QVINLGNPDERTVLELAQIILDLCDSPSEVEFLEKRPDDPERRCPDITRARTLLGWEPTVSIDDGLRETIAYFRRYPRAI----- 119897143 ----KILVTGAAGFIGMHTSERLLARGDEVVGLDNLNDYYDPRLKEDRFVRMDVADRAGMEALFAAEKFDRVIHLAAQAGVRYSLQNPHAYIDSNLVGFTNILEGCRHSKVQHLVYASSSSVYGGNTRMPFSEHDVDHPVSLYAATKKANELMAHTYSHLYGLPTTGLRFFTVYGP----------WGRPDMALFLFTKAILEGRAIDVFNHGRMKRDFTYIDDIVEAEPDPAFDSDHPDPGRGKAPYRVFNIGNNNPVELMAFIEAIEGALGRTAEKNFLPLQDGDVPATYANTDELNAWTGFAPATSVSDGVGRFVAWYRAYY-------- 58261884 ---KNVLVTGGLGYIGSHVVLSLLMSGYLPIVIDNAHNAYPQALERCNYHQCDIRNAEEINKVFKQYQIWAVIHLAALKAVGESSEIPLDYYEVNVGGSISLLKIMQQSQTNNLVFSSSATVYGTPAVIPIPETSEIIPESVYGRTKAITEEVIRDVCRNQGLKAISVRYFNPAGAHPSGKLGEEPKGRPGNLLPILAQIAVGRLSSKVFGNDYPTRDGTCLRDYLHIMDLAEGHLLALDATKKEGYFRAFNLGRGKGITVLEMINEMKIATGYEYQFEIVERRSGDVPDLTADPRLAQEELGFIARRGLQEMCQDLWRFQSSNVNGYSS--- 283852486 ----NILVTGAAGFIGFHLCRRFLSMGHAVTGCDNLSPYYSVALKKDRFVQEDMADRAAMDRLFDAGGFTHVVNLAAQAGVRHSLTHPDDYINANILGYFNILENCRQHKVGHFVFASSSSVYGLNTKMPFSHDNVDHPISLYAASKKSNELMAHSYSYLFGLPCTGLRFFTVYGP----------WGRPDMALFLFTKAILEDKPIQVFNHGKMERDFTYIDDIVEGVVRVDWNPAAPDPSTSMAPYRLYNIGNNNSVSLLRFIGAIEEALGKKAIMEMLPLQPGDVPATRANVDDLIRDVGFQPSTTIETGIGRFIEWYREYY-------- 78189149 ----NVLVTGAAGFIGSTLCKRLLERGDRVTGIDNLNDYYDVSLKEARFVKGDLADRAGMEALFAKGEFEGVVNLAAQAGVRYSIENPHSYVESNIVGFLHILEGCRHHGVKHLVYASSSSVYGANETMPFSHDNVDHPLSLYAASKKANELMAHTYSHLYNIPTTGLRFFTVYGP----------WGRPDMALFLFTDAILKNKPIKVFN------YGKHRRDFTYIDDIVEGVIRTLDHTATKAPWRVYNIGNSQPVELMDYIQALENELGRTAIKEFLPLQPGDVPDTYADVDQLIEDVHYKPQTSVPEGVKRFVAWYKEYY-------- 144134626 MSHQRVLVTGAAGFIGAALCQRLLERGDRVIGLDNLNSYYDPALKRARLAEVELEDADALMALFAAERPAVVVNLAAQAGVRYSLENPAAYIQSNLVGFGHILEGCRHHGVENLVYASSSSVYGGNRNLPFHEQQPVHPVSLYAASKKANELMAHTYSHLYGLPATGLRFFTVYGP----------WGRPDMAPMLFAKAILAGEPIKVFNHGRMQRDFTYIDDIVECCDKPATANPSFDPATAAAPHRVFNIGNSEPIALSRFIEVMEQAFGREAIKDFQPMQPGDVVATAADTTALEAWVGFRPSTPIVEGVQRFADWYRGFYRPLQS--- 288573527 ----NYLITGGAGFIGSNLVHLLVEQGHNVTVLDFLTYAGNEGKDNYFFIKGDIGDGPLVSHILTDRGIHGIFNLAAESHVDRSIDGPAEFIKTNVMGTFVLLEAVRAYWAFRFLHVSTDEVYGLGDSGLFTETTAYAPNSPYSASKASSDHLVRAYHHTYGLPTVTTNCSNNYGP--------YQFPEKLIPLVIHNALAGKDLP--------IYGDGSNIRDWLYVMD----HCKALAAAMGKGPGETYNVGGNSERTNLQIVHTLCDLLDSKRQITFVKDRPGHDKRYAIDASKIKEQLGWTPEETFNTGMEKTVNWYLNNQDWVNR--- 149909354 ----KYLITGAAGFIGSRCAELLCQQGHQVIGVDNLNDYYDVNLKHARFLELDLADRDGVAALFAEHQFDRVIHLAAQAGVRYSIDNPMAYADSNLTGFLTVLEGCRNNQVKHLVYASSSSVYGLNNKTPFTSDSVDHPISLYAASKKSNELMAHTYSHLYGVPTTGLRFFTVYGP--------WGRPDMALFKFTKAIIAG--ETIDVYNNGDMLRDFTYIDDIVAGVLQIQDVIPTPDTEWKSAPYRVYNIGHGSPVKLMDYIEALEDSLGIKAKKNFMPMQPGDVYATYADTQDLFAVTGYTSKVKVKEGVKAFVDWYRDFY-------- 67925414 ---KNILVTGAAGFIGFYVCQDILNKGDNIFGIDNLNNYYDVNLKKHRFYQINIANTNDLVKLFNNNNFDYVIHLAAQAGVRYSLENPYAYVDSNLVGFVNILEGCRHSKIKHLVYASSSSVYGANKKIPFTKDNVDFPISLYAATKKANELMAYTYSHLYQIPTTGLRFFTVYGP----------WGRPDMAYFLFTKAIMEGSPIKVFNHGKMKRDFTYIDDIVEGIVRVSDKIPQGSELNNNVPAKVYNIGNNQPVQLMTFIEVLEKCIGKKAIKEFLPMQPGDVPMTYADIDDLIKDVGFSPRTSIEEGLDKFVKWYNSYY-------- 303257173 ----KLLITGGAGFIGSNFILDRIEKGDKVLNLDKLTYSGNQDNENYSFIQGDIGDKILTQKILSEFRPDAVVNFAAETHVDRSVVDPESFVKTNVLGTSNLLLETLEYWKFRFHHISTDEVYGGFKDSAFREDTPYAPNSPYSASKASSDHFVRAFHETYGLPTLISNCSNNYGPR--------QFPEKLIPLMILNAIEGKPLP--------IYGNGKNIRDWLHVSDHCGAISLMLERAM---PGECYNVGGNSEKNNLEVVAAIINVLDQEMQIHFVKDRPGHDLRYAIDASKIKKDLGWTPKFNFDQGIRETVLWYLKNAEWVKN--- 237711071 MKKRNILITGGAGFIGSHVVRLFVNQEYHIINLDKLTYAGNENAANYTFIKADICDFNKIMDIFKQYQIDGVIHLAAESHVDRSIKDPFTFAQTNIMGTLSLLQAAKLTWNKRFYHISTDEVYGAFDGTLFTEETKYQPHSPYSASKASSDHFVRAFHDTYGMPTIVTNCSNNYGP--------YQFPEKLIPLFINNIRRGKELP--------VYGKGENVRDWLYVEDHARAIDIIFHK---GKIADTYNIGGFNEWKNIDLIKVIIKTVDRLRLITYVTDRKGHDLRYAIDSNKLKKELGWEPSLQFEEGIEKTVKWYLDNQEWMEN--- 167753450 --KRNILITGGAGFIGSHVVRLFVNKDYRIVNLDKLTYAGNENAPNYTFVKADICDYDTIREVFCKYDIDGVIHLAAESHVDRSIKDPFIFARTNVMGTLSLLQAAKEQWNKRFYHISTDEVYGAFDGTLFTEETRYDPHSPYSAAKASSDHFVRAYHDTYGLPTIVTNCSNNYGP--------YQFPEKLIPLFINNIRHGRPLP--------VYGKGENVRDWLYVEDHARAIDLIFHKGRI---AETYNIGGFNEWKNIDLIKVIVRTVDREKLITYVTDRAGHDLRYAIDSRKLKNELGWEPSLQFEEGIEKTVRWYLDNQEWMD---- 136147841 --SMTLLVTGGAGFIGSNFVHHWLSQHDETLVLDKLTYGNLENLPRHVFVKGDIADTPLVGSLLQQYQPRAVVHFAAESHVDRSIHGPLDFIHTNVVGTAQLLESVRAFWSFRFLHVSTDEVYGAPAAPAFTETHAYEPNSPYAASKAASDHLVRAWHHTYGLPVLTTHCSNNYGP--------FHFPEKLIPLVIHQALSGKPLP--------IYGDGMQVRDWLFVRDHCRAIERVLQA---GEPGQTYNIGGWNEMPNLEVVQSVCRLLDYADQITFVKDRAGHDRRYAIDARKIQQTLGWQPEESFASGLRKTVQWYLDNPDWVA---- 302186965 ----RILVTGGAGFIGSALIRHLINNTHEVLNFDKLTYGNLESLQRYEFVQADICDQARISAVLERFAPQAIMHLAAESHVDRSIDGPAEFIQTNIVGTYSLLEATRAWWAFRFHHISTDEVYGDLHDDLFTETTPYAPSSPYSASKAASDHLVRAWHRTYGLPVVVTNCSNNYGP--------FHFPEKLIPLVILNALAGKPLP--------VYGNGLQVRDWLFVEDHARAL---LKVVTEGEVGETYNIGGHNEQKNIDVVRGICALLDYSDLITYVVDRPGHDQRYAIDAGKIDKALGWTPAETFESGLRKTVQWYLDNLDW------ 212634441 -----VLITGGAGFIGSALIRLIVEETDDVVNVDKLTYAHLENEERYHFVEGDICNSKLMLDTLNKYRPDAIMHLAAESHVDRSISGSAEFIQTNIVGTFTLLEACRDYYHFRFHHISTDEVYGDPLAGQFSETSPYAPSSPYSASKAAADHLVRAWHRTYGLPVVLSNCSNNYGP--------YQHSEKLIPLTITNALAGKPIPIYGLG--------TQVRDWLYVEDHVRALYLVM---TAGNLGETYNVGGNSEKENIDVVKVICDILGFASLISFVADRPGHDLRYAIDASKIEKQLGWAAKETFESGIRKTVEWY------------ 188533720 ----KYLVTGAAGFIGFHVIQRLLDAGHQVVGLDNLNDYYDVNLKGFTFVKDDLANREGMAELFRSHRFQRVIHLGGQAGVRYSLENPLSYADANLVGHLNILEGCRHNQVEHLLYASSSSVYGLNRKMPFTDDAVDHPVSLYAATKKANELMAHSYSHLYGIPTTGLRFFTVYGP----------WGRPDMALFKFTRAMMAGEKIDVYNHGQMRRDFTYIDDIVESIIRLQDVIPQPDADWTSAPYRVYNIGNSHPVTLMAYIEALEGALGMKADKNMLPMQSGDVAETSADTRALFEVIGFRPQTSVEEGVARFVDWYRAFYQ------- 142200522 ----RILVTGGAGFIGSAVIRHLIESDHQVCNVDALTYGNLESLPRYTFHQVDICDAAAFGSVVAAFQPTHVMHLAAESHVDRSIDGPAAFIETNVVGTYTVLEAVRSYWAFRFHHISTDEVYGDLDDALFTEDTAYAPSSPYSASKASSDHLVRAWHRTYGLPVIVTNCSNNYGP--------YHFPEKLIPLAILNALKGSPIP--------VYGRGDQIRDWLYVEDHARALVRVV---CEGSIGETYNIGGHNEKTNLEVVETLCDVLQARVVITFVTDRPGHDRRYAIDASKIEHNLGWVPEETFESGISKTVDWYLANRDW------ 147920194 MQAGRYLVTGGLGQVGSYITESLLTSGAEVVILDDLSSNGRDSIPGSRLVKGDIRDRALVKDLVK--DVDAIVHCAAQIFVARSVEDPSFDADNNIFGTINLLDAARNANIRRFVYFSSAAVYGDPLRLPVDEEHPQNPMSPYGVSKLSGEKYALAFQKIYGVHTTAIRPFNIYSPRQD------PSNPYSGVISKFIDRASQGQPPIIFGDGTAT------RDFVSVHDVVQMVMLMLEKEAAVGK--VFNCGTGHSTTIGQLARTIISLYGEKLEPELHAERPGDIKYSYADISRARELLGYKPEVVLENGLREIVE-------------- 258517083 -TGKIYLITGAAGFIGFFLSKRLLEQGCRVIGIDNINDYYDVKLKYARLEQGDISDKDVITGTFQEYRPNIVVNLAAQAGVRYSLENPDAYIQSNIIGFFNILEACRYSPVDHLVYASSSSVYGSNKKVPFEESDFDHPVSLYAATKKSNELMAHTYSHLYKIPSTGLRFFTVYGP----------MGRPDMAYFGFTQRYFAGEPIRIFNNGDF--ENDLYRDFTYIDDIVEGIERLLCKAPDKTPHKVFNIGNNSPEKLMVFIETLEKCLEIVFNKIYEPIKPGDVPATYADTGLLQEAVGFKPETSIEEGLQRFADWYVEYYK------- 281411855 ----KILVTGAGGFIGSHLTEFLIEKGHDVRAFVRYNSRNSKVIDKIEVYTGDVRDYDSVYNAMKGV--DVVFHLAALIGIPYSYISPLAYIKTNVEGTYNILQAARERKVSRVVHTSTSEIYGTAQYVPIDEKHPYNPQSPYAASKAAADHLALSFYRSFGLPVTIIRPFNTYGPRQS---------ARAVIPTIIIQILSGKERIKL-------GNLRPTRDFNFVIDIVRGFYEVGLHPDSVGE--VFNLGTGREVSIGDLPKIIAKLIGKKVEVEMDKNRKSEVERLCADATKAAELSGWKPKYSFEEGLKITIEWFRDRLENFK---- 150025365 ----KILITGGAGFIGSNLSEYFLDKGHEVVCLDNFVTGHREHLLANKNYEGDIRNLSDCQKAL--IGVDYVLHQAALGSVPRSISDPITTNEVNVSGFLNMLSAAKEAKVKRFIYAASSSTYGDSESLPKVENVIGKPLSPYAITKYINELYADIFSKTYAIETIGLRYFNVFGRKQDP-----KGAYAAVIPKFVQQFINYENP-------VINGDGNYSRDFTYIDNVIQMNELAMFTQNPEAVNTVYNTAFGDSTTLNDLVKKLQFELSANVNIIYGPNRAGDIPHSLASIDKAKKLLGYNPKFSMQEGLKQSVKWYWEH--------- 86748687 --TRRFIITGGAGFIGSAVVRRLIETGHEVLVVDKLTYGNLESLPRFRFERADIADAGAIRRIVADFSPDVVMHLAAESHVDRSIDGPAEFIQTNVVGTFVLLQAALAHWGFRFHHISTDEVFGLGPTGYFDEQTAYQPNSPYSASKAGSDHLVRAWHHTYGLPTLMTNCSNNYGP--------YQFPEKLIALTIINALEGKPLP--------VYGAGTNVRDWLHVDDHAEAL---LLVAAEGAVGDSYNIGGHNEHTNLSVVRDICRIVDREQLIAFVVDRPGHDLRYAIDASKIGRDLGWRPRYDFETGLRRTVQWYVANVDWWKR--- 52082093 ME--KVLVTGGCGFIGSHIAEQLLKENYRVSILDNLTTGHRSNIDGLPFYEQDIT-KPEVIDVIKSIDPDYIVHLAAQVSVAESVSDFLNDENINIRGSLHIIKAAGECNVKKIVFASSAAVYGNPDYLPVDTRHQTNPGSPYGLTKLTVENYLKLAYDLYGTEYCILRYSNVYGPRQDAKGEG-------GVVSIFSDLLTSGKAPVIFGD------GEQSRDFIYVGDVACANVKALKAQSN----VCLNVSNGFSITVNELFTEMKKATNSELSPIYQDERPGDIRHSTLCNEETKKILNWEPKMPLAEGLEKTISYYKK---------- 254467877 -----IIVTGAAGFIGSNFVLWLNNNNEKVVALDKLTYAGNETKPNYVFVQGDIGDSKLIAEIFQEHQPRAVVNFAAESHVDRSIHGPDDFMQTNIIGTYRLLDEARSFFNFRFLHVSTDEVYGTSTDPAFKETNQYEPNSPYSASKAASDHLVRAWHHTYGLPVLTTNCSNNYGP--------FHFPEKLIPLCILKALQGQDLPIYGDGQ--------QIRDWLYVVDHCRGITTVLEKGV---VGETYNIGGWNEKTNLEVVHALCDLLDYREQITFIKDRPGHDRRYAIDATKISNELGWKPEETFETGIRKTVQWYLDNQDWVTR--- 135562276 ---KRILLTGGAGFIGSTLAEKLLNQGHHVTVIDNFSNFYNPDIKEKNIHRIDIIDYDALFQNIGKH--DVIIHIAAKAGVRPSILDPLGYEEVNVKGTINLLEFAKHHNIKQFVFASSSSVYGTNPNIPWVENSQIDHISPYAGTKRSGEIQGKIYSHLHGIKFIALRLFTVFGPKQRPDLAIH----------KFSKLIIQNKPITLYGKGDTI------RDYTYVDDIVSAFISAIDF--TKSNFEIINIGNNKPISLKELVNNLEEVFEIKPNIVFEKEQPGDVPKTYANINKAKNLLGYEPKTSIKDGLEKFKNWIIENQNTI----- 149185315 ----RILVTGAAGFIGAAVAERLCTRGDEVLGIDSLNDYYQVSLKRDRFKQVDFADWSALSAALEGESFDRIVHVGAQAGVRYSLENPRAYVEANLLGHLNLLEVARARGSSHMVYASSSSVYGGNEQLPFVEDRVDHPVSLYAATKRADELMSETYAHLYGIPLTGLRFFTVYGP----------WGRPDMAAWLFTEAILKGEPIKVFNKGEMWRDFTYIDDIVAGVLACIDSPPANDGAPKPGGSTKYNIGNHRSEKLTRVIELIEEACGRKAKVELLPMQPGDVARTYADIDAIQRDLGYQPTTRIEDGIPKFVEWYREY--------- 310620092 ----KILVTGGAGFIGSHIVDMLVDRGDEVVVIDNLSTGVKENVNDKARLLFDIRDRDRLLQVCEEEKFDAVFHEAAQTQVPYSQEHPYEDSDENVMGLLSVLEGARKTGVKKVVFSSSAAVYGDNDNLPLKEDEPLTPTSFYGLSKVISERYLEMYYKVFGLPYVVLRYANVYGERQG-------VHGEGGVVFVFAHALTHGEDLTIYGD------GEQTRDFVYVKDVAAANVAALQDEVKPG---IYNISTTIETTVNALKEILFHLSGIRKDVHYEDERTGDIVRSALDNHKAKEFLKWRPKEKIISGLASTYEYFVQ---------- 209545108 ----RILVTGGCGFIGSAVVRHIIGTGHSVVNVDSLSTAEISSDPRYVHVRADITDGAAMRAVFAAHQPDAVMHLAAESHVDRSIDGPGVFVQTNVVGTYTLLDTAREYWAFRFHHVSTDEVFGTPGDAPFTESTPYDPRSPYSASKAASDHLVRAWQHTYGLPTFVTNTTNNYG--------FWHFPEKLIPLVTINAIGGRALP--------VYGDGANMRDWLFVDDHAEALVLAVERGQ---PGETYAIGARQPRSNLDVVRAICTVLDHARLIRFVTDRPGHDFRYEIDPSRAEAALGWRARHDFETGIRRTVQWYLDHQDWLQARHD 293602503 -----IIVTGGAGFIGSNFVDWFVESSEPVVTLDKLTYGNPENLAAHQFVQGDIGDFDLVSRLLAEHKPRAILNFAAESHVDRSIHGPGEFIQTNIVGTFHLLEAVRGYWAFRFLHVSTDEVYGEKDDPAFNESNRYEPNSPYSASKAASDHLVRAYHHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLVIHNALAGKPLPIYGDGQ--------QIRDWLYVTDHCSAIRRVL---ADGKIGETYNIGGWNEKANIEVVRVLCALLDYTDQITFVKDRPGHDRRYAIDASRLQRELGWTPSETFESGIRKTVLWYLSNQQWVVN--- 292486663 ----RILVTGGAGFIGSAVVRHILSSSDRVLVLDKLSYAGNADNPRFTFSKTDICDRAALDGAIAAFQPQLIMHLAAESHVDRSIDGPRAFVETNVVGTCMMLEAARHYWTFVFHHISTDEVFGDNDTDFFTETTPYAPSSPYSATKASSDHLVRAWHRTYGLPVIVTNCSNNYGP--------YHFPEKLIPLMIINALAGKPLP--------VYGDGGQIRDWLYVDDHARALYQVVTAGR---VGETYNIGGHNQRRNIDVVETLCALLEYRDLITRVADRPGHDRRYAIDAGKIERELGWRPQETFESGMRKTVSWFINHPAW------ 142460962 MSDKTVVITGGLGFIGAQVSKTFASEGYDVIIVDSNTSRKWTLPEGATLFPHDFQ-ATTTAGILEMFKPKAVIHLAASHVVPDSIIDPGKYYKNNVSGTQALLDMCVKAGVENFIFSGSSSVYGERDSEPFAESLTPMPMSPYAMSKHMTELMLEDYSKAYGLNYISTRYFNAAGADPDGTNGYTQEPATHVMPIIIDK-ITNNEVFNICGNDYETKDGTCIRDYCHIQDIANAKLKAVEHLSNGGESGIVNLGSGTGFSIYDLINSAQNVVGKELKHEVGPRRVGDPSYLCGDISKAKALLDWEPTYTLDDMFAHSKFW------------- 298373247 ---KTIFITGGAGFIGSHVIRRFATNDYKIINLDTLTYGNLENIPNYKFEKADISDFKAIERLFDLYKPDGVIHLAAESHVDRSITDPFVFVRTNVLGTCNLLYCAKELWGKRFYHVSTDEVYGEFDGTFFTEETKYAPHSPYSASKASSDHFVRAFHDTYGLPVVISNCSNNYGSH--------HFPEKLIPLAINNIKNNKPIP--------VYGKGENVRDWLFVEDHAAAIDIIFHRGKN---GDTYNIGGNNEWKNIDLIRLLCDIMDRKKLITFVADRAGHDLRYAIDSSKLQRELGWAPSLTFEEGLEKTVDWYLANEKWIKN--- 46122623 ----TVLVTGGTGYIGSFTSLTLLEHGYDVVIVDSLYNSSEVALRRPAFYKLDITDEKAIDEVFAKHAIDSVIHFAAISAVGESGEIPLEYYRVNVGGSISLLRSMERNNVNNIVFSSSATVYGDPNMIPIPEHCPIGPTNTYGRTKSMIEDVITDFKEYKQWNGALLRYFNPCGAHPTGIMGEDPQGPFNLLPLLGQVATGEREKLLVFGDDYSSRDGTAIRDYIHVVDLARGHLMALNYRENQPGVKAWNLGSGRGSTVFEIINAFSKVVGRDLAYEVRPRRQGDVLDLTANPTLANKELNWKTELTMEEACNDLWRWVENNPKGYRQD-- 83950219 MAQRKVLITGTAGFIGFHLAQLLLDEGFVVQGYDGMTDYYDVTLKERRHAEGMLEDQARFDAVADEFAPDVIVHLAAQAGVRYSLENPRAYIESNVVGTFNVMEAARRHKVDHLLMASTSSVYGANEEMPFAETHKADPLTIYAASKKANEAMGHSYAHLYDLPTTMFRFFTVYGP----------WGRPDMAPYKFTKGILDGSTIDIYNNGDMWRDFTYVTDLVRGIRGLIDAVPGGEETRVAGDSRVVNIGNSDKVRLMDFIEAIEAAAGRKAVRNYMPMQTGDVYATYADAELLKALTGYRPQTDIRDGMARFVAWYREYY-------- 302671892 ------LVTGGAGFIGSNICEALLDMGYTVRCMDNLSTGHIENIPRFTFIEKDIRDLDACMEATKGV--DYVLNEAAWGSVPRSIEMPLLYEEINIRGTINMMEASRQNGVKKFVYASSSSVYGDSTILPKKEGQEGNVLSPYALTKKTDEEYGKLYKKLYGLDTYGLRYFNVFGRRQD------PNGAYAAVIPKFLRQLMNGETPTINGDG------KQSRDFTYV-DNVIEGNLRACLASSEAAGEAYNIGAGGREFLIDVYHHLTDALGMDVEPIFGPPRAGDIRDSNADISKARQNLGYDPSYDFKAGIELAIEWYKEN--------- 269836998 ---RHVLVTGGAGFIGSNFVRYLLERGVRVTVYDKLTYAGNRANLADRFIHADICDRADVATAMRGC--DAVVNFAAETHVDRSLLDADDFLRTNVVGTHVLLEAAREHGVRHFVHVSTDEVYGDVPVGESREEDPLRPRSPYSASKAGGEMMVLAAVATHGVPATITRGSNTYGP--------YQYPEKFIPLMITNALEGRPLP--------IYGDGLQVRDWIHVLDHCSGIETVL---LKGKPGEAYNIGGGNPRQNLDVAREILDLLGQPHDIQHVEDRLGHDRRYALATGKLRA-LGWRPQVPFEQGLRDTVEWYRERRDWWE---- 260428694 ---QTVIVTGSAGFIGYHLCRRLLDDGFQVIGVDSLSDYYDVSLKEARHAQLEIGQVEAMMELFERHTPDLVVHLAAQAGVRYSITHPRSYLESNIVGTFEILEAARAHPPQHMLLASTSSAYGANTEMPYRETVKADHMSFYAATKKATENMAHSYAHLYGLPTTMFRFFTVYGP--------WGRPDMALFKFTKAILEGQ--------PIDVYNHGDMMRDFTYVEDLVEGIRLLLDAVPERAPYRVVNIGNSEAVQLTDFIAAIERATGLEATRNMMPMQPGDVPATWADAELLKTLTGYSPRTSVEQGVARFVEWYRAFYR------- 229496045 ----NLLITGAAGFIGSHVARHFVRQEYRIILLDALTYANLEDLLDLPQVRGDITDLPLLQALFAQERIDGVVHLAAESHVDRSISDPLLFARANVLGTLSLLETARAAWQERFYHVSTDEVYGALEGGLFTEKTPYAPHSPYSASKASSDHFVRAYHDTYGLDVVLSNCSNNYGP----------YQFPEKLIPLFINNIRQKLPLPVYGEGL------NVRDWLYVEDHARAIDLIFHKGRS---GETYNVGGSNEWSNIELIETVDRVLGRPKGITYVQDRAGHDLRYAIDASKLHTELGWTPSTSFDEGLEQTVRWYIDNAAWLD---- 294827924 ---KKILVTGGAGFIGSNFVNLILNKEYQVVVFDKLTYAGNLRSLESWFVKADIANKEEVSSIFQEHKFNYIAHFAAESHVDRSISGPEEFIKTNVLGTFYLLDAARLQWNKKFLHVSTDEVFGTGDSGYFTEETPYAPNSPYSASKASSDHIVRSYYHTYHMPVVTTNCSNNYGP--------YHFPEKLIPLMILNCLQGKPLP--------VYGDGKNIRDWLYVKDHCEALRVALFQGLS---GETYNIGTRNEKKNIDIVDSICSIMDELHPIQYVKDRPGHDFRYAIDPSKIEKELGWKPKFAFESALKETVRWYLENESWWKE--- 282923791 MEDERVLITGGAGFIGAHLVDDL-QQDYDVYVLDNYRTGKRENIKDDHVFELDIREYDAVEQIMKTYQFDYVIHLAALVSVAESVEKPILSQEINVVATLRLLEIIKKYHIKRFIFASSAAVYGDLPDLPKSDQSLILPLSPYAIDKYYGERTTLNYCSLYNIPTAVVKFFNVFGPRQD------PKSQYSGVISKMFDSFEHNKPFTFFGDGLQT------RDFVYVYDVVQSVRLIMEHKDAIGHG--YNIGTGTFTNLLEVYRIIGELYGKSVEHEFKEARKGDIKHSYADISNLKA-LGFVPKYTVETGLKDYFNFEVDN--------- 257052215 -----ILVTGGAGFIGGHLAERFVADGHDVVVLDNFDPFYAREIKEHTVEEGDVRDADLVAELVAEA--DYVYHEAAQAGVRRSVENPRKYDAVNVDGTLNVLDAAREHGIERVVVASSSSVYGKPISLPYDEEDPTMPVSPYGASKLAQERYTCAYANCYDLPAVALRYFTVYGPRMRPNMAISNFVSRAINDEA----------------PVVYGDGSQIRDFTYIEDIVEANVRLLSTDAADGEAVNI--GSNGTIEIKTLAEEIRDQLAPELELEYAERHDADAEATHADVSKAAALLGYEPSTSIREGVSKFVEWYRANREWYE---- 16588694 ----KILITGGAGFIGSAVIRHIIQNTNEVLNVDKLTYGNLESLLRYKFSQTDICDRSALDQLFSSFQPDAIMHLAAESHVDRSITGPYAFIETNIIGTYQMLEASRCYWAFRFHHISTDEVYGDLEGTLFTETTSYSPSSPYSASKASSDHLVRAWNRTYGLPVLITNCSNNYGP--------YHLPEKLIPMMILNALQGKSLP--------VYGNGQQIRDWLFVEDHARALFTVV---TQGAVGXTYNIGGHNEKANLDVVHAICELLEYKDLITYVKDRPGHDVRYAIDATKIKKELNWVPQESFETGLRKTVEWYLSNTEWVE---- 295084065 ----KILVTGAAGFIGSKLMGVLASRGDEVVGIDSINNYYDVRLKYGRFIRMSIDDRHAMEELFEREHFEKVVNLAAQAGVRYSITNPYAYLQSNLAGFLNVLECCRHYEVKHLVFASSSSVYGLNSKVPYSEEDKVDPVSLYAATKKSNELMAHSYSKLYGLAVTGLRFFTVYGP----------WGRPDMAPMLFARAISNGEQIKVFNNGDMIRDFTYIDDIVEGTIRTLDHVPVTQKSSNGVAYKIYNIGCSHPVKLMDFIHEIESAMGHEAEKIFLPMQPGDVYQTNADTSMLKKEIGYEPMVTLHDGVAKFIQWYKKNP-------- 85859148 MKIENLMVTGGCGFIGSNFIRYLLEEANRIVNVDKLTYAGNPENLAGCFEKADICDADRMKAIFAEYEIDAVCHFAAESHVDRSIRRPESFIQTNIVGTFNLLELARARRFQIFHHVSTDEVYGLGPEGYFTEETPYRPNSPYSASKASSDHLVRAYHVTYGLPITLSNCSNNYGP--------YQFPEKLIPLIVINALEGK--------TLPVYGDGKNVRDWLYVRDHCEAIWTIM---NSGRRGETYNVGGQSEMENIAIVRLICDILDRQELITFVADRPGHDHRYAIDFTKLNAELKWSPRESFTTGLRRTINWYLDNPGWIDR--- 260887634 ----NILVTGGAGFIGSHLVRHLLAKGEKVTALDNLSTGLAENLPEAKLVEMDILD-EDLPKVVAAGAFDAIVHLAAQTMVDTSIKNPLLDTRENLMGTVQVLEAARAANVKRVIFASTAAAYGDEDDLPVREAQPTEPMSFYGLSKLSVEKYLEMYRKIYGMEYVVLRFANVYGERQG-------DGGEGGVISIFAKAVAEGRDITIYGD------GEQTRDFVYAGDIAEGI---LAALRTEEVNAAYNLSTQTETSLRELVSLLAEICGREIVPKYGAEREGDIYKSMLSNSRARRGLDWQPATTLAEGLRRTYEYF------------ 188533477 ----KILVTGGAGFIGSAVIRHIIKNNDTVLNVDKLTYGNLESLPRYHFSKTDICDPESLNRIFNDFEPDVVMHLAAESHVDRSIDGPAAFIETNIIGTYVLLEAARIYWAFRFHHISTDEVFGDGTDDLFTEQTAYAPSSPYSASKASSDHLVRAWLRTYGLPVIVTNCSNNYGP--------YHFPEKLIPLTILNALAGKPLP--------VYGNGKQVRDWLYVEDHARALYRVATAGR---VGETYNIGGHNERQNIDVVNTICKILDYSALITFVRDRPGHDVRYAIDATKIGKELGWLPEETFETGVEKTVRWYLENTEW------ 94265500 ----KILITGSAGFIGNALALRLLERGDEVIGVDNVNAYYDPTLKESREERVSLEDRPAMERVFRQHQPQRVVNLAAQAGVRYSLENPHSYVDANIVGFTNILEGCRHHGVEHLVFASSSSVYGANTEMPFSVHHNDHPLSLYAASKKAGELMAHTYAHLYRLPVTGLRFFTVYGP----------WGRPDMALFLFTQKILAGEPIDVFNYGNHRRDFTYIDDIVEAEPNPDWNGATPDPCTSTAPYRLYNIGAHRPVELMHYIEVLEENLGRKAEKNLLPLQPGDVPDTYADVADLKADVGYEPTTPVEEGVARFVEWYLEYYR------- 33637050 ----NLLVTGGAGFIGSNFVRYMLNKEYKIVNYDLLTYAGNLENLKDIQERGDIRNYQLVDYIVKSHHIDVIVNFAAESHVDRSISDPSVFVKTNVLGTQVLLDVAKANSIQKYVQISTDEVYGLGDTGYFTEETPLAPNSPYSASKASADLLVRAYHETYGLNVNITRCSNNYGP--------YHFPEKLIPLIITNALEGKELPIYGDGQHI--------RDWLYVKDHCAAIDLVIHK---GKPGEVYNIGGHNERTNNEIVHLIVEKLGVKSLIKYVSDRPGHDRRYAIDPTKIMTELGWKPQYTFDKGIEETIQWYINNKDWWKR--- 296132426 ----KILVTGGAGFIGSNFLNLFVPRDCRFINFDKLTYANIQVKQNYVFVRGDIADYAAVAAVFEKYEPDIVVHFAAESHVDRSILGPADFINTNILGTFNLLEACRKYWGDRFHHVSTDEVYGLGETGYFTEETRYDPSSPYSASKASSDHLVRAYHRTYGLPVKVTNCSNNYGP--------YQFPEKLIPLMILHALEGKSLP--------VYGTGENVRDWLFVEDHCEAIWTVIEK---GNVGETYNIGGNNQWKNIDIVHKICDLLGEKLDITFVKDRPGHDLRYAIDATKIRDQLGWEPRETFETGLNKTIDWYLHNKDWVES--- 255533492 ---KKILITGGAGFIGSHVVRRFVNNQYEIVNLDKLTYAGNEDQPNYRFIKADITNAAEINSLFKKEQFDAVIHLAAESHVDRSISDPIAFVMTNVIGTVNLLNAAREFWKKRFYHVSTDEVYGLGETGMFTETTAYDPHSPYSASKASSDHFVRAYHDTYGLDVVISNCSNNYGSH--------HFPEKLIP--LAINNIKNNQSVPVYGKGENVRDWLWVEDHARAIDVIFHQAKTGETYNIGGHNEWKNIDLINLLCNIMDKKLGREPGESAKLITFVTDRAGHDLRYAIDSTKLQNKLDWVPSLQFEEGLEKTVAWYLENEEWLSN--- 149195366 ----KILITGTAGFIGYHLANRLISRGDEVIGLDSINDYYDVNLKYGRLEKLNLEDRENLFKLFEKEKFDKVCHLAAQAGVRYSLTNPYAYIDSNVVGHMNILEAVRHNGVKALSYASSSSVYGLNKKQPFTDDNVDHPISLYAATKKSNELMSHTYSYLYNIPTTGLRFFTVYGP----------WGRPDMALFKFVKNILEDKPIDVYNYGKMQRDFTYIDDIVEGLVRVIDNPPKPNPNWEGNPSEIYNIGNGSPVKLMDFIRAIEEILGKEAKKNLMPIQPGDVPSTYADTTDLERDLGYKPYTPIKEGVAKFIEWYKKFYK------- 294674228 LDNKCILVTGAAGFIGSYLVHRLFKKGATIVGIDNMNDYYDVRLKEWHFVRGDLADKDLIEKLFAQYNFDVVVNLAAQAGVRYSITNPDAYIQSNIIGFYNIIEACRNHPVEHLVYASSSSVYGGNKKVPFSTDDRDNPVSLYAATKKSNELMAHCYSKLYDIPTTGLRFFTVYGP----------AGRPDMAYFGFTNKLIKGETIQIFN------YGNCRRDFTYVDDIVEGIVRVMQGAPERKKGEDYNIGGGQPEKLLDFVNILQEELDFEAHKQLVPMQPGDVPATFADATALERDFGFTPKISLREGLRDFSEWYKSYYK------- 218961751 ----KILVTGGAGFIGSNVADAYLQAGHEVVIVDNLVTGNRRNIPKAIFYEMDICD-ESLSEVFAKEKPDMVNHHSAQISVPLSIENPLLDVINNVYGWVNVLQNCVRTGVKKVIYISSGAIYGEAEEYPTSEKYNPKPLSIYAINKSVGEDYLYFYRHQYGLNYTVLRYANVYGPRQIS------QGEAGVVSLFTEKLLKGEIPT---VYRYDNEPDGMIRDYVYVGDVVQANLLALER----GEGEVFNIGTSIPTTTKDLYYAIAKQLGINREPYYGPARKGDLHRSLLSCEKAKKVLGWKPETGLSEGISQVIKYFKE---------- 88855629 ------MVTGGAGYIGAHTSRLLAERGDYVLVVDDLVTGSRARVPDLPLVSLDIAAADQLEGLMREHRIDAVIHFAGQKQVGESVEKPAWYYEQNVGSVAQLLIAMQAAQVHKLVFSSSAAVYGEA-SGAIAEDATTNPINPYGATKLVGEQLISASSLAWPLRAASLRYFNVGGAGS----PELGDTQALNLIPICFEQIAANKPPLIFGEDYDTPDGTCVRDYVHVSDVAEAHLAVLDALPAQPGNTVLNIGTGVGTTVRQMVEAILQVSGSELTATVLDRRTGDPAAVVGIVDNIRELTGWSARFTVDDIVESAWQ-SRKHFEALS---- 116749694 ME--TILVTGGAGFIGSYVLRQLDLSDVRVVNLDLLTYAGNEKHPRYVFIRGDIGDADLVRAVLNEYRPAAVLNFAAESHVDRSIAGPAAFMQTNVIGVFRLLEEVRRYWSFRFLHVSTDEVYGGPDEPPFHEATPYAPNSPYSASKAAGDHLVRAYHHTYGLPVLTTNCSNNYGPR--------QFPEKLIPLMILNGLEGKPLP--------VYGDGLNVRDWIFVDDHCAAVEMVL---NNGRVGETYNIGGGCQKTNLEVVRAVCDILDHASQIRFVGDRPGHDRRYAVDSSKIERELGWGPMESFESGLRKTVRWYLDNPSWIDS--- 304399844 ----TIFVTGGAGFIGSNFVFHMLDTDYRIVCLDKLTYAGNEPVMKNPFVKIDICDREAIYKLFEEEKPDIVVNFAAESHVDRSIENPEIFLQTNILGTQVLMDACRKYGIQRYHQVSTDEVYGDRPDLFFTEETPIHTSSPYSSSKAGADLLVMAYHRTYGLPVTISRCSNNYGP--------YHFPEKLIP--LMIANALADKPLPVYGEGL------NVRDWLYVED----HCRAIDLIIHKGKGEVYNVGGHNEMKNIDIVKLICKELGKPESIIHVEDRKGHDMRYAIDPTKIHNELGWLPETKFADGIKKTIDWYLNNREWWE---- 144047880 ----TILVTGGSGFIGSNFIRNVLLLGNVSIVIDKLTYGNSENLSDYTFVKGDIGDSGLINELLIKYKPRAVINFAAESHVDRSIASPEDFIATNVVGLFRLLNASKDYWVFRFLQVSTDEVYGSLDDPAFSETNRFEPNSPYSASKAAADHLVRAYHHTYGLPTLTTNCSNNYGP--------FQFPEKLIPLMILNALDGKGLP--------VYGDGQQIRDWLYVTDHCDAILSVLNKGLI---GETYNIGGESEMTNLDVVRKICDVLDYEHLIEYVTDRPGHDRRYAVDITKVKKELGWAPRQSFASGIKKTIQWYLDNQEWIDR--- 296447931 ----RVLVTGGAGFIGSAVCRRIIEATHHLLVFDKLTYGNLDSLPRYSFRRADICDRGAVAAAMQEFQPDIVMHLAAESHVDRSIDGPAAFIHTNVVGTFTMLDAALEYWAFRFLHISTDEVFGLGATGLFREDTPYSPNSPYSASKAGSDHLARAWRETYGLPTIVTNCSNNYGP--------YHFPEKLIPLMILNALDGRPLP--------VYGRGENVRDWLYVDDHAAAL---LLVATRGAVGETYNIGGRSEMRNIDVVTTICDILDEIHPISYVTDRPGHDLRYAIDCSKIERELGWRASETFETGIRKTVRWYLDNEDW------ 118580514 ---RSLLVTGGAGFIGSNFIHSFLANNCRVTNLDSLTYAGNEHHPGYHFVRGDIGDSVLVANILAVQKIDAVVHFAAESHVDRSISGPEIFVRTNVLGTQVLLEESRKHWNFRFLQISTDEVYGLGESGFFTEETPLAPNSPYSASKAGADLLVRAYHETFGLPTLNTRCSNNYGP--------FHFPEKLIPLLIHNIIERRPLP--------VYGDGRNVRDWLHVSDHARAVEVVL---KGAEPGAVYNIGGNNEWFNIAIVNLVCDLMESRGLISYVKDRPGHDRRYAIDASRLKRDLGWEPAYTFERGIAETVDWYLANQEWVAQ--- 142178149 -----ILITGGAGFIGANLSRRLQADGHQVQVYDNLVNGDPARLPDGPCIQGDVRDAETLSSALQGMHTC--IHLAAFGSVVDSVTDPHTNFTNNVEGTANALECARRAGIRRFVFASTGGALIGEADPPVDETSLPKPISPYGASKLCGEAYCHAYAKAYGLHTVALRFANVYGP---------HSAHKKGAVTKFIKCLLRDEPMPIFGD------GSASRDFLHVDDLCDGIVRGMAADVAPGE--VFHLAGGKEIQILSLARQLAAIAGKEHPIQFHDKRPGEVARNFADYAKAQAVLGFQPTLDLQAGLTATWQWFVEH--------- 135597253 MGEKKVLVTGGAGYIGSHACKALEQAGFIPVTFDNLVTGWRDAVKFGPLEVGDLLNKGDLNRVFEKHSPVAIMHFAALSQVGESMQKPGLYWQNNVMGSLNLIQAAVDYGCMDFVFSSTCATYGDQDGIVLDEDSFQHPVNAYGASKRAVENILADYQATYGLNQVIFRYFNVAGADLEAEIGEFHQPETHLIPLILDAVDGKRDALTIFGTDYNTPDGTCIRDYVHVCDLVDAHVLGLKWLQEGRGSR------------------------------------------------------------------------------------ 150400811 ----KVLITGGAGFIGSHIVDKFLENNHEVVVLDNLTTGNLDNIKRN-NDNIEFINKSIRDNDLNFEDIDVVIHHAAQINVRTSVENPVLDGDINILGLINILEKIKKYGVKKIIFASSGAVYGEPEYMPVDENHVGAPMSPYGVSKFCGEEYIKLYNRLYGIDYTILRYSNVFGERQDPLGEA-------GVISIFIDKMVKNEKATIFGD------GGQTRDFVYVGDVAEANLKALDW-----KNEIVNIGTGIETSVNELYSVIANELNYNDKPIYDKPREGEVYRISLEINKAKK-LGWKPIVDLKEGIKRTVEWTKN---------- 284989030 ------LVTGGAGYIGAHIISAMREGGQDVVVLDDLSSGDLARIPGTHVVQGSVLDAERVARTLRDNDVRGVVHVAAKKQVEESVNRPLYYYRENVEGLRVLLEAATDAGVGSFIFSSSAAVYGSPDVDVVDEDTPCLPVNPYGTTKYVGERMVEEVSAATGLRYVNLRYFNVAGAGTPELTDRG----VSNLVPMVFQRLAQRQAPRIFGDDYATPDGTCIRDFIHVADVASAHVAAAQALADRVERLTANIGRGEGVSVQEMIATIRRVSGTASQPVVEPRRAGDPARVVASADRIRDALGWKARYGVEEMVASAWAW------------- 143469958 --KMKLLISGGAGYIGSHMVRYAQEEGHEIVVLDDFSTGNKSMLRDCEIITINLLDEEKLLKALNGRSFDGVIHFAAKSIVNESLKMPDLYYYNNVVGSLNLVNAMLKNGIQNLVFSSSAAIFGDPASKKISENHIKNPINPYGMSKLMVENILKDLCLTYDLNVVSLRYFNAAGAHKSGEIGELHNPETHLIPNILNSIYDPKIKLKVFGNNYPTHDGTCIRDYVHVTDLSSAHFLALKYMTENKGFSAFNLGNGEGFSVLDVIKSCEIVSGTKIPFEICKNREGDPAVLVAESKLA----------------------------------- 239904650 ----NILVTGAAGFIGFHLCRRFLSMGFHVTGLDNLNPYYSVALKKFRFVKEDMGDRASMDRLFDAGGFNYVVNLAAQAGVRHSLKCPEDYINANIIGYFNILENCRQHKVDHFVFASSSSVYGLNTKMPFSHDNVDHPISLYAASKKSNELMAHSYSYLFGLPCTGLRFFTVYGP----------WGRPDMALFLFTKAIIEGNPIQVFNHGQMERDFTYIDDIVEGVVRVDWNPASPDPSSSVSPYKLYNIGNNNSVTLLQFIEAIEEALGKEAIKEFLPLQPGDVPATCADIDDLIKDVGFKPATNIKTGIANFIAWYRDYY-------- 136663942 ---KTYFITGGAGFIGGNFIHHLMKTGQTVINYDKLTYAGNENNPLYTFEHGDICDANRLKKLFTHYEIDAVVHFAAESHVDRSIEAPGGFIQTNVVGTYELLAVARWYWQFRFLHISTDEVYGLGKTGLFTEETAYAPNSPYAASKASSDHLVRAYYKTFDLPVLTTNCSNNYGP--------YQFPEKLLPLIITKALSGEPLP--------IYGEGKQIRDWLHVEDHCRAILQVLR---NGTVGQVYNIGGLNEKTNLEVVQSLCEILDHKNLIHHVTDRPGHDHRYAIDASKIQNQLGWQPQESFETGLRKTVRWYLDNQDW------ 149197673 MHIKKILITGVAGFIGFHLAKKLIELDFEVVGIDNLNDYYDVNLKLSRLQELGIADKDSLDKLFRDEDFDAVCNLAAQAGVRYSIENPNEYIQSNLVGFGNLLEACRHFNIKHLVYASSSSFYGLNSLSPFSESHTTHPVSLYAATKKSNEMMAHSYSHLYDLPTTGLRFFTVYGP----------WGRPDMALFLFTDAILNNREIKVFNNGEMSRDFTYIDDIVDGIYKARTQEDKLRTDNSSAPYELYNIGNNSPVPLMDFIRAIEKSTGIEAKKNYMPLQPGDVVSTHADCTKIIQNLHYSPSTSLQKGVDQFVQWYKNYYN------- 225856097 MRGKTILITGVAGFIGSNLVRKLLEEGMTIIGLDNLNDYYDIALKDWIFIKGDIADKMLINKIFKSYHPQIVVNLAAQAGVRYSITNPDVYIESNIVGFHNVLEACRHSYVEHLVYASSSSVYGGNKKIPFTEDKVDNPISLYAATKKTNELQAYAYSKIYNIPSTGLRFFTVYGP----------------AGRPDMAYFGFTDKLRSGSDIEIYNHGNCKRDFTYIDDIVEGIVRVMQSAPKKAPYKVYNIGNSKPENLLDFVDVLQENYDFDSHKKLVPMQPGDVPVTYADTSDLERDFGFKPKTSLREGLRKFAEWYKDYYN------- 142771847 MNNKKILITGGAGYIGSHVAERLINSKLKIIAFDNLSRGNRKLIKKTKFIKADVNNLKKLKKVISENNVNTVIHLGGLASVAESLIRKKKYYINNVLGTLNVIKACNKSSVKNFIYSSSCSVYGNVEGN-VDEKKKPNPKSYYAFTKFKSENLIKKFSKKYRYKYIILRYFNVAGASNSGKIGEIGNKNDRLIKNLAIQFFKKNPKINIHGTNYKTKDGTCIRDYIHVSDIADIHYQCIKYLNKYSKSNIFNCGYGKGYSVLEIANILKRM-KKKTQINFMKKRPGDVGQVKANINKFKKTFKWKPKFSINKILNSSIKWEKK---------- 193213368 ----HILITGGAGFIGSHVVRHFLNRDYTVTNLDKLTYAGNESNPNYRFVKGDIADGPFLLDLFNEQRFDAVVHLAAESHVDRSIESPVEFVIANVLGTVNLLNAARATWGGKFYHVSTDEVYGLGSGGMFSETTPYDPHSPYSASKASSDHFVRAFHDTYGLPVVISNCSNNYGSHQFP----------EKLIPLFINNIRLEKPLPVYGAGL------NVRDWLWVVDHARAID---EIFHRGTVGETYNIGGHNEWTNIDLIRLLCRIMDRKTLITYVTDRAGHDLRYAIDASKLQRELGWVPSVTFEEGLEKTVDWYLDNQDWLDE--- 260914474 ---KKILITGGAGFIGSALIRYLLAETHSVLNVDKLTYASVVENPRYIFEQVDICDYLTIAKLIEQYQPDAIMHLAAESHVDRSILGAADFIQTNIVGTYQLLEATRQYWQYRFLHISTDEVYGDLDEQAFTENNQYQPSSPYSASKASSDHLVQAWHRTYGLPILVTHSANNYGP--------YQYPEKLVPLMICRALQGLSLPIYGDGQ--------QRRDWLFVNDHVEALYQVL---VNGKEGEHYNIGGNNELTNFDVVSQICGFLDFNQLICFVQDRPGHDRRYALNLNKIHTELGWQAKTDFTQGLRNTVKWYVQY--------- 136530949 ------LITGAAGFIGMNCALRLLDRGDEVIGIDNLNDYYDVSLKQARFHKIDIGNRDAMADLFALHKPDCVIHLAAQAGVRYSLTNPHAYIDANLQGFMNVLEGCRHHQIGHLVYASSSSVYGGNETMPFSEHDNIHPLSLYAATKKANELMAHTYSHLYDLPTTGLRFFTVYGP----------WGRPDMALFLFANAMLKGDPIDVFNDGKMVRDFTFIDDIVEATPDVKFDALHPDPATSNAPYRVFNIGNGNPTPLMDYIGALESALGVTAKKNFLPMQPGDVPATSADTSELGRWVGFQPDTKVAVGVQKFAEWYLSHY-------- 124546543 ----KYLVTGAAGFIGSAVVEKLTLAGHQVIGVDNINNYYDVKLKHGRLVELDIADRQALLQLFIDEQFDRVIHLAAQAGVRYSIENPHAYADSNLVGHLNILEGCRHTKVNHLIYASSSSVYGLNAKTPFTSDSVDHPVSLYAATKKANELMAHSYSHLYNIPTTGLRFFTVYGA----------WGRPDMAPFIFTKKILEGETIDINNNGDMWRDFTHVDDIVEVVRIADVIPTRNETWTSSAPYAVYNIGHGSPINLMDFVKAIEDELGIEAKKHFREMQPGDVYQTYADTQDLFVATGYQPKVGVKEGVAEFVAWYRSYYK------- 254412080 ----NVLVTGAAGFIGYHLSQRLLAQGDTVIGLDNLNAYYDENQPGFRFYKLDLADREGIAQLFAQESFEFVIHLAAQAGVRYSLKNPYAYVDSNLVGFTNILEGCRHSDIKHLVFASSSSVYGANTKVPFSVQDNVDPVSLYAATKKANELMAHAYSHLYNIPTTGLRFFTVYGS----------WYRPDMALFLFTKAILAEQPINVFNYGRMQRDFTYVDDVVEGVVRVMGKIPPPKASGNSAPYKLYNIGNNQPIELLQLIETLEQCLGKTAVKNMLPMQPGDVPITYADVDDLMQDVGFKPNTPIEVGVERFVQWYRSYYN------- 88856368 ------MVTGGAGYIGAHVVRALINADMAAVIVDDLSSGLKRFVPDGPFVQADILDKDALVQAMTAHGITGVIHVAGFKYAGVSVQRPLHTYTQNVTGTVSLLEAMHDCGIDQIVFSSSAAVFGTPHVDQVTETTAKEPLSPYGESKLIGEWLLRDQAHAVGLRHTSLRYFNVVGCGDPTIYD---TSPHNLFPRVFEALVAGVVP-TINGNDYPTPDGTNVRDYVHVADIAAAHVAAAKRLESKNTIEPYNLGSGDGLSVSEIMRAVAKVTGIPFSPQIAERRVGDPPRIVANGDLAARDLDWKMRHTAEEMVRSAWEARL---RAENNRHD 32034492 ---KTIFITGGAGFIGSALIRYVIKHTDCIVNIDKLTYAGNLASLRYYFEQVDICDSGRISQLFCKYQPDAVMHLAAESHVDRSIDGPAAFMQTNIIGTYTLLEASRRYWDFRFHHISTDEVYGDHDKGLFTETSPYAPSSPYSASKASSDHLVRAWFRTYGLPTLVTNCSNNYGP--------YQFPEKLIPLMILNALEGKSLP--------VYGNGLQIRDWLFVEDHVRALYKVL---CDGKVGETYNIGGHNEKTNIEVVRAICRILDYEELITYVKDRPGHDVRYAIDASKICTDLGWQPQETFESGLRKTVEWYLSNKDW------ 71909541 ----KILVTGAAGFIGMTTSLRLLARGDEVVGLDNMNDYYEVSLKENRFVKLDVGDRAGMEKLFADEKFDKVIHLAAQAGVRYSIQNPHAYVDSNLVGFINILEGCRHHKVQHLVYASSSSVYGGNTKMPFSEHDVDHPVSLYAATKKANELMAHTYSHLYGLPTTGLRFFTVYGP----------WGRPDMALFLFTKAILEGRPIDVFNHGNMKRDFTYVDDIIRVMDNAEYDSLSADPATSNAPYRVFNIGNNNPVQLLDFIGAIETALGQKAEKRLLPLQDGDVPATYANTDLLNDWVGFVPGTSVQEGVSKFIAWYRDYYK------- 169621664 -----VLVAGGTGYIGSFTALALLEADYKVVIVDNLYNSSPEVVKRPAFYQADITDEAALDKVFEENDIDNVIHFAALKAVGESGEIPLTYYKVNVGGSVALLSSMVKHNVTNIVFSSSATVYGDATRIPIPEHCPIGPTNVYGRTKSTVEGVIEDEEEGKKWNGALLRYFNPAGAHPSGIMGENPLGPYNLLPLLAQVAIGKRDKLLVFGDDYKSKDGTAIRDYIHVLDLARGHLQALNYLREQKPGVKWNLGSGRGSTVLEMIKAFSNVVGRELPYETAPRRHGDVLDLTANPTLANKELNWKTELTLEDACADLWKWTENNPEGYEQK-- 269120996 ---KKVLITGGAGFIGSHVADRFLLNNYEVIAADNLVTGNIDNIKNIKFFNIDIRDREKLEELFKNEKPDYVIHLAAQVSVSSSVEDVLYDAEENITALINILELCKKYNTEKIVFSSTAAVYGIPEEVPSREANKTAPLSPYGLSKLTGEEYIKMYSRLFGVNYVILRYANVYGPRQSA-------------HGEAGVVSIFNDKIKANGDIFIEGDGLQTRDFVYVKDVSGANYICA---TEDIKNETFNVSTNTDISILKLFNTMKKYSGYEKDAFHKEARKGDIRNSRLDNNKLLKNTSWKPEYTLDQGLKEYLD-------------- 225180900 ----KVLVTGGAGFIGSHIVDLLIQKGYEVVVVDNLVTGSKSNVAHAVFYEVDIL-HPQIDEVIKKEAPEVILHQAALVFVQQSIKDPLADGTVNTIGTLNLLRSAHLNNVGRFIYASTCAVYGDAQGRVATEDDPVSPISFYGASKYMGEMYVRLFYDLYKLDYTILRYANVYGPRQQPHGEGGVIP-------IFMQNMKKEISPTIFGTGL------QSRDFIYVQDVATANLLAI----AKGKQQTLNIGTGVATSIYDLHQHINEILGRNLPAQYKPELMGDVKHIALNPERAQKELNWKTGYSLKKGLAET---------------- 108760627 ----KVLVTGGAGFIGSHVCDEFLRGGHDVIALDDLSGGKRENLPRVRLAVHDIRSREA-SELIKSEKPDVLCHLAAQMDVRRSVDDPSFDADVNIRGMLNLLEAARVSGVKKVIFSSTGAIYGEQDVFPAPESHPTRPISPYGVSKASGELYLGYYRAQYGLPYVALRYANVYGPRQNP-------HGEAGVVAIFSQRLIAGQGCTIFGEGKQT------RDFVFGPDVARANRLAFE----NDYVGAINIGTGVETDINRLYALLAEAAGSSVSVAHAPGKPGEQMRSCVDNALARKVLGWEPSVDVREGLRRTLEYFRQ---------- 261346759 ----KYLVTGSAGFIGFRLCQRLLESGHEVIGIDNMNAYYDQGLKQSRLHILDITDREKVLVLCTQENFDRVIHLAAQAGVRYSLQNPFAYADSNLNGHLAILEGCRQAKVKHLVYASSSSVYGVTDKMPFTTDMPTHPISLYAATKKANELMAHSYSHLYQLPTTGLRFFTVYGP----------WGRPDMALFKFTKAILAGEPIDVYNNGDLSRDFTFIDDIVEGVIRISDIIPQADPQNRSAPYRIYNIGNGQPVKLIEFISALEKALGKEAIKNFLPMQAGDVYTTWADTEDLFKATGYRPHVTIEQGVQAFVDWYKSYYK------- 256812323 ------LITGGAGYIGAHVARAMTGAGERVVALDDLSAGVPARLPEGPLVRGSSLDGELVRRVCAEHGVTGVVHLAARKQAAESVARPTWYYRENVGGLATLLDAVADAGIERFVFSSSAAVYGDLDVDVITEDSPCAPANPYGETKLAGEWLVRAAGRAHGIATVCLRYFNVAGA----AVPELADTGVFNIVPMVFDRLTRDEAPRIFGDDYPTPDGTCVRDYIHVADLAEAHLAAARGLSRGDVTGDVNIGRGQGVSVRELITVIGEVTGDTRAPLVEDRRPGDAPRAVASSALAAERLGWTARRSVHDMIDSAWQWRL----------- 254478847 IEGKKVLVTGAGGFIGSHLVEKLVEMGAKVRAFVRYNSKNNWGWLETSPYKGDIRDYDSVKDSMKGV--EVVFHLAALIGIPYSYVSPLAYIKTNIEGTYNVLQAARELGVEKVIHTSTSEVYGTAKYVPIDELHPLQPQSPYSATKISADNIALSFYNSFNLPVTIVRPFNTYGPRQS---------ARAVIPTIITQILSGKKQIKL-------GNLRPTRDMNYVIDTVDGFIKIAECDKLLGEVTNI--GSGKEISIGDLVRLISRLMGVEVEIVQEEQRKSEVERLVCDNKKIREFTGWEPRYSLEEGLKETIQWMGAYLNMYKPE-- 294635572 ----RILVTGCAGFIGANLCGRLLAAGHQVEGIDNLNDYYDVSLKQARYHPIDIADSEAMAALFGTRHFDRVVHLAAQAGVRYSLTNPLSYAQSNLLGHVNVLEGCRHGKVGHLVYASSSSVYGLNNKVPFSTDDRDHPVSLYAATKKSNELMAHSYSHLYGLPTTGLRFFTVYGP----------WGRPDMALFKFTKNMLAGQPIDIYNHGDMQRDFTYIDDIVEPQPNPDWRVEQDSPAASSAPYRVYNIGHGSPVRLMDYITALEEALGIEALKNFMPMQPGDVYQTYADTEDLFAATGYCPQVGVKAGVQAFVDWYRAYYQ------- 78223676 -----ILVTGGAGFIGSNFITHFMAAGCRVVNLDILTYAGNEKNPDYRFVRGDICNGELVRELLAEERIEAVVHFAAESHVDRSITGPEIFVRTNVLGTQVLLEESRRHWRFRFLHVSTDEVYGTGETGYFTEETPLAPNSPYSASKAGSDLIVRAYYETYGFPALITRCSNNYGP--------YQFPEKLIPLMIHNIVANKPLP--------VYGDGRNVRDWLHVKDHSSAIETVL---KGGKPGEVFNVGGNNEWFNIDIVQLLCDLLESRGLITFVKDRLGHDRRYAISAAKIKRELGWEPSYTFERGIAETVDWYLAN--------- 305679867 ----HVLVTGGAGFIGSHLVDFLVAHGHSVTVFDNLSRGNLDNALACGNVRVDLLD-SDLEQLIVDTQPEVIFHLAAQIDVRRSVAEPLFDAHTNIISTIRLAEAARKNNVRKIVFTSSGSIYGKPEQFPVTENTPIDPHSPYAAAKISGEIYLNTFRHLYGLDCSHIAPANVYGPRQDP-------HGEAGVVAIFAQRLLNGYPTTIFGDGGNT------RDYVYVEDVVRAFYL---AAGPIGGGDRFNIGTSIETSDRELHTLVARAAGAPDTPDYAPARLGDVPRSALSYQHAHDVLGWEPRVSIVEGVAKTVDYFR----------- 170781081 ------LVTGGAGYIGSHIVSAFARAGIDTVVLDDLSSGHEAFVPDGPFHRGSVLDRELLARVLGSGDIRGVVHVAGYKYPGVSVRRPLHTYEQNVTATAVLLQEMERAGVDSIVFSSSAAVYGTPHVDLVDERTPKAPESPYGESKLIGEWLLRDQGVAAGLRHASLRYFNVVGSGEEG----YFDTSPHNLFPLVFDALLDGRSPRIYGSDYPTPDGTCVRDYIHVVDLAASHVAAARRLEAGEPVEPVYCGSGAGVSVREIMTAIASATGIAFEPEVEDRRPGDPARIVASGELAARDIDWAMRHSLDDMVTSAWD-------------- 108805904 -EKQTILVTGGAGFIGGNFV--LLAVGYRVVNLDALTYARVEGHPDHLFVRGRIGDRELVEHLLASHRPRAVVNFAAETHVDRSIDGPRAFVQTNVVETLELLEAVLGYWGFRLVHVSTDEVYGTGPEGRFTEESPYRPNSPYAASKAASDHLVRAYNRTYGLPAIITNCSNNYGP--------YQYPEKLVPLTITRALEGRPIP--------VYGDGGQVRDWLYVEDHCRAIARVLE---EGAPGEVYNIGGDSERTNLQTVRTICGLLDRGSLITFVEDRPGHDRRYAIDAGKIGRELGWRPEETFESGMEKTVRWYLEN--------- 255536631 ----NYLVTGGSGFIGSHLVEHLLKNGHSVINVDNFDDFYDYRIKDYQLYYQDIRDRSGLQKIFAKHKIDAVIHLAALAGVRPSIERPADYEEVNIKGTMNLWEVCREHRVNKIVCASSSSVYGNNEKIPFSETDVDEPISPYAATKRAGEILGHVYHHLYGIDMVHLRFFTVFGPRQRPDLAIHKFTSLIRSK----------------NEIPFYGDGSTARDYTYIDDIIDGILKTITYHRNNGVYEILNLGENQVITLDEMLSAIEENLEIMSLKKRLPLQPGDVQITNADISKARALIGYNPTTNFHIGIKKFVEWFLRN--------- 224000954 ---KRILVTGGCGYIGSHTLIALLDTQYSIVVVDNLVNSSHESLKRVVFRKVDCCNKAGFRAVFEEFGFDAAVHFAGLKAVGESKLIPLKYYEDNLGATLTLLNLMEEYGCRTIVFSSSATVYGIAKDEKLKETDEVGITNCYGRTKFMIEQILNDFHEAKDWSIGILRYFNPVGAHPSGTMGEDPRGPNNLMPFVAQVAVGRRPHVTIFGNDYDTPDGTGVRDYLHVMDLADGHVAALRYMDGGGKLNVFNLGTGIGYSVLDMVEAMGKACGKPIPYVLGPRRPGDVTIYVADPSRAKEEMGWSAKFGLDDMCNDLWKWQTQNPNGYE---- 297625440 ------LVTGGAGYIGSHVVRAFIEQGITPIVVDDLSSGHREFVPDGDFVEGQVQDTSLLERTMREHDVEGVMHIAGYKYAGVSVARPLHTYSQNVQGTAAVLEAMQRVGVSRIVFSSSAAVYGTPDVDLVTEDQPTAPESPYGQSKLIGEWLLADQARATPLQHVSLRYFNVVGSGTDEVYDTSPHN----LFPLVIEALIEGRTPRINGTDYPTPDGTCVRDYVHVADLATSHVAAATAMAEGRELRPVYNGSGDGLSVREIMDAMQRGTGHTFEPVIGERRPGDPARIVANGDAAARDLDWRMRHSIDDMVTSAWQARTAHP-------- 143958493 ----------------SHIAVALAEHGHWVVGLDNFVNSSPLVLDRMPFEELDLSQTDKVASFLSTNKVDAVIHLAGLKSVADSVAEPLRYYENNVAGSISLLTAMEQAKVHGIVFSSSATMYNPDQVSPLSEDAETGPINPYGRTKFMVEQIINDVAASSPLKAINLRYFNPVGAHPSGLIGEDPIPPNNLMPFVMQVAVGMRDEVLIFGDDYATPDGTGVRDYIHVCDLADGHVAAVDALANSGSSQTINLGTGVGSSVLDVLAAAERAADRTIPHRVVGRRPGDAAISYADPTRAHEQLGWRSQRSLADACVDHWRWQDQNPQG------ 288816242 ----KILVTGGAGFIGSAVVRHIINNTQDVVNVDKLTYGNLESLERYIFEHADICDAAAMARIFAQHQPDAVMHLAAESHVDRSITGPAAFIETNIVGTYVLLEAARNYWSFRFHHISTDEVYGDLPHPLFTETTAYAPSSPYSASKASSDHLVRAWKRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKALP--------IYGKGDQIRDWLYVEDHARALYTVV---TEGKAGETYNIGGHNEKKNIEVVLTICDLLDYCEQINYVADRPGHYCRFAIDAEKVSRELGWKPPETFESGICKTVEWYLSNTKWVDN--- 34499465 ----TILVTGGAGFIGGNFVDWLAEREETVINLDALTYAGNLETLHHVFTHGDIGDRKLVAELLRTHRPRAIVNFAAESHVDRSIHGPGDFIQTNIVGTFNLLECAREYWAFRFLHVSTDEVYGTAEDAPFSETNRYEPNSPYSASKAASDHLVRAWHHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLVILNALAGKPLPIYGDGQ--------QVRDWLYVKDHCSAIRRVLEA---GKLGETYNVGGWNEKTNLEVVNTICGILDYREQIHFVSDRPGHDRRYAIDARKLERELGWKPAETFDSGIRKTIEWYLGNPNWIE---- 254788156 --SKTLLVTGGAGFIGANFVHYWLETADRVIVLDALTYAGNESVENFRFVKGDILDQPLVEQLLRDNSVDTLVHFAAESHVDRSITGPDAFIETNIVGTHVWLDEGLCKEGHRFHHISTDEVYGTPNDPAFSETTPYAPNSPYSASKAASDHLVRSYLHTYGLQVTTSNCSNNYGP--------FHFPEKLIPLIITNILRDRKLP--------VYGDGKQIRDWLYVTDHARGIDLVLRKGV---VGESYNIGGINEWANIDIVQLVCKLMNAADLIEFVTDRPGHDRRYAIDPTKANAELGYKPQESFDTGIAKTIDWYLDNQEWWS---- 109898449 ----KILVTGGAGFIGSAVVRHLLANTQDVINVDVLTYGNTESIPRYRFEQVDICDAAEIKRVFNAHQPDAVMHLAAESHVDRSIDGPGAFIQTNVVGTYTLLDAARAYWQFIFHHISTDEVYGDGELPLFTENTPYAPSSPYSASKASSDHLVRSWQRTYGLPTVITNCSNNYGP--------YHFPEKLVPHVILNALAGKPLP--------VYGDGSQIRDWLFVEDHARALCLVV---KSGSVGETFNIGGHNEKRNLDVVRTICALLDYADLITFVKDRPGHDARYAIDASHIQKALGWKPEEDFVSGMRKTVQWYLSNESW------ 134765564 ---KNVLVTGGCGFIGSNFVKYLISKCYFPIILDKLTYAGSKENINQINEEGDICDEELLLNLFKKYKFDGIFHFAAESHVDRSIDAPREFIDTNIIGTFNLLQASKNKNHFKFIHVSTDEVYGDGPDGYFDEESPYRPNSPYSASKAASDHLSRSWSKTFNLPVIITNCSNNYGANQF---------PEKLIPLMIINCIDWR-------QLPVYGNGENIRDWLFVED----HCIALETIFSKGEGETYNISGSNEIKNIDIVKIICDIMDELKPITFVDDRPGHDKRYAVDSTKLQNTLKWKPRESFQSGIKKTIKWYLNNEDW------ 136842608 ---KNILVTGGAGFIGSHLVRLLVNKEYHIINMDILTYAGNETKENYTFVKCDICDIENVKQVFVNYKINSVIHLAAESHVDRSIEDPFSFAQTNVMGTLSLLQVAKAYWNGNFYHVSTDEVYGLGEEGFFTETTSYDPHSPYSASKASSDHFVRAFADTYGLPTVISNCSNNYGS--------YQFPEKLIPLFINNIVNNKPLP--------VYGKGENVRDWLFVNDHARAIDVIFH---DGKLGDTYNIGGFNEWKNIDMIKTVDRLLGRENLITYVTDRAGHDLRYAIDSSKLNDELGWQPSLQFEEGIEKTVAWYLDNKEWLEN--- 74317798 -----ILVTGGAGFIGANFVLDWLRANDEPVLLDKLTYGNPENLPRHIFVQGDIGDRGLVGKLLSEHRPRAIVNFAAESHVDRSIHGPEDFIQTNVVGTFHLLDEARAYWVFRFLHVSTDEVYGGPTDAPFTEQTPFAPNSPYSASKASSDHLVRAYHHTYGLPVLTTNCSNNYGP--------YQFPEKLIPLIVLNAVQGKPLP--------IYGDGMNVRDWLYVGDHCTAIRAALEKGR---PGETYNIGGWNEMPNIEIVKTVCALLDYARLITYVTDRPGHDRRYAIDASKIDRELGWRPAETFETGIRKTVRWYLDN--------- 288941925 ----KILITGSAGFIGSALSLRLLERGDTVIGVDNLNDYYDVRLKEARDVRLDIEDGAGLTEVFRTHRPERVVNLAAQAGVRYSLENPMAYVSTNLVGFANILECCRHHGVEHLVYASSSSVYGANTEMPFSHDNVDHPLSLYAASKKANELMAHTYSHLYRLPTTGLRFFTVYGP----------WGRPDMALFKFTRAILAGEPIQVFNYGQHRRDFTYVDDIVEGVIRVDWSGAKPDPASSRAPYRVYNIGNNQPVELMEYIAVLEQCLGRKAEMELLPLQPGDVPDTFADVTDLVRDTGYKPDTPVAVGVARFVAWYQDFYTQEARAH- 225010347 ------LITGGAGFIGSHVVRLFVQKDYHIINLDALTYAGNEQRPNYTFVKGSITDPLLLDRLFTQHDIKGVIHLAAESHVDRSIKDPMAFIDTNIKGTAALLNACKAHWKRRFYQISTDEVFGLGPTGYFTEESPYAPNSPYSASKASADHLVRAYGETYGLPYVISNCSNNYGPN--------HFPEKLIPLCIHNILEGKDLP--------IYGNGLYTRDWLFVKDHALAIDQV---YHNGENAATYLIGGHNEYTNIDLIRLLCDQMDAAIRITFVKDRPGHDLRYAIDASKIERSLGWTPAHTFEAGLAATIKWYLENPDWLAQ--- 152994869 ----KFLITGGAGFIGSAVVRYLLSTQHNVVNLDKLTYGNLESIPRYVFELVDICDAVELDRVLQTHKPDVVMHLAAESHVDRSIDGPGEFILTNVVGTYTLLEASRKYWMFRFHHISTDEVYGDLPHPLFTETTPYAPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPHVILNALSGKALP--------VYGDGSQIRDWLYVEDHARALVKVA---TEGKIGETYNIGGHNEKRNIDVVKTICALLEYQDLITYVKDRPGHDVRYAIDASKIANELGWQPEETFETGMRKTVQWYLDNQDW------ 308187043 ------LVTGAAGFIGFHVSQRLLAAGHQVVGIDNLNDYYDVNLKHARFIEMDLADRDAIASLFDQHTFQRVIHLGAQAGVRYSIENPHAYADSNLIGHLNILEGCRHHKIEHLLYASSSSVYGLNRKMPFTEDSVDHPVSLYAATKKANELMSHTYSHLYQLPTTGLRFFTVYGP--------WGRPDMALFKFTRAMIAGEAIDVYNQGQ--------MKRDFTYIDDIAEAIVRLQDVIPQQDDNRVYNIGNSQPVTLMNYIEAIEKALGITAKKNLMPMQPGDVLETSADTEALYKAIGFKPQTGVEEGVKNFVDWYRDFYR------- 55980560 ----RVLVTGGAGFIGSHIVEDLLARGLEVAVLDNLATGKRENVPKGPFFRVDLRDKEGVERAFREFRPTHVSHQAAQASVKVSVEDPVLDFEVNLLGGLNLLEACRQYGVEKLVFASTGGAIYVPEGERAEETWPPRPKSPYAASKAAFEHYLSVYGQSYGLKWVSLRYGNVYGPRQD------PHGEAGVVAIFAERVLKGL-PVTLYARKTP-GDEGCVRDYVYVGDVAEAHALALFSLE-----GIYNVGTGEGHTTREVLMAVAEAAGKAPEVQPAPPRPGDLERSVLSPLKLMAH-GWRPKVGFQEGIRLTVD----HFRG------ 92113883 ----NILITGMAGFIGHAVAKRLAAEGHDIVGIDNLNDYYDVSLKQARFERLDLADRAGMERLFADTRFERVIHLAAQAGVRYSLDNPHVYAQSNLVGHLNVLEGCRHQQVPHLIYASSSSVYGQNAQVPFTADAVDHPISLYAATKKANELMTHSYSHLYGIPATGLRFFTVYGP----------WGRPDMAMFKFTRAILADEPLPVFNHGDLSRDFTYIDDIVEGVVRIMQAIPEADPDSPGASPDQYNIGHGSPVALMDFVHALERATGRTARCDFKPMQPGDVPRTWADTDALFEKVGYRPQVGVDEGVARFVEWYRSF--------- 256830802 ----KILITGAAGFIGFHLARRFLATGTSVFGLDNLNDYYSVELKKDHFEPIDLADGAALDAYFKANKFTHVVNLAAQAGVRYSLLNPKSYIDSNIVGFANLLECCRHNDTKHLVYASSSSVYGLNTSMPFSHDNVDHPVSLYAASKKSNELMAHTYSYLYKLPTTGLRFFTVYGP----------WGRPDMALYLFTKAICENKPINVFNHGKMRRDFTYIDDIVEGVFRIDWDGKNPDPSTSPAPYKLYNIGNNNTVELEQFITVLENALGRKAVRNYMDIQPGDVPATYANIDDLIKEVGFKPSTSIEEGIEKFIAWYKDYYR------- 149279786 --TKKILITGGAGFIGSHVVRRFVKKDYSIFNLDKLTYAGNEDAANYKFVKGDIVEAEFINDLFRTHDFDAVIHLAAESHVDRSIASPMDFVMTNVIGTVNLLNAAREYWKKRFYHVSTDEVYGLGETGMFTESTSYDPHSPYSASKASSDHFVRAYHDTYGLDVVISNCSNNYGSH--------HFPEKLIP--LAINNIKNNKPVPVYGKG------ENVRDWLWVEDHARAIDVIFH---EAKTGETYNIGGHNEWKNIDLIKLLCGIMDSEKLITYVTDRAGHDLRYAIDSSKLQQKLGWVPSLQFEEGLKKTVDWYLENEKWLDD--- 142109533 ------LVTGGAGFIGGNFVDWLAGSNESIVNLDILTYAGNESDSRHHFVRGDIGDRQLVSKLLSEHRPRAVINFAAESHVDRSIHGPGDFIQTNVVGTFNLLESVRAYWAFRFLHVSTDEVFGTENDPPFTETNAYEPNSPYSASKAASDHLVRAWHHTYGLPVVTTNCSNNYGP--------YHFPEKLIPLIILNALAGKPLPIYGDGQ--------QIRDWLYVRDHCSAIRAVL---ADGALGETYNVGGWNEKPNIEVVYAICAILDYATQISYVQDRPGHDRRYAIDARKLERELGWKPAETFETGIRKTVEWYLKNQGWVKN--- 90020265 ----KVLVTGAAGFIGYHLSERLLARGDTVVGIDNINDYYDPNIKLARLERLDLADRGGMETLFSNHQFDRVVNLAAQAGVRYSIENPHAYVDSNIVGFLNILEGCRHTNVPHLSYASSSSVYGANTLQPFSEHHNDHPVALYAASKKANELMAHSYSSLYKLPTTGLRFFTVYGP----------WGRPDMALFLFTKGILEGKPINIFNNGEMYRDFTYIDDIIEGVVRVTWTGDKPDPATSYAPFKVYNIGNNNPVKLMDFVEAIENELGIKAIKNMMPMQAGDVPGTSADVQSLMDDVGFKPEITVQQGIKQFVGWYKEYFK------- 192361703 ----KVLVTGTAGFIGSTLAKRLLARGDEVIGIDNLNDYYDVQIKKDRLAHLDIRDKAAIDNVFKTHKPDRVVNLAAQAGVRYSLVNPQAYIDANITGFLNILEGCRHFGTDNLVYASSSSVYGLNTAMPFSHNNVDHPVSLYATSKKANELMAHTYSHLFNIPTTGLRFFTVYGP----------WGRPDMALFIFTRKILAGEPIDVFNYGHHRRDFTYIDDIVEAQPNSNWSGDKPDPATSKGPYRIYNIGSNNPVELLRYIEVLEDCLGKKATKNLLPMQPGDVPDTYANVDALIEDVGYRPTTPVEVGIERFVKWYRDYYK------- 167856001 ----KILITGGAGFIGSAMIRYIINNTDLVINIDKLTYAGNLSSLRYAFKQIDICDKIALEQIFNEYQPDIVMHLAAESHVDRSIKNSEVFIKTNIWGTYTLLEVARKYWIFRFHHISTDEVYGDTSERQFTEIMPYAPSNPYSASKAASDHLVHAWRRTYGVPTIVTNCSNNYGP--------FHFPEKLIPLIILNAIEGKKLP--------IYGNGLQVRDWLFVEDHVRALYKVI---KEGRVGESYNIGGDSTKSNLEVVYAICEILEYKDLISYVPDRLGHDTRYAIDSTKIREELDWKPQETFESGIRKTVEWYLNNQKWWKS--- 212218326 MEKMRTLVTGCAGFIGFHLTKRLLARGDHILGLDNLNDYYDVNLKEARFHKLDLADRQGMTDLFQKHLFDTVVHLAAQAGVRYSLTNPYAYVDSNLVGFAHILEGCRHQSVKHLVFASSSSVYGANEKYPFSEDNVDHPIALYAASKKANELMAHSYAHLFQLPCTGLRFFTVYGP----------WGRPDMALFKFTRNLLADKPIDVYN------HGKMSRDFTYIDDIVDGILLTLDHPPENAPYRIYNIGSNNPILLTDFIAILEKTLNKKAIKNFLPLQPGDVPETYADVSQLEKDFQYRPRTPLQKGVKNFVEWYLQY--------- 121607691 -----ILVTGGAGFIGANFVDWLASSDEPVLNLDKLSYAGNLHNLEGRFVQGDIGDSALLAGLLAEHQPRAIVHFAAESHVDRSIHGPEDFIQTNIVGTFRLLEAVRRYQGLRFLQVSTDEVYGAPREPAFAETRAFQPNSPYSASKAASDHLVRAWHHTYGLPVLTTHCSNNYGPLQF---------PEKLIPLMIVNALGGQP-------LPVYGDGLQRRDWLYVKDHCSAIRRVLEAGQ---VGHSYHIGGGNEQANIDIVHSICTLLDALRQIAHVQDRPGHDRRYAIDARKIGRELGWQPAETWASGIRKTVQWYLEHPQWVAQ--- 142034944 ----KYLVTGAAGFIGAKVSERLCAQGHEVVGIDNLNDYYDEALNNFRFIKLDLADREGIAALFAEQDFQRVIHLAAQAGVRYSLDNPLAYVDSNLVGHLTILEGCRHHKIEHLVYASSSSVYGLNQKMPFTEDSVDHPISLYAATKKANELMSHTYSHLYQLPTTGLRFFTVYGP--------WGRPDMALFKFTKAILAGD--------TIDVYNHGDLSRDFTYIDDIVEGIIRVQDKPPRSAPYRVFNIGNGSPVQLLDFITALESALGIEAKKQFLPMQPGDVHSTWADTEDLFKAVGYKPQVDINTGVSRFVEWYRAFY-------- 142553359 ----KVLLTGAAGFIGMHCAERLLARGDTLIGVDNFNDYYEVSLKEARMHRMSIEDREAMAALFAAEKPDRVIHLAAQAGVRYSIENPHAYVDANLQGFMNILEGCRRNSVEHLVYASSSSVYGGNEQMPFSEHHVDHPVSLYAATKKANELMAHTYSHLYDMPTTGLRLFTVYGP----------WGRPDMAPIKFARTILRGEAIDVYNNGAMARDFTYVDDIVEGVIRVDFDPLSPDPQSSRAPFRVFNIGNGNPTPLMDYIAALEEALGITAEKNMMPMQPGDVPATSADTTELRDWVGFAPNTDVRDGVKKFVDWYVAY--------- 73668677 ----KVLVTGGAGFIGSHIAEYFAEAGHTVRILDNLATGFLRNIPQYKNVEGDICDFPLVEK--AALGMDYVFHEAALVSVPLSCEKPSEAFQINTLGTLNVLQACVKAGVKKFVTASSAAIYGNNPVLPKRESMYPEPASPYAISKLDGEYLARMFYENHGLRTTCLRYFNVYGPRQDP------------KSPYAAVIPIFLERAKLGKDLVIYGDGLQSRDFVHVKDVVRANVAALEH----GDGQVFNVAMGKSVTVRELAENINKLTDSSSRIIHAASRAGDVRDSKADVSKISDW--WKGEIELQEGLKSLV--------------- 289638868 ----KILVTGGAGFIGSNFVYYMLENHDEILCLDLLTYAGNLQTLDGAMVKGDIADRAFVFDLFRKEKPDMVVNFAAESHVDRSIENPGIFLQTNILGTGVLMDASREVGVQRYHQISTDEVYGDRPDLMFTEETPLHTSSPYSSSKAGADLLALAYHRTFGLPVTITRCSNNYGP--------YHFPEKLIPLIITRALDDQTIP--------VYGTGANVRDWLYVGDHCSAIDLVLRK---GKVGEVYNVGGHNERSNLDVVKTVLRELGKPESITYVTDRKGHDRRYAIDPTKIETELGWQPTYRFDEGIKVTVAWYLEHKDW------ 50954291 ----KILVTGGAGFIGSNFVRRTLQEGAEVVVLDALTYANLEPVSDSPRYKGEIRDSGLLDELFPAV--DAVVHCAAESHVDRSVRDASIFAETNVLGTQQLLDAALRNEVKRFVHVSTDEVYGSIAEGSWDEERPLEPNSPYSASKAGSDLLARSYFRTHGLNLSITRCSNNYGP--------YHFPEKVIPLFVTNLIDDKHVPL--------YGEGNNIRDWLHVDDHTRGIAMVL---VNGRAGEIYNIGGGTELTNKELTQLLLDATGKDWSYVDVADRLGHDLRYSVDISKIRSELGYEPLVPFEQGLTDVVQWYRDNRAWWE---- 144069022 -----ILVTGGAGFIGSNFLNTLSNCDDEIICLDSLTYASDLNNVPKEVTLLDISDKDKVFEIFTIHKPKYVFHFAAESHVDNSIKDCTPFIQTNIIGTVNLLMASLKYEVEKFMHISTDEVYGSIENGSFTEETNYDPRNPYSASKASSDHFVKAFNNTYNLPTIITNCSNNYGPRQ---------HREKLIPQTICNLLRGK-------NVPVYGDGKQIRDWLYVQDHCEAL---IEVWKEGKIGEKYNIGGECEVHNIDLIRKIISMMGKEEVIEYVKDRPGHDRRYSTSINKISADLNWRPKFNIDEGLKKTIDWYESHQN------- 293611245 ----KILITGGAGFIGSAVVRHIIQNTNEVLNVDKLTYGNLESLIRYQFSQTDICDRAALDKLFETFQPDAVMHLAAESHVDRSITGPYAFIETNVIGTYQMLEASRAYWAFRFHHISTDEVYGDLEGTLFTETTSYSPSSPYSASKASSDHLVRAWNRTYGLPVLVTNCSNNYGP--------FHFPEKLIPLMILNALQAKPLP--------VYGNGQQIRDWLFVEDHARALYTVVTQGVI---GETYNIGGHNEKANLDVVYAICDLLEYKDLITYVKDRPGHDVRYAIDATKIKDELNWVPEESFETGLRKTVEWYLNNKEWVE---- 85858261 -ERGNILVTGAAGFIGFHLSRRLLAAGHSVVGLDNLNDYYDVRLKEARLARLTLEEREKLHALFAAESFATVVNLAAQAGVRYSLTNPYAYIDSNIVGFVNLLEGCRHYGVRHLVYASSSSVYGANTAMPFSVHHNDHPVSLYAATKKANELMAHTYSSLYGIPATGLRFFTVYGP----------WGRPDMALFLFTRAILEGRPIDVFNYGKMRRDFTYVDDIVEGVVRVAWSGDHPDPGTSYAPYKIYNIGNNNPVELLSFIEALEDCLGKKAEKNFLPLQAGDVPATYADVDDLMRDVGFQPSTPIEEGIRRFVTWYREYY-------- 171466617 ----RVVVTGGAGFIGSHYVRQLVTADASVVVLDKLTYAGNEENLAPVSDDGDVCDGELLAEVLPGA--DVVVHFAAESHVDRSIVGSTDFMQTNVLGTHSVFQACLDAGVKRVVQVSTDEVYGSIEAGSWTEDEPLEPNSPYSASKAAADLVARSFYRTYGLPVCVTRCSNNYGP--------YQYPEKIIPLFITNLLLGEPLPL--------YGDGGNVRDWLHVDDHCRAVQLVVE---GGRPGHVYNIGGGTELTNRELTARLLKETGADWSVRNVPDRPGHDQRYSVDWTKISAELGYLPRVGLETGLAETVRWYVENRSWWE---- 137518925 MGKKKVLVTGGAGYIGSHACKALKQSGFTPVTFDNLVTGWRDAVKFGPFEQGDLLNKCDIHRAFKKHSPIAVMHFAALSQVGESMQKPGLYWKNNVMGSLNLVQAAVDHGCMDFVFSSTCATYGERDGIILDEDSMQYPINAYGASKRAVENILADYQATYGLNQVIFRYFNVAGADPEAEIGEFHQPETHLIPLILDAIEGKRDALTIFGNDYDTSDGTCIRDYVHVCDLVDAHVLGLNW-------------------------------------------------------------------------------------------- 117620017 ----KYLVTGAAGFIGFHVARQLCEAGHQVVGLDNLNDYYEVSLKEARFVQGELADQAGMAALFAEGRFERVIHLGAQAGVRHSLENPFAYSESNLTGMLTVLEGCRQHGIQHLIYASSSSVYGMGEQLPFSADQQDHPVSLYAATKKSGELMAHAYSALYGLPTTGLRFFTVYGP----------WGRPDMAIAKFTRAILAGEPIDVYNQGDLSRDFTFIDDIVEGILAVAELPPRPNPHWHAGEYRILNIGHGQPVRLLDFIEALEQALDKPAIKRMLPMQAGDMHATWADSEPLHTLTGLRPATSIKEGVAAFVRWYLDYYQ------- 224369399 ---KNVLVTGGCGFIGSNFIRYLLGDTDRVINVDKLTYAGNPASLEDIFEKLDICDAQGIQQVFERYAVDTVCHFAAESHVDRSIASPEVFVDTNIKGTFTLLEASRVQQGFRFHHVSTDEVYGLGKSGYFVETTSYAPSSPYSASKAASDHLVRAYCHTYGLDVTISNCSNNYGP---------FQFPEKLIPLMILNALGGKP-------LPIYGDGSNIRDWLYVRDHCRAIWMIMERGRS---GETYNVGGECEVENRQIVYRICDLVDRRDLVCFIKDRPGHDFRYAIDCTKLKNELGWRPEESFETGMEKSVAWYLDHLDWVRR--- 24374680 ----RILVTGGAGFIGSALVRMLIEQTESVVNFDKLTYASHPESLAGHFVQADICDRARLEQVLQQFQPDLMMHLAAESHVDRSIDGPAEFIQTNIVGTYTLLEACRSYYQFRLHHISTDEVFGLTETGLFSETSAYDPSSPYSASKASADHLVRAWHRTYALPIVITNCSNNYGP--------FQYPEKLIPLMVSNALQSKPLPIYGNGQ--------QVRDWLYVDD----HVKALYLVATQGQGQTYNIGGSCEQTNLTVVRHICSLLGFADLIQYVVDRPGHDVRYAIDASKIQRELGWRPQESFESGLRKTVEWNQQYPK------- 15669244 MKYKNILVTGSAGFIGFHLSKYLMDNYEDVIGIDNLNNYYNPVLKEKRNEKLDFSDWDDLVENLKDKEIDLIVHLGAQAGVRYSLQNPWAYIKSNEMGTLNIFEFARRFDIEKVVYASSSSVYGGNRKIPFSEDDRVDPISLYASTKRSNELMAHVYHHLYGIKMIGLRFFTVYG--------EYGRPDMAYFKFAKNILLGKEIEVYNYG--------NMERDFTYISDVVDGI---LRAIKKDFDYEIFNLGNSKPVKLMYFIELIEKYLNKKAKKKFLPMQDGDVLRTYADLSKSEKLLGYKPKVTIEEGLKRFCNWFLENKDWL----- 134769250 --NRQIIITGGLGYIGSHTIVELKDYFDEFIVIDDLSNSNLEKLTGKKIVHFSVTDDKKLRNIFAEYNPTNVIHFAGLKSVHESEKYPEKYFFTNVTGTETLLNVIKEFDCENFIFSSSATVYGDPEYLPYDENHPTKPINNYGRSKLIAEQLIHQWSIEHEISSISLRYFNPVGAHHSGLIGEKPQGPNNLMPYILEVISGNIEELNIYGDDYDTKDGTGERDYIHVVDLAKAHVAALNYSSSKYVNDFINIGTGSSISVLDIINIFESKLQTKIKYKITDRRAGDLPQYYAKTKKAKDLLKWESDKDLFDMCADSLRWQQNY--------- 289577720 IEGKKVLVTGAGGFIGSHLVERLIERGAEVRAFVRYNSKNNWGWLETSPYKGDIRDYDSVKDSMK--GIEIVFHLAALIGIPYSYISPLAYIKTNVEGTYNVLQSARELGVERVIHTSTSEVYGTAKYVPIDESHPLQPQSPYSATKISADNIALSFYNAFNLPVTIVRPFNTYGPRQS---------ARAVIPTIITQIMSGKKQIKL-------GNLRPTRDMNYVIDTVNGFIKIAECDELLGEITNI--GSGKEISIGDLARLISQLMGVKIEIEQEEQRKSEVERLLCDNTKMKKFTDWEPQYTLEEGLIQTINWMKTHLNMYKPE-- 240172955 ----RALVTGAAGFIGSTLVDRLLADGHTVIGLDNFATGRATNLEHHTFVEADIV-TADLQAILDQHRPEVVFHLAAQIDVRHSVADPQFDAEVNVIGTVRLAEAARRTRVRKVVHTSSGSIYGVPPVYPTGEDAPTDPASPYAAGKVAGEIYLNTFRHLYGLDCSHIAPANVYGPRQDP-------HGEAGVVAIFAQALLSGKPTKVFGDGGKTRDYVYV---------DDVVDAFVKASGEAGGGQRFNVGTGVETSDRQLHSAVAAAVGGPDDPEFHPDRLGDLQRSCLDIGLAERVLGWRPRVELAEGVRRTVEYFR----------- 126178137 ----RILVTGGLGFIGSNFIRQMLEEGDSIVNLDKITYGNPENLKRYTFVRGDICDPGVVGSVFREHPIDAVVHFAAESHVDRSIEDASVFVRTNVLGTHVLLEAALSHGVGRFIHVSTDEVYGSIKSGSFRETDNLNPSSPYSASKAASDLLARSYYITHNLPVIVTRCTNNFGP--------YQYPEKLIPLFATNLLEGKKVP--------VYGTGKNVRDWIHVADHCRAVDFVLRH---GEPGEVYNIGGDNEKSNLEITEGILRAVGKDEMVEYVPDRLGHDWRYSLDSSKLRA-MGWKPEFDFETALRATVQWYTENEWW------ 157384629 ----RLLVTGGAGFIGSHFVRQLLAAGARTVVVDKLTYANLDPVADHPFVHADIRDAEVMSRVVRGA--DVVVHFAAESHVDRSIADASAFVETNVRGTQVLLQAAVEAGAGRFVHVSTDEVYGSIAEGSWREEQPLAPNSPYAASKAASDLLALAYHRTYGLPVVVTRCSNNYGP--------YQHPEKVVPLFATNLLDGLTVPLYSDG--------GNSRDWLHVDDHCRGISLVATRGR---PGEVYHIGGGTELTNRELTKRLLGLCGAASSVRHVADRPGHDLRYALDIGKITGELGYAPRTDFTTGLADTVRWYAENRAWWE---- 78485850 ----KILVTGSAGFIGFYVTQALLKKNHDVIGIDNLNDYYDVDLKKARFIEMDIANRAQVHDFFKEHRFDRVIHLAAQAGVRYSIENPHAYVDSNLVAFVNILEGCRQQKTAHLIYASSSSVYGMNTKIPFTEDRVDFPISLYAATKKSNELMAHTYSHLYGIPTTGLRFFTVYGP----------WGRPDMAYFSFTKKILKGEKIDVFNHGNMERDFTYIDDIVEGVVRVMDHVPEITHTAAEAPYKIYNIGNNQPIKLERFIKAIEMATGKQAVKNNLPMQAGDVPRTYADVGDLMNDVGFKPETTIEDGVNAFVDWYRDFYK------- 225455511 -TGSTVLVTGAAGFIGSHVSAALRNRGDGVVGLDNFNNYYDVSLKHDRRVDGDINDQVLLKKLFSIVQFTHVMHLAAQAGVRYAMKNPASYIDSNLSGFVNLLEVCKEAKPQAIIWASSSSVYGLNSKVPFSETDRTDPASLYAATKKAGEEIAHSYNHIYGLSITGLRFFTVYGP--------WGRPDMAYFFFTKDILQGNSIRIFEGGKGGVV-----ARDFTYIDDIVKGCLAALDTAEKSTGSGVYNLGNTSPVEVGSLVSILERLLKVKARRVATPMRNGDVMYTHANISLAEKELGYKPTTDLRSGLEKFVKWYLTYYN------- 116747629 ----NILVTGAAGFIGYHLAYRLLKDGNTVIGLDNVNDYYDVNLKRNRLKRLDLHDTAGIEAMFRENAFDAVVHLAAQAGVRHSLTHPHSYVESNLTGFVNILEGCRHHRIKHLVFASSSSVYGANVVMPLSVHHNDHPLSLYAATKKANELMAHTYAHLFGVPCTGLRFFTVYGP----------WGRPDMALFLFTRAILAGEPIRIFNYGRMRRDFTYIDDIIEPSPNPQWDRESSDPATSYAPYRVYNIGNNRPVELMEYVAAIESCLGKEAQKEFLPLQPGDVPATCADVSDLERDFGFRPSTTIQEGITRFIEWYRAYY-------- 304310698 ----KILVTGAAGFIGSTLAHRLLARGDEVIGYDNINDYYDVSLKHARLDQASLEDRKALDDVFRKYQPQRVVNLAAQAGVRYSIENPQAYLDANLQGFLNILEGCRHHKVEHLVFASSSSVYGANTKMPFSVDTVDHPVSFYAATKKANELMAHTYSHLYQLPTTGLRFFTVYGP----------WGRPDMALFLFTKSILAGKPIQVFNHGHHRRDFTYIDDIIEGVDQVDWSGDSPDPATSKAPYRLYNIGSNRPVELLRYIEVLEDCLGKKAIKEMLPLQLGDVPDTYANVDALINDVGYKPGTPIEEGVRHFVDWYRSYYQ------- 221632263 ---RRILITGGAGFIGSHFVRLALAAGISVVVLDKLTYANLEEVLDDPRVEGDIADPAAVAE--AMAGCDAVVNFAAETHVDRSLLEPAAFIRTNVWGTMVLLEQALRLGVGRFLHVSTDEVYGEVLSGSVSEDEPLRPRNPYAASKAAAEHFVFAYWTSYGLPVLVTRGCNTYGP--------YQHPEKFIPLAITNLLTGRPIPLYGDGLHE--------RDWLYVEDHCRAIWTVL---VRGEPGQAYNIGAGQHRPNIAVARALVRLLGADPSIVHVADRPGHDRRYAVDWSRLRA-LGWRPMVDFEDGLARTVAWYRARTDWWSARRD 297160273 ----RILVTGGAGFIGSTYVRTLLADGYRVTVLDKLTYGHRDSLPATDFVRGDICDAALLHEVLPGH--DAVVHFAAESHVDRSLEAAADFVTTNACGTQILLDACLRARVPRVVHVSTDEVYGSIEHGSWTEEWPLAPNTPYAASKAASDLIARACWRTHGLDVSITRCSNNYGP--------YQHPEKLIPLFVTNLLEGKEVPL--------YGDGSNMREWLHVEDHCRAIHLVLNRGRA---GEIYNVGGGNEYTNAALTRRLLELCGAERMVRHVPDRKGHDLRYSLDETKIREELGYAPRVPFDTGLAATVAWYRDNPGWWKQ--- 300716979 ----KYLVTGAAGFIGFHVAERLLSAGHQVVGIDNLNDYYDVNLKLARFIKLDLADREGIAQLFRDQAFERVIHLGAQAGVRYSLDNPLAYADANLIGHLNILEGCRHNHIGHLLYASSSSVYGLNNKMPFTDDSVDHPVSLYAATKKANELMSHTYSHLYGIPTTGVRFFTVYGP--------WGRPDMALFKFTRAMLAGE--------QIDVYNNGQMRRDFTYIDDIAEAIQANPDWTVEQGPYCVYNIGNSNPVTLMAYIEALEKALGISAKKNMLPMQPGDVLETSADTRALFNAIGFKPQTGVEEGIANFVSWYRSFYK------- 135099172 ----RVLVTGAAGFIGYHVARALCGRGDEVVGTDNLNDYYEEPFNNFRFVRLDLADRDGMAGLFQVERFDRVVHLGAQAGVRYSLENPHAYVDSNLVGFMNVLEGCRHTEVGHLVYASSSSVYGANETIPFSEDHNDHPVSLYAATKRGNEAMAHSYAHLYGLPVTGLRFFTVYGP----------WGRPDMSPMLFASAITEGRPIKVFNHGKHQRDFTYIDDIVGGVVGAAWSGLDPNPATSKAPWRLYNIGNNHPVELLYFIECLEKALGKTTEKELLPMQPGDVENTYADISRLEADIDYRPDTPIEVGVDRFVDWYTDYY-------- 135212200 -EAKRIIVTGSAGFIGFSLCVKLLDRGDIVVGIDNHNDYYDPKIKDARHFKIDICDKKNLDKLFKDFKPNTVVNLAAQAGVRYSIENPLSYINSNIVGFANILENCRYNKVKHLVYASTSSVYGANTKMPFSEHDSNHPLSVYAASKKSNELMAHTYSYLYQLPTTGLRFFTVYGP----------WGRPDMALFKFTKAILENKPIDVFNYGNHTRDFTYVDDIVPSTSNNNWNSLKPDSATSKVPWCIYNIGNNRPVKLMDYITALENALDKKANINYLPLQPGDVADTFASIDRLEKKFDYKPSTSVVDGVSHFVKWYKDYYQ------- 218779540 ------LVTGGCGFIGSHISEVLAEKGEKVRILDDLSSGYADFADKVEFIKGDIRDSEAVAKAMKGV--DGVFHLAGMVSAFDSVERPLVCHDINVTGTLNILNAARDAGVKRVVFASSCAVYGNNPESPKVEAMTRAPASPYAASKAASELYMRVFAELYGVQTVCLRFFNVFGPRQDP-------------SSQYSGVISRFVNDTAEGYACIYGDGLQTRDFIFVRDVVQANLLAM-TSDKAGAGEPINVGTGVEISLLDLLDYMRELGDREFEVMFKDARAGDVRHSRANISKAQELLGFEPAYTIRNGLAE----------------- 163740038 ---KTALITGSAGFIGYHLADRLLAAGWRVIGLDCLSPYYDVRLKECRHARGKLEDPDRLMGLFATHKPDAVIHLAAQAGVRHSIDAPRDYLEANLIGTFELLEAARAHPPAHMLIASTSSAYGANTQMPFDERQQADHMSFYAATKKAGETMAHSYAHLYGLPTTMFRFFTVYGP--------WGRPDMALFKFTQAMQAGQ--------PIDVYNHGRMSRDFTYIDDLVAGITGLIDAVPGDAPFRVVNIGASRPTPLMEYIAALETALGITAQKNLMEMQPGDVPATWADTSLLNQLTGYEPQVPVEEGVARFVTWYRAYY-------- 87123083 MNSERVLVTGAAGFIGAALCQRLLERGDRVIGIDNLNSYYDPALKRARLAEVELEDADALMALFAAERPAVVVNLAAQAGVRYSLDNPAAYIQSNLVGFGTLLEGCRHHGVENLVYASSSSVYGGNRNLPFHEQQPVHPVSLYAASKKANELMAHTYSHLYGLPATGLRFFTVYGP----------WGRPDMAPMLFAKAILAGEPIKVFN------HGRMQRDFTYIDDIVEGVLRCCDKPATANPSFDFNIGNSEPIELLRFIEVMEQAFGREAIKDFQPMQPGDVVATAADTTALEAWVGFRPSTPIAEGVQRFADWYRN---------- 110596874 ----HILITGGAGFIGSHVVRHFLKCSYTVTNLDSLTYAGNDALPNYRFIKGDITDSAFLLRLFEEQRFDGVIHLAAESHVDRSIANPTEFVVTNVLGTVNLLNAAKASWQKRFYHISTDEVYGLGAEGLFTEETAYDPHSPYSASKAASDHFVRAYHDTYGLPVVISNCSNNYGS----------FQFPEKLIPLFINNIRNNKPLPVYGKGENVRDWLWVVDHAVAIDVIYHRGRSGETYNIGGHNEWTNIDLIRQLCRIMDRKLGRGAGESEKLITYVTDRAGHDLRYAIDSSKLQRELGWIPSISFEKGLELTVEWYLANQEWLDN--- 300770498 --NKTIIITGGAGFIGSHVVREFVIKDYHIVNLDALTYGNLENLKDYKFVKADITDANHIIEIFKNYQPDGVIHLAAESHVDRSISDPTAFVMTNVIGTVNLLNAAKEIWGKRFHHVSTDEVFGLGSTGLFTEDTKYDPHSPYSASKASSDHFVRAYHDTYGLPIVLTNCSNNYGPN--------HFPEKLIPLCIHN--ILNNKPLPIYGDGKYTRDWLFVIDHAKAIDLVYHQGKSGESYNVGGFNEWQNIDLVKELCKQMDEKLGKPAGTADQLITYVKDRPGHDLRYAIDATKINQELGYQPSVTFEEGLSVTIDWFLNNQDWLDN--- 83944569 MKPRKVLITGTAGFIGFHLAKLLLAEGFIVHGFDGMTDYYDVALKQRRHQEGMLEDQALFDRVADEFAPDVIVHLAAQAGVRYSLENPRAYLDSNVIGTFNVMEAARRLKVKHLMMASTSSVYGANEEMPFIETEKADTLTIYAATKKATESMGHSYAHIHDLPTTMFRFFTVYGP--------WGRPDLALYKFVDAMLDGR--------PIDIYNNGDMYRDFTYVDDLVRAIRLLIDAVPERPEGGVVNIGNSDKVRLLDFVDAIEDCLGQKAQRNYMGMQTGDVPATWANAELLKTLTGYRPQTDFRDGIARFVEWYREY--------- 135170479 ----KLCVTGGAGYIGGHTVHALIDAGHDVVVFDNFSSGRADVVPDGVPVVGSVTDAGALDEALSG-GVDGVIHFAGFKHAGVSVDKPLLTYEENVVGMMRLVEAMERNGVGSIVFSSSSAVYGDVSEPVLFEDAPKRPASPYGESKLIGEWILRNQGIATGIKHCSLRYFNVVGSAVPGIYDTSPYS----LLSMVFTALHNGETPRMFGTDYDTPDGTCIRDFVHVGPLARAHIDVAEKLVSGDALEPYNLGSGNGSSVKQVIDEVRRVTGIDFTPQADPRRPGDPQKVVASGDLAARDFGWKMEQSVAEMVSSAWREYQA---------- 135994528 --KKTILITGVAGFIGFHICKRLIENGEDVIGIDNLNTYYDQNLKKWIFKKGDIEDETFLAKIFISYKPNVVLHLAAQAGVRNSIDNPKVYIKSNIMGFGNVLECCREHKIENLIYASSSSVYGGNVNIPYSEDEVNHPVSLYAATKKSTEILAHSYSHLYQLPTTGLRFFTVYGP----------WGRPDMAPMIFTDALFKNKPLKVFNYGEMARDFTFIDDIVEVLVKLDFNKKDPRAYSSWSPFRIFNIGNSESIKLLDFINHLEIEIGLKSKKQFLEMQQGDVKATSANTNLIEEWIGFKPKTPIKDGIKKFIKWYKIYYE------- 119357788 ----HILVTGGAGFIGSHVVRHFV-TGYTITNLDSLTYAGNRHLSNYRFVKGDITDAALMNSLFEEQRFDGVIHLAAESHVDRSIASPAEFAVTNVLGTVHLLNAARQSWAKRFYHISTDEVYGLGGEGMFTEETPYDPHSPYSASKASSDHFVRAYNDTYGLPVVISNCSNNYGP--------YQFPEKLIPLFINNIRNGKPLP--------VYGKGENIRDWLWVEDHAKAIDVI---YHGGKDGQTYNIGGNNEWKNIDLVRQLCAIMDSKLNITYVTDRAGHDLRYAIDSSKLQRELGWAPSIQFEEGLERTVDWYLANQHWLDQ--- 260174461 ------LVTGAAGFIGSNLCEAILEMGYRVRALDDLSTGNIDMFFDNPRYEFIKGDIKELDTCMACENVDYILNQAAWGSVPRSIEMPLFYSLNNIQGTLNMLEAARQNGVKKFVYASSSSVYGDEPNLPKKEGVEGNLLSPYAVTKCCDEEWAKQYTRHYGLDTYGMRYFNVFGRRQD------PDGAYAAVIPKFLKLLINGQKCRINGDG------KQSRDFTYIENVIEANLKACLAPSSAA-GQAFNIAYGGREYLIDIYYGLTKALGVDIEPEFGPDRVGDIKHSHADISKAKELLGYEPNWSFERGIEAAIQWYKEN--------- 292670398 ------LVTGGAGFIGSNLCEAILSMGHRVRVLDNLSSGYVKNIEGFRFVEGDIRDFRTCDRVCR--DVDYVLHHAADVSVPESIEKPLEYTITNIMGTVNMMEAAAKNGVKKFTYASSAAVYGDDETMLKREEIIGKRLSTYAVTKFAAEEYAHQYTMYYGLDCYGMRYFNVYGRRQD------PNGAYAAVIPKFIECLLRDEPPTINGD------GEQSRDFVYVEDVVQANLLAC-AAPHEVAGEAYNVASGKSSSLNEMYAVISDLLGKDLKPVFGPERKGDIRHSGADISKISKNLGYAPEYDFERG-------------------- 142218517 ----NVLVTGGAGYIGSHVVRLLSESGNYVISVDDLSTGIGDRL---DCESVDLTDPTSIKDLMVEKNIEVVIHFAARKQVGESVEKPEFYFQSNIGGLANLLTAMHQSDVKNLVFSSSAAVYGMPDVEKVTELDKTDPINPYGQTKLVGEWMIKNASVW-GLSAVSLRYFNVAGAG----WPELADKQALNLIPIILDRIERGESVLVFGDNYPTEDGSCIRDYIHVLDLARAHIDAIDQLGEPG-NQIYNVGTGEGSSVFEVIAEIKKVSGIDFEVEIAGPRAGDPPKLVASNEKISKECGWKAEFGLSEIVNSAWQ-------------- 224535677 ---QRVLVTGGAGFIGSNLCEYLLAHDYNVTCLDNFSTGKIENLLPLLNEYPDVGDIRCLSDCKAIEGAEYVLHEAALGSVPRSIKDPGTTNAVNIDGFLNMLIAARDAGVKRFMYAASSSTYGDSKSLPKVEDVIGRPLSPYAITKYVNELYADVFARTYNMECIGLRYFNVFGRRQDP-----FGAYAAVIPLFVKKLIAHESP-------VINGDGEYSRDFTYIDNVVQMNMLAMTTTNPEAVNQVYNTAFGERTTLNQLVDYLKEFLSANIEVTHGPNRLGDIPHSLACIDKAKKLLHYNPKYSMRDGLKEAVKWYWEN--------- 183597200 ----KYLVTGSAGFIGFRLCQRLLDSGHEVVGIDNMNAYYDEQYPHFRFIPLDITDREKVVVLCTQEDFDRVIHLAAQAGVRYSLQNPFAYADSNLSGHLAILEGCRQANVKHLVYASSSSVYGMSEQTPFSTDMPTHPVSLYAATKKANELMAHSYSHLYQLPTTGLRFFTVYGP----------WGRPDMALFKFTKAILAGEPIDVYNNGNLSRDFTFIDDIVEGVIRISDIIPQADPEQSRAPYRLYNIGNGQPVKLTDFITALEKSLGKKAIKNFLPMQAGDVYTTWADTEDLFKVTGYRPQVSIEQGVQAFVDWYQSYY-------- 84617317 ----KLLVTGGAGFIGSHYVRQLLAGGYRITVLDVLSYAGRRDNLRMDFVQGDICDIPLLLDLLPGH--DAVVHFAAESHVDRSVRSAAEFVRTNVAGTQALLEASVRRGISRFVHVSTDEVYGSTDEGSWTEDAPLLPNSPYAASKASADLITRAYWRTHGLDVSITRCSNNYGP----------YQFPEKLIPLFVTNLMEGKPVPLYGDGY------NVREWLHVADHCRAVQAVL---TSGGAGEIYNIGGGTGHTNLELTERLLELCGSDRMIRFVADRKGHDLRYALDDSKIREQLGYSPRVSFDRGLAETVAWYRDNPRAADGEHD 16357461 ----KVLVTGGAGFIGSNFVNYMVHKNYTFVNVDALTYAGNENAPNYTFVKADITDREKMEKLVAD-GVDVIVNFAAESHVDRSITEPDTFVKTNIMGTQILLDIARKCNINKYVQISTDEVYGTGETGYFTEETPLAPNSPYSASKASADLLVRAYHETYGLPVNITRCSNNYGP--------YHFPEKLIPLMIINALHDKELP--------VYGDGLNIRDWLYVEDHCAAIDLVIHK---GKDGEVYNVGGNNERTNIEIVKRILKELGKENLIRFVKDRPGHDRRYAIDATKLKTELGWQPKYDFETGIVKTIQWYLDNRDWWER--- 187251271 MAQKNILVTGGAGFIGSNFIPYFAAADYNVINLDKLTYAGDLSNLNYTFVEGDICDRALIEDLFKKHDIKGVIHFAAESHVDNSITGPLPFIKTNIEGTFTLLDAAKNYWMCRFHHISTDEVYGTGETGLFEETTPYAPNSPYSASKASSDFIVRAYHHTYGLDVTTSNCSNNYGP--------KQHKEKLIPTIIRKALAGQSIP--------IYGDGKNVRDWLYVLD----HCKGIDLVFHKGKGETYNIGGRNERTNLEIVDIICAVLDQKKPITFVKDRPGHDKRYAIDATKFETELGWKADETFDTGIIKTINWYLE---------- 283841296 MTEQAVLVTGAAGFIGYHVARELLEAGRSVVGLDSLNHYYDPALKQARFVRGDLADRSATAELFATRRFPVVIHLAAQAGVRHSLSHPHDYADSNLEGFLNVLEGCRHHGCEHLIYASSSSVYGANTKLPFSVDDPTHPISLYAATKKANELMAHCYSHLYRLPTTGLRFFTIYGP--------WYRPDMALYLFAKAITEGRPIKLFNHGQ--------MRRDFTYVDDVTRVVTRLIDLVPTGRDGHVYNVGNHSPEELMHVVALLERALGRPAVREMLPMQPGDVPATFADVEALVRDVGFRPSTPIEDGIGAFVAWFRRYHQ------- 27381031 MMDQAILVTGAAGFIGFHVARQLLAEGRPVIGLDNLNSYYDPALKQARLEKADLADRETIAALFGQHAFAKVVHLAAQAGVRYSIEHPQAYADSNLLGFLNVLEGCRNNGCRHLVYASSSSVYGANTKLPFVQDRTDHPVSFYAATKKANEVMAQSYSHLYRLPVTALRFFTIYGP----------WGRPDMAMFLFVNAIMAGTPIRLFNHGRMRRDFTYIDDVTRVVSKLIDRVPADDPAAANAPSKVYNVGNHRPEELMHVVGLLEQELGRTAIKELLPMQPGDVLETFADVEDLMRDTGFAPSTPIEHGVRNFVTWYRDYFK------- 308748174 ----KFLVTGAAGFIGYHVCDRLLKAGHQVVGIDNLNDYYDVSLKQARFHKIDLADRVAMPALFAEEKFDRVIHLAAQAGVRYSLENPHVYADANLIGHLNVLEGCRHNKVQHLLYASSSSVYGLNRKMPFSTDDPDHPVSLYAATKKANELMAHTYSHLYNLPTTGLRFFTVYGP--------WGRPDMALFKFTKAMLEGK--------SIDVYNYGKMKRDFTYVDDIVEAIIRMQDVIPQPAPYHVYNIGNSAPVELMDYITALEEALGIEAKKNMMPLQAGDVLETSADTKPLFEVCGFKPQTSVKDGVKNFVDWYRGYYN------- 254469514 ----------------------LHDAKEDFVVIDNLSTGFDWAIPQAKLYEGEITDLDLLQTIFRNHDITAVIHFAGSIIVPESVSNPLKYYNNNTAASRTLIEACVKNGIKHFIFSSTAAVYGDPEVIPVSEDAPLTPLSPYGTSKLMTELMLRDTASAHDFKYTALRYFNVAGADPEGRTGQSTKNATHLIKVACEAALGKRTHLGIFGDDFDTPDGTGVRDYIHVSDLASAHYLALKRLRDGGDSIVMNAGYGDGYSVLQVIDAVKAVSDVNFEVKKEPRRAGDSPKVVADNSRIMSNLDWKPQFDLPTIVKHALDWEKK---------- 189500843 ---KNILVTGGAGFIGSHVVRRFVNAEYRITNLDALTYAGNEDKPNYRFVKADITDASAMNELFEAEAFDGVIHLAAESHVDRSISNPSAFVMTNVIGTVNLLNAARAAWNGTFYHISTDEVYGSHGKEMFTEETPYDPHSPYSASKASSDHFVRAYYDTYGLPAVISNCSNNYGP----------YQFPEKLIPLFINNIRNRKPLPVYGKG------ENVRDWLWVVDHAEAIDVIFHK---GKPGETYDIGGNNEWKNIDLVHLLCSIMDRKELITYVTDRAGHDLRYAIDSSKLQRELGWSPSIQFKEGLEKTVDWYLENAEWVER--- 159026485 ---RSIVITGGAGFIGSNFVHHWCENEDRVIVLDALTYAGNLHNLNFRFLQGDICDRALVDQLFAGENIDTVAHFAAESHVDRSILGPGAFVQTNVVGTFTLLESFRQHWNYRFLHVSTDEVYGGLDDPAFTETTPYAPNSPYSASKAGSDHLARAYFHTYGMPTIITNCSNNYGS--------YHFPEKLIPLMCINILLGKPLP--------VYGDGQNVRDWLYVQD----HCQALDTVIHKGKGETYNIGGNNEVKNIDLVRMLCDLMDAQNLITFVRDRPGHDRRYAIDATKIRTELGWQPEETVEGGLRKTIQWYLDHRDWLSKEYQ 307817695 ---QKVLITGAAGFIGSHLVDALVQRGDEVRGLDNLVTGQRSNLKEIDFYQIDLNDAEGLREAVAGV--DTIFHEAALPSVPRSVQDPRTSHIANVEGTFNLLEAARAAGVRRIVYAASSSAYGDQPGFPRVETMRPEPISPYAVQKLTAELYLQSYFRVYGMETVCLRYFNIFGPRQAA-----DSPYSGVMAKWITTLLEG-------GTPRINGDGEQGRDFTYVANVVHANLLAAETPKDAIAGRVFNIACGERHTLNATYALMARLLNSSTKPEHGPERAGDVKDSLASIEASREAFGYSVVVDFEEGLRRTVEWYQQQYK------- 254410621 -EPRRILITGGAGFIGSNFVHHWCDRDDRVVVLDLLSYAGNRRNLESRFVAGDICDRTLVDALLRSETIDTVAHFAAESHVDRSILGPEAFVRTNVLGTSTLLEAFRQHWKDRFLHVSTDEVYGSPNDPLFSETTPYQPNSPYAASKAGSDHIVRAYHHTYGVPTLITNCSNNYGP--------YQFPEKLIPLMCINIMLGQPLPVYGDGQHV--------RDWLYVND----HCQAIDLVLHQGPGETYNIGGNNQIKNLDLVQMVCQLMDSKKLISFVKDRPGHDRRYGIDARKIKAKLGWTPQETIEGGLRSTVEWYLTHRDWWE---- 182415802 ----NLLVTGGCGFIGSNFVRRLFEPGQRLVNLDALTYAGNPANLRYVFAQGDIGDATLVARLLDEHAIDAVVNFAAESHVDRSIDSPEPFVQTNVVGTLRLLNAARLYWAFRFLHVSTDEVYGTPSDPAFTEETPYAPNSPYAASKAASDHLVRAYQHTYGLPTLTTNCSNNYGP--------YHFPEKLIPLVILNALEGKPLP--------VYGDGLQVRDWLYVEDHAAAIWLVLQRGR---VGETYNIGGLNEQPNIEIVRAICRLLDRKSQITHVTDRPGHDRRYAIDCTKLQCELGWAPRESFATGIEKTVDWYLARRDWAAD--- 294506530 ----HILVTGGAGFIGGHVCRRLVNEGHIVSAIDNFDPYYDRSIKEFHFHEGDINNTGFLLSIKDGHSIDAIVHLAAKAGVRASIENPVGCAHFNITGTQSMLEFAREMEVDTFLFGSSSSVYGNNEKVPFEEDAVHNPISPYAASKRSGELIAHTYHHLYDMTVHCLRFFTVYGPR--------QRPDLAIHKFARQLLMGDPIT--------MYGDGTSSRDYTYIDDIVDGVTSSLHHALEEPEYEIINLGGSETTKLRELIEGIGRAMGIEPEIKQLPMPAGDVERTYADISKAGELLDYSPDTPIDEGLEKFAEWAKAYYE------- 90407559 ----KYLVTGAAGFIASAVVERLTALGHEVIGIDNLNDYYDVRLKYARLERIDLADRIALPELFKTQKFERVIHLGAQAGVRYSIENPFAYADSNLIGHLNILEGCRHYKIKHLIYASSSSVYGLNNEIPFTEKGADHPVSFYAATKKANELMAHSYSHLYQLPTTGLRFFTVYGP--------WGRPDMALFKFTEKIINGDEIEVYNHG--------DMWRDFTYIDDIVEGIIRIQDKAPTQAPYAIYNIGNGEPVRLLEFIEALERALKMKAHKKFMPMQAGDVYQTFSDSQALFDVLGYKPNTSVEKGIAEFVRWYQSFY-------- 251798141 ----KALVTGGAGFIGSHLTDALVQSGAVVHVIDNLSTGFIHNVPEAVLHELDINSDEAL-QIIKQVKPDIVFHMAAQVDVQCSVADPAFDSLVNIVGTIRLMMACRQAEVGKLVFSSTSAVYGDANKERNSEDAVTAPISYYGLSKLTGENYIRLFHKMYGLPYTILRYSNVYGPRQNASGEGGVVSIFM-------------NKLKQGHPLHVNGSGNQTRDFIYVQDVVQANL----AAIHHGDQETVNISTGLRTSINNLIHMVKLIHGQNVDIAYGPERPGDIMDSCLDNTKANQLLGWRPASSLFEGLSQTYQ-------------- 296166697 ----RALVTGAAGFIGSTLVDRLLGDGHTVVGLDNFATGRATNLEHHVFVEADIV-TADLQAILDEHRPEVVFHLAAQIDVRHSVADPQFDASVNVVGTVRLAEAARLAGVRKIVHTSSGSIYGTPPHYPTSEDAPTDPASPYAAGKVAGEIYLNTFRHLYGMDCSHIAPANVYGPRQDP-------HGEAGVVAIFAQALLSGKPTKVFGDGGKTRDYVFV---------DDVVDAFVKAAGDAGGGQRFNIGTGIETSDRQLHSAVAAAVGGPDDPEFHPDRLGDLRRSCLDIGLAERVLGWRPRVALDEGVRRTVEYFRQ---------- 20093250 ----KVLITGGAGFIGSHIAEYFAEAGHSVRILDNLTTGFSRNIPQHRNVQGDICDPSSVEKAVSGM--DCVFHEAALVSVPLSCEKPVEAFRINTLGTLNVLQACVRAGVEKFVTASSAAVYGNNPELPKRENMYPEPASPYAISKLDGEYLARMFYEEHGLRTTCLRYFNVYGPRQDP------------KSPYAAVIPIFLERAKAGKDLVIYGDGLQSRDFVHVKDVVMANVAALEH----GDGQVFNVAMGKSVTVLELAENIIELTGSSSQIIHAESRAGDVRDSRADVSKISGW--WKGEIELGQGLKSLI--------------- 148976918 ----KYLVTGAAGFIGSAVIDKLITQGHQVVGVDNLNDYYDVALKEARLVRLDIADRDGVTSLFEAEHFDQVIHLAAQAGVRYSIENPHAYADSNLIGHLNILEGCRHNKVKHLVYASSSSVYGLNTKTPFTSDSVDHPISLYAATKKSNELMAHSYSHLYDIPTTGLRFFTVYGS----------WGRPDMAPFIFTKKILDGDTIDINNNGDMWRDFTHVNDIVEGVVRIADVVPTRDNEWTSSPYAVYNIGHGSPINLMDFVKAIEDELGMEAKKNFREMQSGDVYQTYADTQDLFTATGYTPKVSVKEGVAEFIQWYREFYN------- 90425660 MKN-TVIITGGAGFIGSALCRHVVSSTDKVVCIDKLTYAGTEGNPRFVFEQLDICDAAAMAGVFATHQPRGVIHLAAESHVDRSIASAAPFITTNINGTFVLLEAARAYHGFKFHHVSTDEVYGLGETGVFKEDTPYSPNSPYSASKAASDHLVNAWLHTYGLPTVTSNCSNNYGP---------HQFPEKLFPVMILNGLEGRP-------LPVYGQGANVRDWLFVEDHARALWTVFDK---AAVGEKYMIGGHSERRNIDVVHGICDLLSYRSLISFVTDRAGHDFRYSVDCSKIERELGWKPQHSFEDGLRRTVQWYIDNAWWLRQKH- 209883706 -----IIVTGGAGFIGSNFIRCWIANEPTVINLDKLTYGNLENLSDLPGERGDICDSALVKGLLARRRPRAIIHFAAESHVDRSIHGPDAFITTNVVGTSCLLECTRDYWAFRFIHVSTDEVYGMPEEPAFTEQHPFRPNSPYAASKAASDHIARAWHHTYGLPVITTNCSNNYGP--------YQFPEKLIPLMIHNALAGKPLP--------VYGDGLQVRDWLHVEDHCDAIRSTL---ATGRLGETYNIGGNSEKPNIAVVQTICDLLDAERQIAFVTDRPGHDRRYAIDSTKIQSELGWTPRETFESGLRKTVRWYLANQVW------ 300730983 ------LITGIAGFIGSHLAHALVRRGDSVRGLDNLSTGSSDIIPGIDFRQADLRDAAAVEE--ACQGIDYILHQGALPSVPRSVKDPRTSHESNIDGTFNLLEGARAAGVKRVVYAASSSAYGNQPGFPRRETMVPQPIAPYPVQKLTGELYMRSYWQVYGLETVCLRYFNIFGPGQTP-----DSPYSGVMARFILQMMRGEQP-------VINGDGEQGRDFTYVDNAVEANLKALTAPAEKVAGRVFNVATGERHTLNETYSILAGLLGHEAPPQYGPERSGDIRDSLADISAAQEAFAYAPQVGFEEGLRRTVAWY------------ 297243786 ----TVLVTGGCGYIGAHVVHALHETNQNVVVVDDLSYGKPTRIEGARLYGMDISSPDALAQIMKDENVDAVIHFAARKQVGESVEKPLWYYQQNINGMLNVLEGMKDSGVKKLVFSSSAATYGVPPVEVVPEDVVPMPINPYGQTKLFGEWMARACEHTYGIRFCALRYFNVAGCGP----VELEDPAILNLIPMLLDRLQRGKAPAIFGDDYPTADGTCIRDYIHVSDLADAHIAALTYDRDERKYDAFNVGTGKGTSVREIVDEVRRVTGLPFKETVLDRRAGDPPQLIGSTKRINEEMGWHARYDVKDIVESAWAAWQANPE------- 117618758 ----HYLVTGAAGFIGSAVSQRLCQQGHTVIGIDNLNDYYEVSLKESRFRKIDLADREGMAKLFTHERFDRVIHLAAQAGVRYSLENPFAYADSNLIGHLAILEGCRHTGVKHLVYASSSSVYGMNGKMPFTTDAVDHPISLYAASKKANELMAHTYSHLYGIPTTGLRFFTVYGP----------WGRPDMALFKFTKAMLAGQPIDVYNNGQLSRDFTYIDDIVEPVANPDWQSEKGSPADSSAPYRIFNIGNGSPVKLMSFIDALEKALGIEAIKNMMPMQAGDVYATWADTDDLFKATGYRPAMSVEQGVQAFVDWYKNYYK------- 23100338 -ENKVYLITGAAGFIGHFLSRRLLDQGFNVIGLDNVNDYYDVNLKETRFIKGDISDKELVLSIFEEYKPSIVVNLAAQAGVRYSIENPDVYMQSNVIGFYNILEACRHHLVDHLIYASSSSVYGANKKVPFEETDFDNPVSLYASTKKSNELMAHTYSHLYGIPATGLRFFTVYGP----------MGRPDMAYFGFTNKLFHGEPIQIFNNGDF--ENDLYRDFTYIDDIVEGIERLIPHPVGEVQHKVYNIGNSNPEKLMVFIETLEKCLKVEFEKHFEPIKPGDVPATYASTKQLYDAVGFRPQTSIEEGLQQFTDWYVEYY-------- 304407808 ----KILVTGGAGFIGSNFVLYMLGKYADVTIVDALTYAGNENNANYRFVKADIADRAALEPLFA-EGIDVVANFAAESHVDRSILHPDIFVRTNILGTQTLLDLAKQYGVSKFVQVSTDEVYGTGETGLFTEETPLAPNSPYSASKAGADLLVRAYHETFGLSVNITRCSNNYGP----------YQFPEKLIPLMIQNALDDKPLPVYGDGL------NVRDWLYVEDHCSAIDLVIRGGRN---GEVYNVGGRNERTNVQVVGTILAELGKPESITYVKDRLGHDRRYAIDADKIRNELGWSPKHDYESGIRETIRWYLSNRDWMN---- 302382250 ----TVVVTGAAGFIGMHVAERLLNEGQAVIGIDSFNAYYDPALKRFRMIEADIADPALMSAIVRDHGIRQVIHLAAQAGVRYSIDNPFAYERSNLAGHLSILEACRHGGVEHLVYASSSSVYGDDGRGFREDDPAVSPVSLYAATKRSCELLSHSYASLYGFPQSGLRFFTVYGP----------------MGRPDMAYFGFTEKIMRGDPIEVYGEGRMARDFTYVDDIVDGILGVLVNPPTAGGHEIYNIGDSRPVGLMEMIDLLEKALGREAIKIMRPMQPGDVTATYADISKLHALTGYQPKVELADGLPRFVDWWRTW--------- 238810117 ----TYLLIGGAGYIGSHVAEVINKENNKVIIYDNLSTGFKEFIEEKKFIHGDILDIKTLDLTFKKNKIDVVIYLAGLIKVGESVQKPLDYYETNIQGLVNVLKTMKKHNVNNLVFSSSAAVYGNNHDGYFFEEDPKEPCSPYGRTKYFGEQIIKDFANTNDFKYTFLRYFNVAGASKSGYLTKNGVAPTHLIPAISYYAFGLIPEFKIFGNDYNTPDGTCIRDYVYVYELAELHLLTAKKMIKEKTSLYYNIGSSKGFSNLEVVNAFEKNLSKKLNIEYGLRRAGDPDKLIASNKKICKELNYEVKTNLDEIISSEIEFRKEH--------- 89899467 ----KILLTGAAGFIGMTTALRLLARGDEVLGLDNLNDYYDVTLKENRFVKMDVADRQGMAQLFADEKFDRVIHLAAQAGVRYSLQNPHAYIDSNIVGFMNVLEACRHTQVQHLVYASSSSVYGGNTNMPFSEHDVDHPVSLYAATKKANELMAHTYSHLYGLPTTGLRFFTVYGP----------WGRPDMALFLFTKAILEGRPIDVFNYGQMQRDFTFVDDIVEGVDRVACPNPRADPATSNAPYRVFNIGNNKPVPLLDFIACIEEALGRKAEKNLLPLQDGDVPATYANTDALNDWVGFVPGTPIEQGIARFVAWYRDYYQ------- 270296429 ---QTVLVTGGAGFIGSNLCEHLLAHDYHVVCLDNFATGKIENLLTFRLIVGDIRNISDCQKAVEGV--DYVLHEAALGSVPRSIKDPLTTNEVNIGGFLNMLVAARDAGVKRFMYAASSSTYGDSKSLPKVEDVIGKPLSPYAITKYVDELYADVFARTYGMECIGLRYFNVFGRRQD------PFGAYAAVIPLFVKKLMAHESPIINGD------GEYSRDFTYIDNVIQMNMLAMTTTNPEAVNQVYNTAYGERTTLNQLVDYLKEFLSANIEILHGPNRLGDIPHSLACIDKAKKLLGYNPKFNMREGLKGAVLWYWNN--------- 167648780 -----VIVTGGAGFIGMHVAERLLERGETVIGVDLFNDYYDEDRPGFSMVRMDIADHVAFAELVRTVGAKRIVHLAAQAGVRYSIDNPFAYQHSNLAGHLSVLEAARHNQVSHLVYASSSSVYGDRDGAGFKETDPVDPVSLYAATKRANELMSISYAKLYGFPMSGLRFFTVYGP----------WGRPDMAYYGFTQKILGGEPIEVYGEGRM------ARDFTYIDDIVDGVVGVLDNPPPPAGHEIYNIGDNDPIGLMEMITTLETALGRQATKILRPMQPGDVTATFADISKLNALTGYKPRVGLAQGLERFAAWWRDF--------- 258517207 ----KILVTGGAGFIGSNFIKYMLDEEYKIINLDLLTYAGNENHPNYTFVLGDICDAILVNQLVS-EGVKYVINFAAESHVDRSIEDPGAFVRTNVMGTQVLLDAVKRNQINKFVQISTDEVYGLGETGYFTEDTPLAPNSPYSASKAGADTLVRAYHETFNLPVNITRCSNNYGP--------YQFPEKLILLMIANAQEDKELP--------VYGDGLNVRDWLHVKD----HCCAIDVVLHKGKGEVYNIGGNNEKKNIEIVRIIESLNKPESLIKFVKDRPGHDRRYAIDATKIKMEFGWVPSYSFEKGIKDTIKWYLDNKDWMGK--- 294085508 ----KILVTGAAGFIGMHSSLRLLARGDQVIGVDNLNDYYDVSLKQARFHQISVEDKDAMESLFATQKPDRVIHLAAQAGVRYSLTNPHAYIDANLQGFINILEGCRHNDVVHLAYASSSSVYGGNVAMPFSEHHNIHPVSLYAATKKANELMAHTYSHLYDLPTTGLRFFTVYGP----------WGRPDMALFLFTKAMMEGREIDVFNNGEMVRDFTYIDDIVEGVIRVDYDAHNPDPATAAAPYRVFNIGNGNPTPLMDYIGALESALGIEAKKNFMPMQPGDVPATSADTTELGKWVGFQPDTAVRDGVQYFVEWYLGYY-------- 85714999 MSDYPILVTGAAGFIGFHLTDRLLKQGRRVVGIDSLNDYYDPALKDGRLEKADLADREAAAALFAEHRLSVVLHLAAQAGVRYSLQNPGAYIDSNLTAFANVLEGCRHACCPHLLFASSSSVYGANTKLPFSHDNVDHPISLYAATKKSNELMAHAYSHLYRVPTTGLRFFTVYGP--------WYRPDMALYKFADAIVGGR--PIKLFNHGNMQRDFTYVDDVVEPRANANWSGDAPDAGTSSAPWRIYNIGNNKPAELMSVVSLLEKAFGRSVQKELLPMQPGDVQTTFADIDDLIRDVGFRPSTSLEDGIHRFAAWYCRYHR------- 148643369 ----KILITGGAGFIGSNFVKYMVDKDYEFTNLDALTYGNLENLKDYTFVKGDIGDKEVVNNLVK--DSDYVINFAAESHVDRSISDPEIFIKSNVLGTQVLLNAAKEYGVEKYVQISTDEVYGTGETGYFTETTPLQPNSPYSASKASADLVVRAYYETFNLPVNITRCSNNYGP--------YQFPEKLIPLMISNALEDKKLP--------IYGDGKNIRDWLHVYD----HCTAIDLVLHDGKGEVYNIGGHNERQNIQIVKLILEALGKDESIEFVDDRLGHDRRYAIDSTKIRENLGWEPKYTFETGIKETIQWYLDNQDWMDQ--- 226310143 ----KVLITGGYGFIGSFVAERFYKEGYKVFILDNLSSGNQRNVTFHKAYELDVADK-KCDEVFKSNKFDVVIHLAAQVSVAASMEDPLLDTNTNILGLVNMLKLSSKYGVSKFIFASSAAVYGMNECTPLLEDSGCDPVSVYGINKHIGEMYCRKWTEMYGLQTVAFRLANVYGPRQSA-------GGEGGVISTFLTQINHGKEIVLHGD------GSQTRDFIYVEDVADAIF----RSVTTDDTGVMNLSTNQESSINELID-ILGANQPLQGISRREKRPGDVDKSVLDNTWAKRRLDWIPMYSLAEGLEKTAQWYQE---------- 218134414 ----KIIVTGGAGFIGGNFVHYMLKEHYQIICLDKLTYAGNMETLEPVMVKADIADRDAVYALFEKEKPDVVVNFAAESHVDRSITDPGIFLQTNIIGTGVLLDACRIYGIKRYHQVSTDEVYGDRPDLFFTEETPLHTSSPYSASKASADLLVMAYHRTYGLPTTISRCSNNYGP--------YHFPEKLIPLMIANALNDKPLP--------VYGKGENVRDWLYVEDHCRAIDMIIHKGR---VGEVYNIGGHNERTNLQVVKTVLKELGKEDLITYVTDRPGHDRRYAIDPAKIHAELGWEPLTLFDEGIKKTVKWYLENREWWE---- 237809594 ----KYLVTGATGFIGSNVVQRLCNAGHDVIGIDNLNDYYEVSLKEARFIKLDLADRAGIAELFAKEKFQRVIHLAAQAGVRYSLDNPMAYADSNMIGHLTILEGCRHNSVEHLVYASSSSVYGLNSKLPFTADSVDHPVSLYAATKKANELMAHTYSHLYGIPTTGLRFFTVYGP--------WGRPDMALFKFTKAILAGKA--------IDVYNNGNLSRDFTYIDDIVEGIIRIADVVPKAQEGRVYNIGNGSPVKLLDFIEALETSLGMVAEKNMLPMQPGDVHATWAETEDFFAATGYRPQVGVQEGVARFVEWYKSYYR------- 187734722 ----KVIVTGGAGFIGSNLVRLLLERGHEVLNIDALTYAGNIHSLDGSADHADVRDASLMRRTLREYAPDWVMHLAAESHVDRSIDDPGNFMTTNVMGTFSMLQAALEYYRFRFHHISTDEVYGLGKEGLFTESTPYRPHSPYSASKASSDHLVRAWHDTYGLPVLITNCSNNYGP--------YQFPEKLIPVVILKALGGEPIP--------VYGKGENVRDWLYVGDHCEAL---LTVVSCGKPGETYNIGGNNEKTNLELVELLCSHLDYREQISFVQDRPGHDLRYAIDASKIRRELGWTPRQDHSSGFRKTIQWYLDHEEW------ 147678913 --GKRYLVTGGAGFIGSNFIIYLLTKNCIVINLDKLTYAGCLENLRYQFYKGDIADKELVGKILAG-GIDVIVNFAAESHVDRSIHDPGIFVKTNVLGTQVLLDGALKFGIKKFVQISTDEVYGLGASGYFREDMPLCPNSPYSASKAAADLLVHAYRHTFGLNVNITRCSNNYGP--------YQFPEKLIPLMITNALEDLPLP--------VYGDGLNVRDWLHVKDHCAAIDCVIE---NGRPGEVYNIGGNNEKTNLEIVKTILRILGKENLIKFVKDRPGHDRRYAIDAGKIMKELGWMPEYSFEQGIQSTIEWYIKNEAWWKR--- 255321117 ----KFLVTGGAGFIGSAVVRHIVQNTHEVLNVDKLTYGNLESLPRYQFSQTDICDRTALDELFKSFQPDVVMHLAAESHVDRSISGPYAFIETNIIGTYQMLEASRTYWTFRFHHISTDEVYGDLEGTLFTETTSYSPSSPYSASKASSDHLVRAWNRTYGLPVLVTNCSNNYGP--------FHFPEKLIPLMILNALQAKPLP--------VYGNGQQIRDWLFVEDHARALFTVATQGV---VGETYNIGGHNEKANIEVVHAICTLLEYKDLITYVKDRPGHDVRYAIDATKIKNDLGWVPQESFETGLRKTVEWYLSNTEWVA---- 71662415 ----RVLVCGGAGYIGTHFVRALLRRTHSVVILDSLEATHGRSAHIDTEENGDVRDEAFLNDVFTRHAPDAVVHMCAFIVVPESMRDPLKYYDNNVIGIIRLLQVMRAHHCDKIIFSSTAALFGNPAMEPIHPDAKKHPESPYGETKLVAEWILKDCAYAYGIKSICLRYFNACGADEDGDIGEDHEPETHLIPLILRVPLATEINKRIFGTDYPTPDGTCVRDYIHVYDLSTAHILALDYLAGLDPNEKFNLGTSRGYSVREVIEAARRVTGHPIPVKESARREGDPPVLVASGEEAKKALGWELKYDIDKIIASAWKFHRSHPLGYSS--- 182679576 -----IIVTGAAGFIGMHVAERLLDRGEAVVGIDIFNSYYDPTLKAARMVRMDIADHESFLALVKRSGVRRIVHLAAQAGVRYSIDNPFAYEHSNLAGHLSVLEACRHAKIEHLVYASSSSVYGDRPLTAFKETDPVDPVSLYAATKRSCELISQSYARLYGFPQSGLRFFTVYGP----------------WGRPDMAYYGFTEKILAGHPIEVYGDGKMSRDFTFIDDIVDGIIGILDCPPLMGDHEIYNIGDSQPVSLMDMIATLELALGREAVKIFRPMQPGDVTATYADVSKLYSLIGYQPKVNLATGLQRFVHWRLSF--------- 256833479 ----TILVTGGAGYIGAHVVRLLQQQGDQVVVVDNLSTGRRDRVGDAPIIEVDIATDAAVDDAMREHAVTAVIHFAAQKQVGESVARPAFYYHQNVGGMSNVIAAMERAQVRDLVFSSSAATYGMPDVPVVSETVDPRPINPYGETKLVGEWLGRAASTAWGMRFAALRYFNVAGAGWD----DLGDPAVLNLVPMVLDRIAKGQNPLIFGDDYDTPDGTCVRDYIHVLDLAQAHIDTLAYTKDQRDFDVFNVGTGTGSSVREVIDGLARVSGLNITPEIFERRAGDPPHLVGSPERINSALKWTASHNLDDILASAWAWQ------------ 303250974 ----KILITGGAGFIGSAVIRYIIQHTQDVVNVDKLTYAGNLASLRYHFEQADICDSTRISQIFCKYQPDVVMHLAAESHVDRSIDGPAAFMQTNIIGTYTLLEASRQYWTFRFQHISTDEVYGDDSNELFSGNTAYSPSSPYSASKAASDHLVRAWFRTYGLPTLVTNCSNNYGP--------FQFPEKLIPLMILNAISGKPLP--------IYGNGLQIRDWLFVEDHAIALYQVLCR---GKVGETYNIGGHNEKTNIEVVQAICRLLDYEELVTYVADRPGHDVRYAIDASKIENQLGWTPKETFESGLRKTVEWYLNNQKW------ 194334528 ----HILITGGAGFIGSHVVRRFVNAEYRITNLDALTYAGNEDRENYRFVKGDITDGDAMMALFREEQFDGVIHLAAESHVDRSIANPTAFVMTNVLGTVNLLNAARTAWAGAFYHISTDEVYGTGSAGMFTEETAYDPHSPYSASKASSDHFVRAYHDTYGLPVVVSNCSNNYGANQFP----------EKLIPLFINNIRNRKPLPVYGKGENVRDWLWVVDHAEAIDVIFHSGKHGETYNIGGHNEWTNIDLIRLLCGIMDRKLARREGESAELITYVTDRAGHDLRYAIDSGKLQRELGWSPSIRFEEGLEKTVDWYLENGQWLER--- 143417979 --SKKVLVTGAAGFIGFHSSQRLIEEGYEVIGIDNFNNYYDVKLKKARIEHLDLLEKIKLDEIFDRYKPEYVLNLAAQAGVRYSIDNPSQYINSNIIGFMNLIECCRYNDVKHFIYASSSSVYGGNKTIPFNEDQGVHPVSLYAATKRSNELIAHTYSHLFKLPSTGLRFFTVYGP----------WGRPDMAYYIFTSKILKGEPIKIFNHGEMLRDFTYIDDVSNIIPKIIHKIPKSNTKFNPSNPRIFNVGNSKPIELITFIEIIENKLGLKAKKEFLSMQLGDVEATSSDGSLISEWVGFKPETSIEEGIENFINWYKDYHN------- 189347083 ----NVLVTGAAGFIGSHVCQRLLERGERVTGLDNLNDYYDVSLKEARFVKTDLADRQGMEELFRKGGFEKVVNLAAQAGVRYSIVNPHSYVESNILGFLNILEGCRHNGVEHLVYASSSSVYGANETMPFSHDNVDHPLSLYAASKKANELMAHTYSHLYNISATGLRFFTVYGP----------WGRPDMALFLFTDAILNNRPIKVFNYGKHRRDFTYIDDIVEGDHNAESNPEWSGLHPDPGSSRVYNIGNSQPVNLMDYIGALERQLGKTAEKEFLPMQPGDVPDTYADVEQLIQDVHYKPETTVEEGVRRFVAWYRDYY-------- 226943709 ----TILVTGSAGFIGANFVDWLHQHDEAVVSLDKLTYAGNEGDDRHVFVKGDIGDSKLVAGLLAEYQPRAVVNFAAESHVDRSIHGPEDFIQTNIVGTFRLLEAVRVYHAFRFLHVSTDEVYGEPTDPAFTERNRYEPNSPYSASKAASDHLVRAYHHTYKLPVLTTNCSNNYGP--------YHFPEKLIPLIIHNALSGKPLPIYGDGQ--------QVRDWLYVKDHCSAVRRVLEA---GKLGETYNVGGWNEKTNLDVVHTLCDILDQERQITFVKDRPGHDRRYAIDATKLERDLGWKPAETFESGIRKTVRWYLDNQGWVEN--- 293395896 ----KFLVTGVAGFIGYHVAERLLAAGHHVVGIDNMNDYYDVSLKTARFIALDLADRDGMATLFAEQQFQRVIHLAAQAGVRYSLENPMAYADSNLIGHLNVLEGCRHNKVEHLLYASSSSVYGLNRKLPFTEDSVDHPVSLYAATKKANELMSHSYSHLYGLPTTGLRFFTVYGP--------WGRPDMALFKFTKAILAGD--------SIDVYNHGEMQRDFTYIDDIAEAIQANADWNVEQGPYHVYNIGNSSPVKLMEYIQALENALGVTARKNMLPMQPGDVLDTSADTAELYRDIGFKPATSVEQGVKHFVDWYKAFYK------- 123968960 ---KTVLTTGGLGYIGSHTVIALINRGFNVLIIDSLINSKSETFNNIEFRKGDLRNKLWLENIFQEFNIEAVIHFAGLKSIGESILNPLNYYDVNLNTTLCLLSVMSKFKCFKLIFSSSATVYKIDKNEKISENGILSPLNPYGNTKLSNEKIIEDVFKSDDWKIANLRYFNPCGAHDSGIIGENPINHSNIFPTILRVINREIEKLPIYGSDWPTKDGTCIRDYIHVMDLAEAHLAALIYYENEPTYLNLNIGTGTGISVLELIKTFSNVNNCQIPYYFTEKRKGDAAFVVANNSLVIQTLKWEPKRNLKDICKDSWRWFIK---------- 300774404 ------LVTGGSGFIGSHLTERLLRNGHSVINIDNFDDFYDYQVKIKNTLESDIRDYKGLEAIFKNHHIDMVIHLAALAGVRPSIERPLEYEEVNVRGTMNLWELCKEHQIKKFICASSSSVYGNNEKIPFAETDNDNPISPYAATKKSGEVIGHVYHNLYHIDMIQLRFFTVYGPR--------QRPDLAIHKFTKLISENQEIPF--------YGDGNTARDYTYIDDIIDGITKSILYLENNTEVYEINLGENQVVTLSEMVATIEMALEKSATKKFLPMQPGDVTKTNADITKAKELIGYKPATDFQNGIKKFVEWFLR---------- 242281289 ----KVLVTGAAGFIGFHLSKRLLAEGHEVVGLDILNDYYDVNVKKNRLKQIDMADREAMEKLFAKEKFTHVVNLAAQAGVRYSLINPQAYIDSNVVGFMNILEGCRHNGVEHLVYASSSSVYGLNTNMPFSHDNVDHPISMYAATKKSNELMAHSYSHLFNIPTTGLRFFTVYGP----------WGRPDMALFLFTKAIFEDKPINVFNHGKMLRDFTFIDDIVEAKPNADWSGDAPDPGTSPAPFRIYNIGNNQPTELMRYIEVLEDCIGKKAEKNMMPLQAGDVPSTYANVDDLVRDVDFKPETTVEEGIAKFVEWYRGYYN------- 136364088 -----VLVTGAAGFIGSALVKKLLKRDLKVVGIDNLNSYYSVNLKLARFKKISLQDKDALKKLFNENNFQTVINLAAQAGVRYSIENPSEYIESNIVGFNNILENCKEFKVSHLIYASSSSVYGLSKNMPFSERHEVNPISVYAATKISNENMAYVSSHLYGIKTTGLRFFTVYGP----------WGRPDMAPMIFTKRILSKEPIYLFNNGLMERDFTYIDDVIKGILICFSNKLESDRNSENKNHEIFNIGNGKPIKLNDFIQTLEEELKVKAIKELKPIQPGDVISTSADISKLNK-LGYIPETSLKKGIKKFLEWYSNYYK------- 143418267 IKKMTILVTGSSGFIGFHLCKRLLLYGEKVIGLDNMNNYYDKTLKERRLKELDLENESILKKVFKEHHPKQVVNLAAQAGVRYSIKNPSAYIQSNIVGFNNILEECRYNQVEHLIYASSSSVYGGNLNMPFSEMHVDHPVSLYAATKKSNELMAHTYSHLYGLPSTGLRFFTVYGP----------WGRPDMALFLFTDAILNDRPIKVFNNGDMIRDFTYIDDITEGVFRVNFIKSKPDSSKSWAPYRIFNIGNSKPISLKKYISTIEKNLGKKAKKELLPMQPGDVESTSADTKLLEEWIDFKPNTSIEEGINKFINWYKIYYE------- 75675267 -----ILVTGAAGFIGFHVAARLLKQGHRVVGIDSLNDYYDPALKECRLEILDLADREATAALFAEHHLSVVLHLAAQAGVRYSLRNPHAYVDSNLTAFANVLEGCRHASCPHLLFASSSSVYGANTKLPFSHDNVDHPISLYAATKKSNELMAHAYSHLYRVPTTGLRFFTVYGP--------WYRPDMALYKFADAIVGGQ--PIKLFNHGNMQRDFTFVDDVVEPQPHASWSGDASDAGTSSAPWRIYNIGNNKPAELMGVVALLEKALGRSAQKELLPMQPGDVQATFADIDDLARDVGFRPSTSLEDGIHRFADWYCRYHR------- 55379498 -----ILVTGGAGFIGGHLAQRFAADGHDVVVLDNRDPFYDLDIKQHNFIEGDVRDAELVTDLVA--DADYVYHQAAQAGVRPSVKNPRKYDEVNVNGTLNLLDACRDEGIERFVMASSSSVYGKPQYLPYDEQHPTTPVSPYGASKLAAERYACAYSEVYDLSTVALRYFTVYGPRMRPNMAISNFVSRCH----------NGEPPVIYGD------GTQTRDFTYIEDVIDANMTLL--HEDAADGKAVNIGSTDNIEIKTLATEIRDQIDPDLDLVYEERHDADAEHTHAATDRAEELLGYDPDHTIREGVAKFIDWYRDNRDWYE---- 219852975 ----RLLVTGGSGFIGSNFVRYMLKEDLDLVNLDKLTYAGNEDDPQYTFVYGDICDPQVVEKAMSEHECDTVVHFAAESHVDRSIHDASAFVKTNLLGTATMLEAARKNGITRFIHISTDEVYGSTLEGAFVETDRLEPSSPYSSSKAGSDLLAKAYATTYGLNVSITRCSNNYGP--------YQFPEKLIPLFVTNLLEG--------GKVPIYGTGKNVREWIYVLDHCRAIDFVLTH---GAKGEIYNIGSGVEKTNLAITDQILALLGKDQSIEYVQDRPGHDFRYAINCTKLET-MGWKPAYSFEEALTATINWYRENPWWWK---- 146342050 --SRRILVTGGAGFIGSHLVEHLLSSGYVVTVLDDLSTGSIDNLAEANEIEGSVLDAQAVET--AMHQCDYVFHLAVQ-CVRKSLGKPIENHEINATGTLRMLEAARKHNIKRFVYCSSSEVYGSVSTGLLDEDTLCRPVTVYGAAKLAGELYTDAYHQTYGLPTVVVRPFNAYGPRA------HERGDLAEVIPRFFIRCLNGLPPVIFGD------GSNGRDFTYVTEVARGLALACDADGLVGS--KVNIAYGQMISIGQVAAEVIKATGRDLGIEHISGRPGDVRVLSADVSRARRLLGYRAEIDFAHGLRRYLDWFLKH--------- 254283906 --SKTALVTGAAGFIGANVSAALLDRGYSVIGVDNLNDYYDVALKQYRLDTLDLANQPAVDEVFEAHPIDLVVHLAAQAGVRYSLQNPDAYIRSNVLGFQSIVENCRYHQPEHLVFASSSSVYGNNNAEWFSETDNTDPVSLYAATKKSNELVGHSYAKLYGIAMTGLRFFTVYGP----------AGRPDMAYFDFTRAILENEPIRVFNRGQL------MRDFTYIDDILAGVIAACEAPPKDQDVRILNLGNNEPVALGYFIETLEQLLGKEAIKEYVDMQPGDVYKTAANIDAARHLLHYHPTTRIEEGLGKFVDWYRAYYE------- 42561487 ----NYLLIGGAGYIGSHVAEIINKDNNKVIIYDNLSSGLNDFIEQKTFIQGDILDFNKLNEVFSSNKIDVVIYLAGLIKVGESVQKPLDYYQTNILGLINTLKIMQAHNVNYFVFSSSAAVYGNNHNGYFYEDDPKEPCSPYGRTKYFGEEIIKDFIANPNFHYTFLRYFNVAGASKSGYLTKDNNKPTHLIPAISYFAFGLTDQFSIFGSDYNTKDGTCIRDYVYVCELAELHLLTAQKMVKENCNLYYNIGSGKGFSNLEIIKEFEKQLGYKLNIDIAPKRSGDPDVLVASNTKLCQELNYKIKTNIKDIVESEIAFRKAHLKN------ 94264211 -----ILVTGCAGFIGSNFVDWLASQGESVVNLDKLTYAGNLANIRHIFVQGDIGDRALVTELLTRHRPRAVINFAAESHVDRSIHGPDLFIQTNVVGTFNLLAVVLAYWDFRLLHVSTDEVYGGPDEPAFNEATPYRPNSPYAASKAASDHLVRACFRTYGLPVLTTNCSNNYGP---------YQFPEKLIPLLIVNALGG-------VPLPIYGDGLNVRDWLYVGDHCAAIRRVLAAGV---PGEVYNIGGCNEITNIEIVHKVCTLLDYARLISYVPDRPGHDRRYAIDARKIERELNWQPAETFTSGLAKTVAWYLANPEWLE---- 136340889 MNNKNIIITGAAGFIGFHVSLRLKKEGYQIIAIDNLNKSYDEGNHSLKFYEQDIVNKNCLRELFLKYKPSVVINLAAQAGVRNSIINPDIFIKSNILGFYNIIECCREFKVENFIYASSSSVYGNNKKIPFSEEDFDHPVSLYAATKKSNELMAHTYSELFKIPSTGLRFFTVYGP----------WGRPDMAPIIFAKNIFSKKPIKVFNHGNLWRDFTYIDDIVETISRLIKKPATIDKLINSCPHKVFNVGNGQETKLIDFISTLERIIGIKAIKDLQPMQLGDVHMTLADTSSIKDWIGFVPKTDLTFGLEKFVLWFKDFY-------- 282871517 ------LITGGAGYIGSHVVAQMAGE-ERLVVLDDLSTGRADRLPEGPLVVGSVLDRELLDRVLADHAVTGIVHIAGKKQVAESVERPLYYYRENVDGLRTLLEAAAGAGVRRFLFSSSAAVYGMPDVDLVTEDTPCLPLSPYGETKLAGEWLVAATGRAHSMATASLRYFNVAGAASAELADEG----VFNLVPMVFERLEADEAPRIFGDDYPTPDGTCVRDYVHVEDIASAHVAAARRLAGDPAAELVNIGRGEGASVAEMVGIILDVTGSGPPPVTGARRPGDPARVVASVDRIAAELDWSARHGIRTMVESAWAWRLRHPRS------ 307299314 ----NYLVTGGAGFIGSHVVDHLISSGKIPVVVDNLSSGKIENLPRALFYEQDITDQEMMERVFMLHRPTVLFHLAAQISVSRSVREPEEDAMVNIIGSLRLLKIAAKYGLKKVIFSSTGGAIYGDDRIPTDEEELPKPLSPYGIAKYATENYLRFFSSELGIKYTVLRYANVYGPRQDP-YGEAGVVAIFSERMLHNQ------------EVVIFGDGECVRDYVYVGDVARANLLAIEKC----ENTVINIGTGIGTSVNELFDIMKPIAGYSREAVHKEPRPGDVKKSILNIERARSLLGWEPSTQLERGIKETIEYFR----------- 261492901 ----NVLITGGAGFIGSALIRFLAKQDHHIINVDKLTYAANQNSERYYFEQVDICASGQISEIFCKYQPDAVMHLAAESHVDRSIKSAGEFIQTNIVGTYSLLEVTRQYWEHRFIHISTDEVYGDLPAEPSAENLPYAPSNPYSASKASADHLVRAWYRTYGLPAIITNCSNNFGP--------YQYPEKLIPLMISNALQGKALP--------IYGDGLQIRDWIFVEDHIRALYDVL---IKGKLGASYNIGSHQEKSNIEVVYSICELLEELVPIRYVQDRPGHDVRYALDSTKIQKELGWKPQETFESGLRKTVNWYLDNKEWWVKK-- 302343715 -----IIVTGGAGFIGSALVSLLVDQGEEVVCLDKLTYASLDQVADKPFERADICAADEVARIFTQHKPTAIMHLAAESHVDRSIDAPGQFIQTNIVGTYTLLTQALAYFRFRFLHVSTDEVYGLGESGLFTEDMAYRPNSPYAASKASSDLLARAWRETFGLPTLITNCSNNYGPR--------QFPEKLIPLMIIKGLAGQPMP--------VYGSGQNVRDWLHVEDHAEALALVLR---NGRPGQTYNIGGDSERANIEVVRAICAELDRALPIQSVADRPGHDLRYAMDFSKLNRELGWRPRRTFEQGLAQTVRWYLDNSQW------ 307337758 ----KILVTGGAGFIGSALTRYIINSNDSVVNVDKLTYAGNLHSLKDIFVHADICDAKALDAILSTHKPDAVMHLAAESHVDRSITGPAAFIETNIVGTYVLLEAARKYWQFRFHHISTDEVYGDNSPPLFTENTAYAPSSPYSASKASSDHLVRAWHRTYNLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALQDKSLP--------IYGKGDQIRDWLYVEDHARALYTVITKGI---VGETYNIGGYNEKKNIEVVETICDILDYREQIIYVADRPGHDRRYAIDASKITLDLGWKPQETFESGIKKTIHWYLDNQEWVQN--- 56476695 -----ILVTGGAGFIGANFVLDWLGQTDEPVVLDALTYAGNRENLRHVFVHGDICDRALLDRLLAEHHPRAIVHFAAESHVDRSIHGPAAFVRTNVEGTFTLLEAARAYWMFRFLHVSTDEVYGGPADPAFTETKGFEPNSPYSASKAASDHLVRAWHHTYGLPVVTTNCSNNYGP--------YQFPEKLIPLMIANALAGKPLP--------VYGDGQNVRDWLYVGDHCAAIREVLARGRA---GETYNVGGWNEKPNLDIVHTVCTLLDHARLITYVADRPGHDRRYAIDARKIEHELGWRPVETFESGIRKTIAWYLEHQDWVA---- 153870011 ----KLIITGGAGFIGSAVIRYLMNETDTVLNLDKLTYAGNKNNERYHFAQIDICDGPALERVFADYQPQAIMHLAAESHVDRSIDGPAEFINTNIVGTYTLLETTRHYWQFRFHHVSTDEVYGLGKQGLFEESTCYQPNSPYSASKAASDHLVRSWHHTYKLPVITTNCSNNYGP--------YQFPEKLIPLIILNALEGKPLP--------VYGKGENVRDWLYVDDHARA----LDLVLKQGQGETYNIGGHNEKTNLEVVQVLCNILDHSQLITFVTDRPGHDLRYAIDASKIQKTLNWTPKETFDTGLRKTVQWYLENNKW------ 95929371 ----KLLITGGAGFIGSAVIRHIIHSTHDHIVVDKLTYAGNDGNERYQFEQVDICDRKELDRVFHQHQPDAVMHLAAESHVDRSIDGPAAFIETNIVGTYTLLEASRAYWNFRFHHISTDEVYGDAEKHLFTEKASYAPSSPYSASKASSDHLVRAWLRTYGLPTLVTNCSNNYGP--------YHFPEKLIPLMILNALEGKSLP--------VYGQGDQIRDWLYVEDHARALYKII---TQGKIGETYNIGGHNEQQNIEVVTLICDLLDYRSLITHVQDRPGHDKRYAIDAGKIERELGWKPQETFASGIRKTIQWYLDNQAW------ 223984298 IENKTILVTGAIGFIGSNLVLKLLKKQISVVGIDNMNDYYDVSIKEWRLKEIDISDKTLINKIFEQYKPDIVINLAAQAGVRYSITNPDAYIESNLIGFYNILETCRHSYVEHLVYASSSSVYGSNKKVPYTEDKVDNPVSLYAATKKSNELMAHAYSKLYNIPSTGLRFFTVYGP--------AGRPDMAYFGFTNKLIKGETIEIFNYG--------NCNRDFTYIDDIVEGIIKVMQKPPQKKIGEDYNIGNNHPENLLDFVTILQEEYDFESHKKLVPMQPGDVPVTYADTSALERDFGFKPSTSLREGLRKFAEWYKEYY-------- 220933110 -----ILATGGAGFIGSNIVDKLINIGHDVVVVDNLSTGYKTNLNSTAKFYKVDIISSDIEDIIKKEKITHVIHHAAQIDVQRSVKDPIFDADNNIKGTINLLEACRKNNVEKIIYASSAAVYGEPDYLPIDESHPIKAMSPYGISKHTPEHYIKMYGELYDLKYTILRYANVYGPRQD------PKGEGGVVSIFTDKMVNGEQPA-------IYGDGKQTRDFIYVEDIVAANLKAL----NRGDNQIVNISTRTQTSVIELFKTMKDILKMDIEPIFNRERPGDIRHSYLDNSRAKEVLDWAPRYDLKSGLTRTISYY------------ 291513883 --KRNILITGGAGFIGSHVVRLFVGKDCRIVNLDRLTYAGNENRPNYTFVKGDICDYDAMRSLFAEYDIDGVIHLAAESHVDRSIRDPFTFAWTNVMGTLTLLQAAREHWNRRFHHISTDEVYGAFDGTLFTEQTRYDPHSPYSASKASSDHFVRAFHDTYGFPAVVTNCSNNYGP----------YQFPEKLIPLFINNIRHEKPLPVYGRG------ENIRDWLYVEDHARAIDTIFHRGR---DGETYNIGGFNEWRNIDLIRVIIRTVDREKLITYVTDRAGHDLRYAIDSRKLKEELGWEPSLQFEEGIEKTVRWYLANQEWMDD--- 299146223 --KRNILITGGAGFIGSHVVRLFVNKEYHIINLDKLTYAGNEGQPNYTFVKADICDFERIIQLFKQYNIDSVIHLAAESHVDRSIKDPFIFAQTNVMGTLSLLQAAKLAWHKLFYHISTDEVYGDFDGTFFTEQTKYQPHSPYSASKAGSDHFVRAFHDTYGMPVIVTNCSNNYGP--------YQFPEKLIPLFINNIRHGKALP--------VYGKGENVRDWLYVVDHVRAIDTIFHK---GKIAETYNIGGFNEWTNIDLIKVIIKTVDREKLITYVADRKGHDLRYAIDSNKLKKELGWEPSLQFEEGIEKTVRWYLENNEWMEN--- 183602184 LSGKTILITGAAGFIGWNLAERLLHSDITIVGLDNLNDYYDVKLKEARFEKGDLSDKKLIGRLFEKHHFDVVVNLGAQAGVRYSITNPDAYVSSNLIGFYNILEACRHNPVEHLVYASSSSVYGGNKKVPFTEDKVDNPVSLYAATKKSNELMAHAYSKLYDIPSTGLRFFTVYGP----------AGRPDMAYFGFTNKLLAGETIKIFN------YGNCQRDFTYIDDIVEGIVRVIQGAPTRQTGEDYNIGCGHPENLLDFVTTLQEEYDFEAHKELVPMQPGDVPVTYADTEALTRDYGYRPTTSLRDGLRRFAEWYKQYY-------- 118595027 ----TIVVTGAAGFIGSNFVLWLTHSEEKVIALDKLTYAGNEANANYEFAQGDIGDSRLVAKLLHQHQPRVIINFAAESHVDRSIHGPDDFMQTNILGTYRLLEEARSFFNFRFLHVSTDEVYGTTNDRAFKEEKRYEPNSPYSASKAASDHLVRAWNHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLCVLNALNGKDLPIYGDGQ--------QIRDWLYVVDHCKGITTVLEKGVI---GETYNIGGWNEKTNLEVVNTLCDLLDYREQITFVKDRPGHDQRYAIDATKISKELGWKPEETFETGIRKTVQWYLDHQDWVAN--- 113972213 ----KYLVTGAAGFIGAKVSERLCAQGHEVVGIDNLNDYYDEALSNFRFIKLDLADRDGIAALFAEQGFQRVIHLAAQAGVRYSLDNPLAYADSNLVGHLTILEGCRHHKIEHLVYASSSSVYGLNQKMPFTEDSVDHPISLYAATKKANELMSHTYSHLYQLPTTGLRFFTVYGP--------WGRPDMALFKFTKAILAGD--------TIDVYNHGDLSRDFTYIDDIVEGIIRVQDKPPRPAPYRVFNIGNGSPVQLLDFITALESALGIEAKKQFLPMQPGDVHSTWADTEDLFKAVGYKPQVDINTGVSRFVEWYRAFY-------- 288959040 ----TILVTGAAGFIGSHVAAALLDRGEQVLGIDNLNDYYAVPLKEARLARLDVADRATVEGLWPRFDDVTVVHLAAQPGVRYSIENPYAYVDANVTGQVTLLEAARRMPGLRFVYASTSSVYGANRKMPFSEDRVDSPVSVYAATKKAAEMLAFTYSHLYQLPMTGLRFFTVYGP----------WSRPDMATWLFADAIAAGRPIRVFNGGKMKRDFTYIDDIVAGVLAALDRPAPVDA-ETGAPHRVFNLGNNRCEELMRFITVLEQAFGREAVKVMEPMQAGDVQETAADIELSRQVLGFEPKTPIETGLPRFVEWYKGY--------- 144022664 MKNRRILITGGAGFIGSHVVRLFVNKNYQIINLDCLTYAGNENKENYKFIKGDITDEDFINHLFETEKPDSIIHLAAESHVDRSISDPLAFAKTNILGTMILLNAFKNLHSNLFYHISTDEVYGLGSEGLFKETTPYSPNSPYSASKASSDHFVRAYGETYNLPYLISNCSNNYGS--------YQFPEKLIPLFINNIINNKDLP--------VYGDGNYTRDWLYVIDHAIAIDLIFHKGIL---TETYNIGGFNEWKNIDLVKLLCQQMDTKLNITYVKDRPGHDLRYAIDASKINKELGWSPTVTFEEGLNKTIDWYLNNNEWLKN--- 193216179 ---KHVLITGGAGFIGSHLVDSLLADNYKVTCIDNFDAFYAREIKEKNIAELDIRDYASLEKA-ANEPYDIIVHLAAKAGVRPSIQDPIAYQQVNVQGTQNMLELAKKLGVKQFVFASSSSVYGVNPNVPWREDDHLMPISPYAATKVSGELLGHVYSHLYGIRFIALRFFTVFGPRQRPDLAI----------HKFSKRMLEGTPIQVFGD------GTTRRDYTYIDDIIQGIRKAMAYEASL--FEVINLGNNTPVTMNELLAALESALGVAPKIERLPEQPGDVPQTYASIENAQKLLGYQVTTPILEGLQKFNDWIRGYYK------- 88799948 ----KLLVTGAAGFIGNELALKLTDAGHDVIGIDNLNDYYDVQLKKDRLKRLDVEDRQAMADLASQHTFDQIFHMAAQAGVRYSLENPNAYIDSNLVGFGNILELARQQTVQHLIYASSSSVYGENEKQPFSEDDPDHPVSLYAATKKSNEVMAHSYSHLYSIPTTGLRFFTVYGP----------WGRPDMAPFLFTDAILAGRPIKVFNHGNMMRDFTYIDDIVDQVPPVKTDKPKTDTPADSDAPYQVNIGNSEPVKLMDFIEAIENASGKTAEKVFMDMQPGDVPVTYADTSLLQQKTGYQPNTAIQDGVNSVVQWYRSYYN------- 82701398 -----ILVTGGAGFIGANFVLDWLERSDEAIILDVLTYAGNEGDKRHVFVQGDIGNSDLLIHLLKDYRPRAILNFAAESHVDRSIHGPEDFIQTNIVGTFRLLEASRDYWQFRFLHVSTDEVYGAKDEMPFTETHRYEPNSPYSASKAASDHLVRAYHHTYGLPVLTTNCSNNYGP--------YQFPEKLIPLMIVNALAGKSLP--------VYGDGQQIRDWLYVKDHCSAIRRVLE---DGIPGQIYNIGGWNEKPNIEIVETICSLLDYRNLIKYVADRPGHDRRYAIDASKIERELGWRPAETFETGISKTVQWYLDNAAWV----- 301308244 LEGKTVLVTGAAGFIGSNLVKRLFKKNIKVIGIDSITDYYDVNIKYWEFVHASISDKVAVEKIFTDNKIAVVVNLAAQAGVRYSITNPDAYIESNLIGFYNLLEACRHHEVEHLVYASSSSVYGSNKKVPYSTDDKDNPVSLYAATKKSNELMAHAYSKLYNIPSTGLRFFTVYGP----------------AGRPDMAYFGFTNKLRDGKTIQIFNYGNCKRDFTYVDDIVEGVVRIMQHAPEKQNGEDYNIGNNSPENLLDFVSILQEEYDFEAHKELVPMRPGDVSVTYADTTPLEQDFGFKPSTSLRDGLRAFAEWYSTYY-------- 158333592 ----RVLVTGAAGFIGYHLSQRLLLDRAQVFGIDNLNNYYAVDLKKSRLAQLDLSDRNGMETLFESNTFDGVIHLAAQAGVRYSLDNPHAYVDSNLVGFLHILEGCRQSNISHLVYASSSSVYGANKKVPFSEDNVDHPVSLYAATKKSNELMAHSYSHLYQIPITGLRFFTVYGP----------WGRPDMAYFKFVDAIANNKSIDVYNHGKMQRDFTYIDDVVEG--IVRVLHQPPNPDTTTPPYKLYNIGNNQPVTLMRFIEVIETAMGKTADKNFLPMQPGDVPATYADVDALMNDVGFQPKTPIEDGIQKFVTWYRSYYQ------- 237752575 ----KILVTGTAGFIGSFLAKRLLERGDEVVGLDCINDYYDVRIKYGRLENAGIADRENLFKLFEKEKFDKVCNLAAQAGVRYSLVNPYAYVDSNIVGFVNILEACRHHNIKHLAYASSSSVYGLNENMPFTSDNVDHPISLYAASKKSNELMAHTYSYLFGLPTTGLRFFTVYGP----------WGRPDMALFLFTKAILEGKPIDVFNHGEMLRDFTYVDDIVEAEPNAEWSGKAPDPHSSKAPYKIYNIGNNNPVRLMDFIEAIEKELGITAQKNMLPLQPGDVPATYANVDDLIKEIDYKPNTSIETGIKNFIAWYREFFK------- 34541216 ---RHILITGGAGFIGSHVVRLMVNADYEIINLDTLTYAGNESKPNYRFVKADICNANTLKKVFAHYAIDGVIHLAAESHVDRSIKDPLAFARTNVMGTLTLLQTAKEAWHKRFYHISTDEVYGAFDGTLFTEETKYDPHSPYSASKASSDHFVRAYHDTFGLPTVISNCSNNYGP----------YQFPEKLIPLFINNIRHNKPLPVYGKG------ENVRDWLYVEDHARAIDLIFHRGKN---GDTYNIGGFNEWKNIDLIKVMIRVVDREKLITYVADRAGHDLRYAIDSTKLKNELGWEPSLQFEEGIEKTVRWYLHNQDWLDR--- 116754384 FQEKNILVTGGAGFLGSWICDALIAQGANVVCVDNLSSGNISHLLDADRFEFIQHDVSDLRPLKIDQKLDLVIHMASRASPFEFEHYPIEILKANTIGLMASLDIARNHDA-RLLYTSTSEVYGNPTVVPTPESNPIGPRGCYDEAKRCGEAYVMAYRNQYGLDVRIARIFNTYGPRI-----RWDCIYARAVPRFIAQAI-RGEPITIFGDGTQTRSFTYVTDQIEGLLRLASI--------DEVKGAVVNIGNDRETMIIELAKIVLKITGSDSGIVYQPLPEDDPLRRCPDITKARELLGWAPKVALEDGLRRTVEWFR----------- 186471476 -----ILVTGGAGFIGANFVDWLNRVDETVVNVDKLTYGTLESLRGDPSHIFDICDSRAMDYLLDQYQPRAILHFAAESHVDRSIHGPGEFMHTNVVGTFTLLEAAHRYWQFRFLHVSTDEVFGSMHAPPFSERSPYAPNSPYSASKASSDHLVRAWHHTYGLPTLTTHCSNNYGP--------YQFPEKLIPLTITRALAGKPLPL--------YGDGLNVRDWLYVGDHCNAIRAVL---AKGRPGDTYNIGGWNEVANIDVVHALCRLLDERDQIKAVADRPGHDRRYAIDAGKLERELGWTPEETFETGLRRTVDWYLAHQRWVSD--- 189424717 ------LVTGGAGFIGSNFINRFLNPACRVINLDALTYAGNEQNPNYRFVKGDIGDAALVASLLSGEKVDAVVHFAAESHVDRSITGPEIFVRTNVLGTQMLLEESRKHWQFRYYQISTDEVYGLGDTGFFTEETPLAANSPYSASKAGADLLVRAYHETFGMPTLVSRCSNNYGP--------YHFPEKLIPLLIANIIAKKPLP--------VYGDGSNVRDWLHVKDHGAAIECIL---KGAKPGSVYNIGGNNEWQNIAIVSLVCDLLDSKSLITFVKDRPGHDRRYAIDASKLKNDLGWSPSYTFETGIAETIDWYLANQQWVEE--- 289642906 ----RILVTGAAGFIGSTVTDRMLADGHDVVGVDDLSTGRLENLHRFSFEKVDIT-SAELIGVVERIRPDVVLHLAAQIDVRVSVSDPLLDARLNVLGTINVLEASRLAGVGKFVHTSSGSIYGTPQHLPVDETVPVAPESQYAAGKAAGELYLSVYRSTYGLATTALALGNVYGPRQDP-------HGEAGVVAIFGTAMLEGRPTRIFGDGTTT------RDYVFVGDVADAFARSVPSDAANGERLNI--GTSTQTTVRDLHSAIAEIVGVADAPEFAPPRPGELQRIALDIGRAARLVGWRPQVDLDDGLRQTVQW------------- 189499921 ----KVLVTGAAGFIGYTVSRRLLERGDEVVGIDNINNYYDPALKESRFVRLDLADREGMEELFALEKFNRVVNLAAQAGVRYSIENPHSYVESNITGFLHVLEGCRQHHVEHLVYASSSSVYGANETMPFSHDNVDHPLSLYAASKKSNELMAHTYSHLYSMPTTGLRFFTVYGP----------WGRPDMALFLFTRAILEGKPIKVFN------YGKHRRDFTYIDDIAEGVLRTLDHIPSRAPWRVYNIGNSEPVELMDYISALEKSLGKTAEKEFLPLQPGDVPDTYADVAQLVQDVNYQPQTPVTEGIQKFVDWYREYY-------- 114562552 ----KYLVTGAAGFIGNFVAERLCDQGHEVVGLDNLNDYYDEHFSSFRFIKMDIADRTAIAELFETEKFDRVIHLAAQAGVRYSIENPMAYVDSNLVGMATILEGCRHNKVQHLVYASSSSVYGMNEKMPFTEDAVDHPVSLYAATKKANELMAHSYSHLYNLPTTGLRFFTVYGP----------WGRPDMAPFLFTDAILNDREIKVFNHGKMKRDFTYIDDIVEGIIRIQDVVPQQDSENSKAPYKVFNIGNNEPIALMTFIEAIEKAAGKIAEKNFMPMQAGDVPATFADIDSLIDQINFKPSMAIDKGIDNFVQWFISYYE------- 83816365 ----TVVVTGGAGFIGARLCRRLLKVGHTVVAIDNFDPFYPRAMKEEGIEDFDICNTGTVLQALHARDVDAVIHLAAKAGVRPSIESPGAYEQANVAGTQSMLEVAQRLGVDTFLFGSSSSVYGNNEKVPFSEEDPRHPISPYAATKRSGELLAHTFHHLYDMTVHCLRFFTVYGPRQRPDLAIHKFARQLLTDQPIT----------------MYGDGTSSRDYTYVDDIVDGVMRSLHRSLEAPEYEIINLGGSETTQLKDLISGIADAMGITPEIKQLPEQPGDVERTYADISKAEELLGYEPDTPIQVGLQKFVSWVEAYY-------- 135632704 ----NILLTGGAGYIGSHICYTLLDLGYSVSTIDNLSTGNKDLIKEVKHINTDISDVQTVTSLIKKNKFDVVMHLAAFTRVGESVKHPEKYFSNNFDKAKIFLDTCLNNGLKKFIFSSTGSVYGNDSNINIMENEKTNPINPYSKSKLKFENYLISETIKKKAFCTILRYFNVAGADLKKRTGLISNPDNLI-KAVCEVAIKKRENLVINGNDYNTKDGTPIRDFIHILDLVDIHVIVAKSLIKTGENQIYNCGYGKGFSIMDVVSEMSSIIGYNLPTKFGERRKGDAVYSVADNSKFLNKFSWKPKYNLKIILESALNWEKSLYQ------- 194015238 ---KKVLVTGGSGFIGSHTVEALLEKGYEPIVLDNFSTGSRENILSVQCIEADVTKSETVD-LIKQIRPDYIIHLAAQVSVAVSVQNFVYDEEVNIKGSLNVMKAAAETGVEKVVFASSAAVYGDPVYLPVDTAHRLKPGSPYGLSKLTVERYLEMAKSLYDVDYCILRYSNVYGPRQDALGEG-------GVVSIFSDKFAKDEAPFIFGD------GEQTRDFIYVGDLAAANVAALTAQSN----VCLNISCGVSITVNELFQTMKRVTRSHLEPIYKPQRAGDIVHSTLANEETKQVLAWEPVVSLQEGLARTISYYEQ---------- 167461824 MKKVKILVTGGAGFIGSHVVDAYIQEGYEVVVVDILSTGTLLNVPKAKFYQVDIRSKE-LNRVFDEERPDIVNHHAAQKSVPKSWEDPMLDADINILGLMNILQLSVEYKVQRIIFASSGALSGNALSYPTSEQAFPSFQSPYAITKYISEKYIHLYAEIHRTTYVILRYANVYGARQ------IAEGECGVIPVFLHNLLTGQPSTLYTYDDMPRGT---LRDYVYVKDVAKANVLAL----TEGQQTIVHIGSGQGVYTADLYELLQTVTGISLPLMIDKERQGDIKYSLLDCSKAYEELGWKPQTGLLEGLTQTVEY------------- 142467762 MDYKKILITGGAGFIGSHVVRKMINQEYLIVNLDKLTYAGNENKSNYKFENIDINNEEAIQILFEKYLFDGVIHLAAESHVDRSISNPGEFVTTNVIGTLNLLNACRKNWKKCFYHISTDEVYGLGETGFFYETTSYDPRSPYSASKASSDHLVRAYGHTYGLPIKISNCSNNYGSHQFP----------EKLIPLIINNIQNKKPLPIYGKG------ENVRDWLWVEDHANAIDLIFQK---GGIGETYNIGGHNEWKNIDLVNLLCDIMESRKLITYVKDRAGHDLRYAIDATKIQNELGFTPSVSFEEGLANTVDWYLNN--------- 260770732 ----KYLVTGAAGFIGSAVIERLCAEGHDVVGIDNLNDYYDVALKDARLERADIADREAIADLFAVEQFDKVIHLAAQAGVRYSIDNPMSYADSNLVGHLTILEGCRHHKIKHLVYASSSSVYGLNRKTPFTSDSVDHPVSLYAATKKSNELMAHTYSHLYGVPTTGLRFFTVYGP----------WGRPDMALFKFTKAILKGDAIDVYNNGDMMRDFTYIDDIVEPEPNAEWSVEAGSPATSSAPYCVYNIGHGSPVKLMDYIKALESALGIEAKKNMLPMQPGDVYVTYADTQDLFNATQYKPQMGVEQGVANFVKWYKEFY-------- 149276446 ----KVLVTGTAGFIGFHVAKYLLERGDEVVGIDNINDYYDVSLKYRRLEELDITDHGRLKKIFKGCHFDAVCHLAAQAGVRYSLSNPKAYVDANIVGFLNILECCRLHKTRHLVYASSSSVYGLNEQMPFSEHHADHPVSLYAASKRSNELMAHSYSHLFGLPTTGLRFFTVYGP--------WGRPDMALFIFTKAMMEKQA--------IDIYNHGRMKRDFTYISDIVSGIVGTLDRPAKADPYRLFNIGRGQSVSLMDFITEIEHNTGCEAIRNYLPLQSGDVAETWADISKIQEVMNYAPKVSVTEGVQHFVAWYKDFY-------- 308274095 ---KNILVTGGCGFIGSNFIRYLFSETDRVVNIDKLTYAGNPENLAGIKEKTDICNLSELTGLFETFEIDAICHFAAESHVDRSITNPGDFIHSNIEGTFCLLELARQNKNKLFHHVSTDEVFGLGKSGYFTETTPYNPSSPYSASKASSDHLIRAYSVTYGVPATISNCSNNYGP--------YQFPEKLIPLMILNAIEDKALP--------VYGEGINIRDWLYVEDHCKAIWAIMQHGRR---GETYNIGGGCEKRNIDIVNIICELVDKKNLITFVKDRPGHDLRYAMDFSKLKNELNWTPAETFESGIEKTIKWYIENTNWISR--- 114769672 ---KKVLVTGGAGFIGSAVIRFILQNTDDVVNIDALTYAGNLESLQGTFEQVDICNKKELVRVFSEHSPDVVMHLAAESHVDRSINSPSDFILTNVVGTYNMLEVAKAYWRFIFHHISTDEVYGDLEDGLFTEETPYCPSSPYSASKAASDHLVRAWFRTYDFPVVISNCSNNYGP--------YQFPEKLIPLIINNAIEGKKLP--------IYGAGDQVRDWLYVEDHAEA----LYKVVTKGKGQTYNIGGHNEKKNIDVVMTICNLLDYTSQITHVEDRPGHDKRYAIDAAKISRDLSWQPSETFESGIRKTVLWYLDNKEW------ 78211743 --SRTVLVTGAAGFIGAALSKRLLQRGDRVVGLDNLNDYYDPGLKQSRLRQVELEDDQGLMALFVEERPQVVVNLAAQAGVRYSLENPAAYIQSNLVGFGNLLEGCRYHGTQNLVYASSSSVYGGNRNLPFHERQPVHPVSLYAASKKANELMAHTYSHLYGLPATGLRFFTVYGP----------WGRPDMAPMLFARAILAGEPIKVFNHGKMQRDFTYIDDIVECCDKPATSNPEFDPLQPDAPHRVFNIGNSQPTELLRFIEVMEQALGREAIKDFQPIQPGDVIATAADTSALEKWINFTPSTSIEDGIQRFANWYFEYFN------- 295691454 -QNLRVMVTGGSGFIGSAVCRHLAGQNDVAINFDKLTYAASEGKADYQFVQGDVANAEAVSRAIQAFRPNVIMHLAAESHVDRSITGPGEFIQTNIVGTYVMLQAALEHWAFRFHHISTDEVFGLGPEGLFSETTPYDPRSPYSASKASSDHLARAWHHTYGLPVVVSNCSNNYGP--------YHFPEKLIPLVTLNALEGKPLP--------VYGKGDNVRDWLHVEDHARALHLIATRGV---PGESYNVGGRNERTNLQVVEAICDVLDRRELITFVADRPGHDARYAIDATKLEAELGWKAQETFETGLRKTVQWYLDNEAWWA---- 300774922 ---KNIIITGGAGFIGSHVVREFVKNNPDIINLDALTYAGNENEPNYVFEKADITKPEELRRIFEKYKPDAVVHLAAESHVDRSITDPMAFINTNVNGTANLLNLCKEFWTLNFYHVSTDEVYGLGETGFFLETTPYDPQSPYSASKAASDHLVRAYGNTYGMPFIVSNCSNNYGPN--------HFPEKLIPLCISNIINEKPLP--------IYGDGKYTRDWLFVIDHARAIHQIF---KEAKTGETYNIGGFNEWQNIDLVKELIKQMDSEKLITFVKDRPGHDKRYAIDATKLNKDLGWKPSVTFEEGLGKTIDWYLENKEWLE---- 15602895 ---KKILITGGAGFIGSAVIRYILSQTDQIINLDKLTYASNLDALRYHFEQGDIADATTLSNIFQRYQPDCVMHLAAESHVDRSIAGAAAFIQTNIVGTYTLLEVARQYWAFRFHHVSTDEVFGDFTQPAFTETSPYRPSSPYSASKAASDHLVRAWHRTYGLPIVMSNSTNNYG----------FYQHTEKLIPFMLSQALQGKPLTLYGDGQ------QVRDWLFVDDHAQALYLVL---TKGKVGETYNIGARCEYSNIHLVMRLCEITDFKQLITFVKDRPGHDLRYAINASKIQDELGWVAKTDFETGLRKTVAWYVDY--------- 297569757 -----IVVTGGAGFIGANFIDWLAAEDEPVVNLDKLTYAGNPENLAGHFARGDIGDAELVGRLLAEHRPRAVINFAAESHVDRSIHGPADFINTNVTGTYQLLEAVRSWWAFRFLHISTDEVYGEPNEPPFTEEHPCRPNSPYSASKAASDHLVRAWHHTYGLPVLTTNCSNNYGP--------CQFPEKLIPLIIHNALAGKPLP--------VYGDGRQVRDWLYVSDHCQAIRRVLAAGR---VGEIYNVGGNCELTNLEVVRQVCAILDEAEQITHVTDRPGHDRRYAIDTGKIARELGWQPAESFAGGLRKTVQWYLENQQWVAN--- 212638417 ----KVCITGGAGFIGSHLGRKLLELDHELIVIDNFHPYYSTCVGFFHFYDVDILQLDSLKQIFFHHQPDVVFHLAALPGVQPSLLEPLAYIDYDVKATVNVLQAAGEAEVQHVLFASSSSVYGNRAFQPLKEEMATGQISPYAAAKYSAESFCHAYAHIYGYTMTIFRYFTVYGP----------WGRPDMAISKFIRQLLRNEPITVYGDHTA-------RDYTYIDDIVAGMIQALER---KGESDVFNLGAGQPVTMKQLLAELRNHF-PHMRVHYEPPRLGDVVATWADITKAKEKIGYEPRVSLREGLSKTIEWAKQYEK------- 283824916 ----KILVTGTAGFIGFHVARTLLARGADVVGFDVVNAYYDPSLKERRLERANLADQAAVNSAFAAHRFDRAIHLAAQAGVRHSLTHPHDYVQSNIVAFTNILEACRHNDTPHLTYASTSSVYGANTAMPFSEHGVDHPLQFYAATKRANELMAHAYSHLFRLPTTGLRFFTVYGP----------WGRPDMALFLFTKAILAGEPIKLFNHGHHTRDFTYVEDIAEGVIRADWSSDRPDPATSNAPFRIFNIGNNQPVRLMEYVAALEEALGRTAQVELLPLQPGDVPDTFADVSRLERAVGYKPATPVNEGVSRFVAWYRDYY-------- 87300564 ------LVTGAAGFVGAAVTEALLRRGERVVGLDNLNAYYDPALKRARFRQLDLEDGSAMAELFESERPRAVIHLAAQAGVRYSIENPSAYIHSNLVGFGNILEGCRHHGVEHLVYASSSSVYGGNRRMPFSEQHPVHPVSLYAATKKANELMAHTYSHLYGLPATGLRFFTVYGP----------WGRPDMAPMLFAKAILAGEPIRVFN------HGRMERDFTYIDDIVEGVIRCLDKPATPDPSFDFNIGNSQPTPLLRFIEVLEDALGVKAIPQFEPMQPGDVAATAADTSALEAWVGFAPHTPLEVGIGHFARWYRDYY-------- 136832170 ----TIFVTGGAGFIGSNFAHYLSKKRYDVVIIDKLTYASDKKNLYYPLECVDISDNDSLSRLFEKYKPKSIFHFAAETHVDNSIKDVNPFIQSNILGTINLLNLSIKHEVEKFHHISTDEVYGGYNDPPFTEETPYDPQNPYSASKASSDHFVMSYHNTYGLPTIITNCSNNYGPR--------QNAEKLIPKTILNIINGKKIPVYAQGQ--------NIRDWIYVDDHCEGI---LDVFNNGKIGEKYNIGGECEVKNIDLVNTIIKLMGSEDLIEFVQDRPGHDLRYSINNAKIKEKVNFFPKHNLEEGLLKTIEWYRNYP-------- 310767779 ----KYLVTGAAGFIGFHVTQRLLNAGHQVVGLDNLNDYYDVNLKTARFIKGDLADREGMAELFRCHRFQRVIHLGAQAGVRYSLENPLAYADANLVGHLNVLEGCRHNQVEHLLYASSSSVYGLNRQMPFTDDSVDHPVSLYAATKKANELMSHTYSHLYGIPTTGLRFFTVYGP----------WGRPDMALFKFTRAMIAGEIIDVYNHGQMRRDFTYIDDIVESIFRLQDVTPQADKDWTSAPYRVYNIGNSQPVTLMAYIEALESALGTVADKNMLPMQAGDVVETSADTRALYEVIGFKPQTSVEEGVARFVSWYKGFY-------- 254455462 ---KNVFITGGAGYIGSHCAISLFKNGYNPIILDNFSNSRSSVIKKITFYDVDIRDKKKLISIFKKISCYAVIHCAGLKSVAESIRRPIDYFDNNIGSTLSLLECMRKSNVFKLIFSSSATVYDNNQLLPLKETNKTGNINPYGNTKYIIERILMDLVKDYRWSIRIARYFNPISNHSSGLIKENPKGPNNLIPCIIKVAQKKLPILKVFGKNYKTKDGTGVRDYIHVMDLADGHVAMIKNNRLKKGLKIYNFGTGKGSSVLEVIRSFEKHTGILISFKFTKRRTGDVAESFCSANKAIKELNWKYRYDLKQAM------------------- 253566340 ----KVLVTGGAGFIGSNLCEYLLKEGHQVRCLDNFITGKIENLLYPDSFQLIIGDIRKLEDCKAVEGMEYVLHEAALGSVPRSIKDPITTNEINIGGFLNMIIAARDAKVKRFVFAASSSTYGDSQSLPKVESIIGNPLSPYAITKYVDELYADIFARTYNFEYIGLRYFNVFGRRQDPFGAYAAVIPLFVKKFMK------------YESPVVNGDGEYSRDFTYIDNVLQMNMLALTTTNPEAVNQIYNTAFGERTTLNQLVNYLKIYLSSHVKIVHGPNRQGDIPHSLASIDKAKTLLNYDPQYCMKDGLKEAVKWYWEN--------- 42784429 --SKTYLITGAAGFVGYFLSKKLLDQGCKVIGIDNINDYYDVNLKYARLEQGDISDKDMITKLFEEYKPNIVVNLAAQAGVRYSIENPDVYIQSNIIGFYNILEACRHFPVEHLVYASSSSVYGANKKVPFEETDFDNPVSLYASTKKSNELMAHTYSHLYKIPATGLRFFTVYGPLGRPDMAYFGFTDKYF----------AGDSIKIFNNGDF--ENDLYRDFTYIDDIVEGIQRLLSNPPKGDEHKVFNIGNNNPEKLMVFIETLEKVLGKAFEKVFEPIKPGDVPATYASTDLLQKAVDFKPETSIEKGLQEFANWYIEYYK------- 136685255 ---KNILITGGAGFIGSHVLRRMVGRQTHFVNLDALTYAGNLNNIKDVFVQGDIADSVLVQSLFQQYQFDGIIHLAAESHVDNSIKNPLGFAQTNVMGTLVLLEAARTLWNKRFYHISTDEVFGLGFSGSFSETTPYDPRSPYSASKAASDHFVRAYFHTYGLPVVLSNCSNNYGPAQY---------PEKLIPLFVKNNIVNEQALPVYGKG------ENIRDWLYVED----HAAAIDLVFRKGKGETYTIGGNNEIKNIDLVHTLIAVTDRLKWITYVTDRLGHDFRYAIDATKIKNELGWEPTTSFAQGIEKTVQSYL----------- 255536062 -----ILITGGAGFIGSHVVREFVKNNPDVINLDALTYAGNENEPNYVFEKADITNVDELRKVFEKHRPDAVLHLAAESHVDRSITDPNAFINTNVNGTANLLNLCREFWTLNFYHVSTDEVYGLGETGFFLETTAYDPQSPYSASKAASDHLVRAYGNTYGMPFIVSNCSNNYGPN--------HFPEKLIPLCISNILNGKPLP--------IYGDGKYTRDWLFVIDHAKAIHQIF---FEAKTGETYNIGGFNEWQNIDLVKELIKQMDSEKLITYVKDRPGHDKRYAIDATKLNKELGWYPSVTFEQGLAKTIDWFLENKEWLD---- 254430817 ------LVTGAAGFIGAAVCERLLARGERVLGFDNLNAYYDPALKRARLARLALEDAAAIEALFQAERPNRVVHLAAQAGVRHSIENPAAYLSSNLLGFGHVLEACRHHGVEHLVYASSSSVYGGNTNLPFSEAQAVHPVSLYAATKKANELMAHSYSHLYGLPATGLRFFTVYGP----------WGRPDMAPMLFARAILAGEPIQVFNHGRMRRDFTYIDDVVEGVIRCLDKPMHPDPATSWAPHRVFNIGNSQPVELLCFIALLEQALGRPAIKVLLPMQPGDVEATAADTSLLEAWVGFRPFTPLEQGVERFAHWYL----------- 148263747 ------LVTGGAGFIGSNLVKQLLKDGHEVTVLDNLLSGYRSNIATFPEVEGDIRDDVVVAEAMKGV--EVVFHLAASVGNKRSIDHPILDAEINVIGTLKILEAARKFGIRKIVASSSAGIFGELKTLPIKEDHPVEPDSPYGSTKLCMEKECLSYAKLYDLEAVCLRYFNVYGLNQRFDAYGNVIPIFAY-------KMLRGEPLTIFGD------GEQTRDFLDVRDVVQAN---IKAAMTLGVSGAFNIASGSRITINRLVELLSAASAINPLVQHGPPRPGDVMHSLADIRAAHEAFDFTPEINLEDGLREYMVW------------- 294056082 ----RIIVTGGAGFIGSALIRHLIQKHHHVLNLDALTYASNTRSLRYQFAQIDLGDRAALANCFQQYQPDTVIHLAAESHVDRSIDSPDQFIATNVSGTLNLLRVAQDYWQFRINHVSTDEVYGDLNDSPFSESSPYRPSSPYSASKAAADHLIHAWTRTYGLPSSIAVCCNNYGP--------YQFPEKLIPHMILRALNGQ--SLTIYGDGH------QSREWLHVNDCAAALTAVLE---SGKANQRYNIGSGQQIHNLELVRRLCDHLSKSPHIEFVSDRPGHDRAYALDSRKIQAELNWKPEVSFDQGLNLTIEWYLANPDWWK---- 302340710 ---KKILVTGAAGFIGHATVCTLLDAGWTVVGIDSINDYYDTALKYARLHQLALEDRDAVARLFREENFDVVCNLAAQAGVRYSLENPFAYADSNLTGFLSILEACRHADVSYLVYASSSSVYGENRSVPFRESDRDNPVSLYAATKKANELMASAYSRLYGFSTVGLRFFTVYGP----------WGRPDMAPTLFLSAMFEGRPIKVFNNG------NMKRDFTYIDDIVSGVVQVISSPKNDGSHTLYNIGRGKPVDLSEFIDLLEKYSGRKAQRNLLPIQPGDVPITWADTSCLERDFAYRPSTPVEAGIAQFVEWYREFY-------- 95929386 ----KYLVTGTAGFIGLHVSLRLLREGHEVVGLDNMNSYYDPALKTYRLAQLDLTDRQGIAELFRGEHFDRVIHLAAQAGVRHSLKAPFDYVDSNLVGMMTILEGCRHQQVEHLVFASSSSVYGMNSTIPFSETDSVDPVSLYAATKKSCELMAHSYAHLYGLPVTGLRFFTVYGPG----------GRPDMAPWLFTEAIVNDQPIKVFNHGEMARDFTYIDDVVECVARLQNVIPGWQRQEERAPYKIYNVGNHQPVELKRFIAAIEQSCGKTAEKIYLDMQPGDVLKTYADTSRLNAVISYAPQRSIEQGVEHFVRWYQD---------- 296123816 MLTMHVLVTGGCGFIGSALVRHLVRNDWTVVNVDCLTYGHVESLEEVATHQKHIADREAMDAAFAKYQPAAVMHLAAESHVDRSLTGPAEFINTNIVGTYTLLEAARTHYKFRFLHVSTDEVFGLGATGQFTEETRYDPHSPYSASKASADHLARAWNHSYGLPVLVTNCSNNYGP--------FQFPEKLIPVVIRKALAEEPIPVYAKGE--------NVRDWLHVQDHVSALLRVLETGMI---GETYAIGGRAEVRNIDLVHVICKVLDHADLITFVSDRPGHDFRYAIDCSKIERELGWKQSVTFEQGMRATVEWYLGNQAWVN---- 294786611 ----TVLVTGGCGYIGAHVVHALHRAGQEVVVVDDLSYGKPSRIEGARLYGMDIADKR-MAEIMKEHGVDSVIHFAARKQVGESVEKPLWYYQQNLNGMLNVLSAMKESGASKLVFSSSAATYGVPPVDVVPEDVVPMPINPYGQTKLFGEWMARACEKSFGLRFCALRYFNVAGCGP----VELEDPATLNLIPMVFDRLRQGKAPAIFGADYPTPDGTCVRDYIHVSDLADAHLAALSYDRDERKYDAFNVGTGEGTSVRQIVDEIKSVTGLPFTESIEKRRAGDPPHLIGSPKRINEEMDWHAKYDVHDIVESAWAAWQANP-------- 218885249 ----RLLVTGGCGFIGTNFVRLVIDRDVTVVNLDKLTYAGNPLNLRYFFEHADIADADAVRRILAQHRIDAVVNFAAETHVDRSIDDPAPFVVTNVLGTQTLLTAAREAGVTRFVHVSTDEVYGTGPEGRFTESTPLAPNSPYSASKAAGDLMARAWFETYGYPVVITRCSNNYGP--------YQFPEKLIPLMIGRA--GRDETLPVYGDGM------NVRDWIHVDDHCRGVLLALEKGR---PGAVYNFGGAAERPNIKVVRAILRLVGKPESIRHVTDRPGHDRRYAMDFSLAAQELGYTPEYDFERGLAETVAWYRNNAAWLES--- 194337309 ----HILITGGAGFIGSHVVRHFLKSSYTITNLDKLTYAGNESNPNYRFVRGDITDGDFLLQLFQENQFDGVIHLAAESHVDRSIANPTEFVVTNVLGTVNLLNAARASWQKRFYHISTDEVYGLGSEGLFTEETSYDPHSPYSASKASSDHFVRAYHDTFGIPVVISNCSNNYGS----------FQFPEKLIPLFINNIVNRKPLPVYGKG------ENIRDWLWVVDHAQAIDVIFH---QGKSGETYNIGGHNEWSNIDLIRLLCRIMESEKLVTYVTDRAGHDLRYAIDSSKLQRELGWVPSISFEKGLELTVEWYLSNAEWLDD--- 296137706 --NDTLLVTGGAGFIGSAVVRHLIRETETVVTVDALTYGHRENLPRHHFEQEDITDAPAMHRLFEEHEPDGAIHLAAESHVDRSIGGPAAFVQTNVVGTQVLLEAARTYWGFRFLHVSTDEVYGLGETGAFTEETPYDPSSPYSASKAGADHLARAWQRTYGLPVVITNCSNNYGPR--------QHPEKLIPVVILNALEGEPIP--------VYGDGTNVRDWLYVKDHVRAL---LEVLQEGRVGETYNIGGNCERENIAVVRQICGILDETRPITFVEDRPGHDWRYAIDASKIEGELGWAPEVSFEEGLRRTVDWYVEHRDWVN---- 134784154 ---KSILVTGGAGFIGSHVIRRLVSNNTKIVNLDLLTYAGNLDNLNYKFIHGDINNFDFLQELFKSHDFDSVIHLAAESHVDNSIKNPFGFAKTNVQGTINLLESAKLNWVNRFYHISTDEVYGSLENGKFTESTSYDPRSPYSASKASSDHFVRAYHHTYGLPILISNCSNNYGPSQHT---------EKLMPLMIKNIINNKP-------LPIYGKGENIRDWLYVEDHVEAIDLILH---SAEIGSTYNIGGNNEYKNIDIIYKLIEFTDREKLVSFVTDRLGHDFRYAIDTTKIKNELGWTAKTSFDEGLKKTIEYYIK---------- 88860035 ----KYLVTGAAGFIGNFVSERLCAMGHQVIGLDNLNDYYDEHFTNFTFVKMDLADREAIANLFATEQFERVIHLAAQAGVRYSIENPMAYIDSNLVGMATILEGCRHNKVQHLVYASSSSVYGANTKIPFAEEDRVDPVSLYAATKKSNELMAHTYSHLYSLPTTGLRFFTVYGP----------WGRPDMAPFLFTDAIANDKPIKVFNNGKMQRDFTYIDDIVEGIIRIQDVIPAPNKQADNKQAVNYNIGNNQPVELEQFITCIENALGKKAIKQYLPMQDGDVVRTFADVSGLESEIGFKPNTDLQSGINSFVQWYIK---------- 135458288 --NKKILITGGAGFIGSALIRHIINEDHIAINVDKLTYAGNENNKRYFFELADICDEKKINKLFNKYQPDLVMHLAAESHVDKSIESPDDFLKTNIHGTYVLLEEAKKYWSFRFHHISTDEVYGDGTDDLFTENTPYAPSSPYSASKASSDHLVRAWFRTFKLPTIITNCSNNYGP--------YQFPEKLIPIIIINALEGKNIP--------VYGNGKQVRDWLYVDDHARALLCVA---FDGKIGETYNIGGHNELKNIDVVKIVCNILDYEELISYTEDRAGGDVRYAIDASKIFNELGWKPSENFNTGIKKTIKWYIENKKWYTNKN- 303241686 ------LVTGGAGFIGSNFITYMLSRKYHIVNLDKLTYGNLENLKEYTFVKGDICDKELVNLLFNKYEIDYVVNFAAESHVDRSILNPEIFADTNIIGTLNLLNAAKKSWSCKFIQISTDEVYGLGDTGFFTELTSLDPHSPYSASKASADLLVKSYYDTYRMPINITRCSNNYGP---------YQFPEKLIPLMVNNALNKKK-------LPVYGNGSNIRDWLYVEDHCSAIDLVLH---NGRIGEVYNIGGNNERQNIQIVKTVINFINSEELVTYVEDRKGHDKRYGIDASKIKKDLGWEPKTTFEEGIKKTINWYLENKEWLCN--- 222054966 FKPRAVLVTGGAGFIGSNFINSFMPAGCRIINLDLLTYAGNENNHCYRFVKGDICDASLVARLLVEEKIDAVVHFAAESHVDRSITGPEVFVRTNVLGTQVLLEESRKHWQFRYLQVSTDEVYGLGDTGFFTEETPLAPNSPYSASKTGADLLVRAYHETYGFPTLNTRCSNNYGP--------CHFPEKLIPLMIHNIM--NRKPLPVYGDGL------NVRDWLHVKD----HSIAIETVLKTGRGQVYNIGGNNEWKNIDIVNLVCDLLENRQLITFVKDRPGHDRRYAIDASKMRRELGWEPSYTFERGIAETIDWYLANQDWVEE--- 261855611 ----RVLVTGAAGFIGSSTALRLLARGDTVLGIDNLNDYYDVNLKKARFIEMDISDRPAIERLFAEQKIDRVVHLAAQAGVRYSIENPHAYVESNLVGFVNILEGCRHASVGHLVYASSSSVYGANESLPFSHDNIDHPLSLYAATKKANELMAHTYSSLYQLPTTGLRFFTVYGP--------WGRPDMALFKFTKAILAGEPIDVFNYGKH--------RRDFTYIDDIVEGVIRTLDHTAESNPNRVYNIGNSQPVELLTYIECIEQAIGKKAELNLLPMQPGDVPDTFADVADLVADVGYQPSTPVDVGVRNFVDWYRSYY-------- 218708289 -----IFISGGAGFIGSAVVRHIITNTTESIVVDKLTYGNVESIARYHFVQADISDADAMAEVFEKYQPTAVMHLAAESHVDRSIDGPADFMQTNIMGTFTLLEAARVYWTFRFHHISTDEVYGDGTDELFTEETSYEPSSPYSASKASSDHLVRAWNRTYGLPVIVTNCSNNYGP--------YHFPEKLIPHVILNALDAKPLP--------IYGDGSQIRDWLYVEDHARALYKVV---TEGVVGETYNIGGHNEKKNIEVVETLCTILDELKPITFVKDRPGHDVRYAIDASKIEKELGWVPEESFETGIRKTVEWYLSNENWWKR--- 15678408 MRDMDVAVTGGLGFIGSHLTDELLERGNRVTVIDDLSTGSPDNLRDPHHEDLEIIEGSDLEKVFQ--GKDYVFHQAALASVPESVRDPLRCHRVNATGTLRVLMASSRAGVRKVVNASTSAVYGNNPEIPLREDARPMPLSPYAVSKVTGEYYCQVF-EDQGLETVSLRYFNVYGPRQ-----RPDSQYAAVIPRFIDALLSGRSP-------EIYGDGEQSRDFIYVGDVVRANIFLAESR----GSGVYNVAGGSSVTVNRLFDIISGILESDAEPEYLDERPGDVRHSLADTSRLAAA-GFRPEVGLEEGLMRTVEWFLE---------- 142491745 ------LVTGSAGFIGSAVSRRLLDRGDEVVGLDNYNDYYEVSLKQARGQRLSVEDRDALETLFKTHQIDRVVHLAAQAGVRYSLENPHAYVDANLVGFMNILECCRHHTVDHLVYASSSSVYGANESLPFVEDSVDHPVSLYAATKKANELMAHTYSHLFGLPTTGLRFFTVYGP--------WGRPDMALFKFSRAILTG--------GTVQLFNGGHHKRDFTFIADIVDGVIGTLDQIAAPDPAYDYNIGSDRPVDLICYLELIEDACGQKARVESLPMQPGDVIATHADVSALKAAIGYAPRVTVEEGIPQFVDWFRSYY-------- 295134100 LTGSTVLVTGGAGFIGSNLCKSLLDLNARVICLDNFSTGKKANLNLFKDHQADIRDADACLRACKNV--DYILHQAALGSVPRSIKDPQTTNAVNINGFLNMLVAAKENQVKRFIYAASSSTYGDAAALPKVEDHIGKPLSPYAITKYVNELYADVFQKTYGLNSIGLRYFNVFGRHQDPDGAYAAVIPKFVKKLMNHR------------SPVINGDGSFSRDFTYIDNVIQANLLAMLTETPEAVNQIYNIACGERTDLNQLVEILKELYKFDPEIKYGPARQGDVPHSQASIEKAKKLLEYQPEFSVKAGLAEAVSWYWNN--------- 15789399 ----TALVTGVAGFIGSHLAAALLDRGYDVRGVDNFATGHDQNLEDFSFYEADIRDADLVADV--TNGVDYVFHQAADSSVPRSVEDPVTTTDVNCTGTATVIDAAREADVDTVVVASSAAIYGSTETFPKVESMTEQPESPYALSKHYTEKLALQASELYDIDTAALRYFNIYGPRQDPNGD-----YAAVIPKFISLMLDGERP-------VIYGDGEQSRDFTFIDNAIQANIRAAEGDVT---GEAFNVGCGGRVTVNELVDVLNDLLDTDIDPIYDDPRPGDVRHSHADISKARELLSYEPEVGFSEGLEQTIPYYR----------- 150402268 ----KILVTGGAGFIGSHIVDLLIENGHDVSVLDNLSTGNEKNLNSAKFIKGDILDKN-----LDLTGFECVIHEAAQINVRTSVENPVFDANINVLGTINILEKIKEYGVKKIIFSSSGAVYGEPEYLPVDEKHAVNPLSPYGLSKFCAEEYIKLYARLYGIEYCILRYSNVYGSRQDPL-------------GEAGVISIFIDKMKKGETPIIYGDGNQTRDFVNVKDVAKANLMALDW-----KNDIVNIGYGNETSVNELFKIIASETGFNKDPIYEKEREGEVYRIYIDYSKAKT-LGWIPEFELENGIKE----------------- 251798954 ----KLLITGGAGFIGSNFVHYMMSRDYEFINVDALTYAGNENHPHYTFVKADIADQAALTPLFES-GIDAVINFAAESHVDRSILQPGLFVHTNIVGTQTLLDLSKTHQVKRYVQVSTDEVYGTGAAGLFTENTPLAPNSPYSASKAGADLLVRAYHETYGLPAVITRCSNNYGP---------YQFPEKLIPLMILNAL-QDKPLPVYGDGL------QIRDWLYVED----HCKAIDLVLHQGRGEVYNVGGSNERTNLHVVRTIQELGKPESLIRHVEDRPGHDRRYAIDADKIKSELGWTPEHSFENGIKSTIEWYLHNEEWLEQ--- 260579964 ----NILVTGGSGFIGSALIRYIINHTQDVINIDKLTYAEVENNPRYVFEKVDICDLNVIENIFEKYQPDAVMHLAAESHVDRSISGAADFVQTNIVGTYTLLEVAKNYWHFRFHHISTDEVYGDLSEPAFTEQSPYHPSSPYSASKAASNHLVQAWHRTYGLPVIITNSSNNYGA--------YQHAEKLIPLMISNAVMGKPLPIYGDGQ--------QIRDWLFVEDHVQASYLVLTKGR---VGENYNIGGNCEKTNLEVVKRICQLLEYEDLMTFVKDRPGHDVRYSLDCSKIHAELGWQPQITFEQGLRQTVKWYL----------- 116329518 MNQRRILITGGAGFIGSHLCERLLKEGNEVICLDNLHTGRKKNIPKFEFIRHDITDPIEVDQIYNMACPASPIHYQS---------NAIKTIKTNVLGMMNTLGLAKRVKA-RILQASTSEVYGNPLEHPQKETYWIGIRSCYDEGKRVAETLCFDYQRNHKVDVRVIRIFNTYGPKM--------LPNDGRVVSNFIVQALKKEDITLYGE------GEQTRSFCYVDDLVDGIIRMM---NTEGFNGPVNLGNDGEFTVRELAELVLKETGSVSKIVHKPLPQDDPARRKPDLTLAKQQLGFEPKVPLVEGIRKTVEYFKNN--------- 134102913 ----RVLVTGGAGFIGSHYVRQLLGAGADVVVLDKLTYAGNEENLRPRFVRGDICEWDVVSEVMR--EVDVVVHFAAETHVDRSILGASDFVVTNVVGTNTLLQGALAANVSKFVHVSTDEVYGTIEHGSWPEDHLLEPNSPYSAAKAGSDLIARAYHRTHGLPVCITRCSNNYGP--------YQFPEKVLPLFITNLMDGRRVPL--------YGDGLNVRDWLHVTDHCRGIQLVAESGRA---GEIYNIGGGTELTNKELTERVLELMGQDWMVQPVTDRKGHDRRYSVDHTKISEELGYEPVVPFERGLAETIEWYRDNRAWWE---- 51246072 ----KILITGGAGFIGSAVIRHIIQNNDSVINLUQLTYAGNEKSPRYSFAQVDIUEATSLNDLFTKTQPDAVMHLAAESHVDRSIDGPKDFIETNIVGTCNLLEATRQHWKFRFHHISTDEVYGDKDDPAFCEDNSYAPSSPYSASKASSDHLVRAWHRTYGLPVVISNCSNNYGP--------FQFPEKLIPLVILNALEGKKIP--------VYGTGEQIRDWLYVEDHARALYAVL---SRGKVGDTYNIGGHNEKTNIEVIETICQLLNYLDLISHVEDRPGHDLRYAIDATKISTDLDWRPQETFETGLRKTVTWYVNNKKW------ 74318717 ----KILVTGAAGFIGMHVAQILLQRGDEVVGIDSLNDYYDPALKLARLEQLDISDRMVMEDLFEKGHFDAVINLAAQAGVRYSLKNPHAYVQSNLVGFANLLEGCRHHGVKHFVYASSSSVYGANTKIPFTHDPVNHPVSLYAASKKANELMAHTYSHLYGLPTTGLRYFTVYGP----------WGRPDMSPWLFTSAILEGRSIDVFNHGDMMRDFTYIDDIADGTVKVNFDHANPDPASSHAPYRVYNIGNHTPVQLMDFIGTIEKALGQEARKNFLPMQDGDVKMTYADVDDLIRDTGFKPATTLEYGIGKWVEWYRGYKN------- 170726037 --SKTILVTGGAGFIGAALIRFLINEDNRVINLDKLTYAGNEGDERYHFIHGDICDKTLVCQTLKHFQPDFIMNLAAESHVDRSIEGPSAFIETNILGTFSLLEAARSYCGFRFHHISTDEVYGLGDTGYFTEESPYLPSSPYSASKAASDHLVRAWSRTYNLPVVLTNCSNNYGA--------YQYPEKLIPLVIMNALSGKPIP--------IYGDGKQIRDWLYVDDHVRALYCVV---TKGEVGETYNIGGCNEKTNLEVVTAICELLGFSSLITYVTDRPGHDTRYAIDAGKIARELNWQPMESFNSGLRKTVIWYLENQAW------ 220903311 ----HVLVTGAAGFIGYHLAARLLADGHSVVGIDNCNDYYDVQLKKDRLAQLDLADGPGMSALFAREGFSHVVNLAAQAGVRYSLVNPESYLSSNLTGFGHVLEGCRHNKVGHLLFASSSSVYGLNAAQPYSVRHNDHPVSLYAATKKSNELMAHSYSHLYGIPCTGLRFFTVYGP----------WGRPDMALHLFTTAIVRGEPIKVFNEGRMRRDFTYIDDIVEPGPDPAFDPAAPDPASSSAPWRIYNIGNNNTVELNDFIATLEDALGMKARKDMLPMQPGDVKATWADINDLTALTGFAPSTPLREGIARFVEWYKEYYK------- 157736911 ----KILVTGTAGFIGSHLAIKLLERGDEVVGLDNINDYYDQNVKYGRFIKINLEDKNSMMKLFETEKFDAVCNLAAQAGVRYSLTNPDAYMDSNIIGFMNILEACRHNNVKNLSYASSSSVYGLNEELPFTNHNVDHPISLYAASKKSNELMAHTYSHLFGISTTGLRFFTVYGP----------WGRPDMALFLFTKAALEGNKIDVFNNGEMLRDFTYIDDIVEGVIRVNWNGKTGETSTSSAPYKIYNIGNNNPVKLMDFINAIENKLGKIIEKNMMPIQAGDVPATYADVSDLVENLGYKPATPIQKGVDNFVDWYLEF--------- 256397274 ------LVTGGAGYIGAHVVRALIADGHEVAVLDDMSTGVKDRLPDGPLVMGSVLDQAALERALADHAVTGVVHLAGKKRVGESVAKPLYYFQENVEGLRILLAAMGAHGVRSLVFSSSAAVYGMPDVELVTEDTPCAPLSPYGLTKLIGEQLIAAAAAAQPLAYVNIRYFNVAGAATPELADRGAANLIPLVFERMDAGL----PPRIFGGDYPTPDGTGIRDYIHVADLAEAHTAAARRSADPGTALTLNAGRGVGVSVREIIEIIGNVVGAPGLTVVEARRAGDPARSVAAADRIREELGWTARLGVPEMVESAWAWRR----------- 159902005 ----KILVTGAAGFIGFHTATKLLDRGDVDDGLDIVNDYYQVSLKHDRLKQLELEDRDAVNGVFERHGFDSVIHLAAQAGVRYSLENPQAYVDANLVGFVNILEACRHNKVGHLAYASSSSVYGANKNKPLRVTDRDHPVSLYAASKKANELMAHTYSHLFGLPTTGLRFFTVYGP----------WGRPDMALWLFTEAILKGESINVFNHGKMRRDFTYVDDIVEGVIRVNDNVPQMDDSTTSAPYNIYNIGNNQPVDLMYMIEVLEKAIGRTANKNMMDIQPGDVPETFADIDALQRDVGFKPDTPIETGIERFVAWYKSYHN------- 135247095 ------LVTGGAGFIGSNMVRFLLEKGHKVRILDNFETGKKENLTDIDLIEGDIRDAEKVKQAVAGA--EVVYHLAALGSVPRSIKDPLTTHAVNVDGTFNVLLAARDAGVRRLVFASSSSVYGDNEVLPQHEGLPLAPISPYAASKAIGEIYCRTFCKVFNLETICLRYFNVFGPRQD------PTSQYAAAIPLFVSALLHDKSPVIFDDGEQSRGFT----YVDNVLNANWQAANVDHVAGQA----VNISTPNSVTVNTVVNTIRKLLGKAQIPEYHPPRPGDIKHSLADIKLARQTIGYEPKITFEQGI------------------- 239931309 ----RILVTGGAGFIGSHYVRTLLGQGVAITVLDKLTYANLDEVRAHPFVQGDICDPELVGKLMAEH--DQVVHFAAESHVDRSIDGGAEFVRTNVVGTHTLIDAAHRAGIETFVHISTDEVYGSIDEGSWPETHPLQPNSPYSSAKASSDLIALSYHRTHGLDVRVTRCSNNYG--------HHHFPEKVIPLFVTNLLDGKKVPL--------YGDGGNVRDWLHIDD----HVQGIELVRTKGRGEVYNIGGGTELSNRELTELLLEACGADWEVEYVEDRKGHDRRYSVDCTKISEELGYAPRKDFREGLAETVQWYRDNRDWWE---- 302655073 MATGSVLVTGGTGYIGSFTALALLEAGYKVVVVDSLYNSSEEALKRPEFVKLDVRDEAAFDKVFEAHDIDSVIHFAALKAVGESTERPLDYYDVNVHGSICLLRSMVRHNVTNIVFSSSATVYGDATRIPIPEECPLGPTNPYGNTKVAIESAITDMEDVEKWNGALLRYFNPAGAHPSGIMGEDPQGPYNLLPLLAQVANGKREKLSVFGNDYASHDGTAIRDYIHILDLADGHLEALNYRANHPGVRAWNLGTGKGSTVLEMVKAFSAAVGRDLPYEIAPRRDGDVLDLTSNPSRANKELGWVAKRDLHQACEDLWRWTENNPQGYRQ--- 83272141 ----NILVTGAAGFIGSHFVRTLLSGGYRVTVLDKLTYAGTLNNLPAHHVHGDICDTTLLDKVFPGH--EAVVHFAAESHVDRSVAGAEAFVRTNVLGTQALLEAALRHGIGVFVQVSTDETYGSIAEGRWTEDEPLLPNSPYAASKASADLIARSYWRTHGLDVRITRCANNYGPG--------QHPEKLVPLFVTRLLDGQPVPL--------YGDGSNLREWLHVDDHCHAVRLVLDRGR---PGEVYNVGGGTHLTNKEMTGRLLALCGRDWDVRRVADRKGHDFRYAVDDSKIRRELGYAPRWSLEDGLRETVEWYAAHRDHWDAREE 256752415 ------LITGGAGFIGSNIAEELIKRGEKVRIIDNFSTGKMENIEEFKDIEGDLKNINDVKK--AVEGIDYILHQAALSSVPRSVEDPLSSNANNIDGTLNLLVVAKEAGVKRVVIAASSSAYGDTEILPKSEDMTPNPLSPYAVTKYVEELYGKVFYNIYGLETVSLRYFNVFGPKQD------PNSQYAAVIPKFITKILKGESPVIFGDG------EQTRDFTY-IDNVVEANILAATSKNVGHGEVINIACGERISLNQLVDKINEILGTNIKPLYDKPRIGDVKHSLASIEKAKKLLGYRVSIKFEEGLRKTIDWYKK---------- 303244409 ----KVLITGGAGFIGSHIVDKFLNNNHEVVVLDNLSTGNFRNISNNKFINKDIRDND-----LNLEGIDVVIHHAAQINVRTSVEKPVYDGNINILGTINLLEKIRQYDVKKIIFASSGAVYGEPHYMPVDEKHPVAPLCPYGMSKYCGEEYIKLYNRLYGLNYTILRYANVFGERQD------PMGEAGVISIFIDKIMKNQRPT-------IFGDGNQTRDFVYVGDVANANLMALNW-----KNEIVNIGTGKETSVNELFDVIARELNYKYKPIYDKPREGEVYRIALNINRAK-ELGWKPTVNLEEGIKRTVDWMK----------- 116625283 ----KILVTGGAGFIGSAFVRMAIAETDHVVNLDKLTYGNLENLARYRFVHGDICDAALVEATLAEERPDAIVHFAAESHVDRSILSPEPVVRTNYNGTFTLLEAARRQKIARFVHVSTDEVYGSLEAPAEDEAYVLNPSSPYSASKAASDLLARSYFVTYKLPVLITRASNNYGP---------YQFPEKLIPLMIANALDDQP-------LPVYGDGQQVRDWLYVYDHCRGIMAVLNQGR---EGEIYNIGGNRSLPNLDVIHQVLAITGKESLIVYVKDRPGHDRRYALSSEKLMHETGWQPLMDFETGLARTIEWYRGNAAWVAR--- 239996825 ----KILVTGAAGFIGAAVSQYLINRGDQVVGIDNINDYYEVKLKHARLDEIKVEERDKMAALFEEHKFDRVVHLAAQAGVRYSLENPNAYVDSNIVGFVNILEGCRHNKVEHLVYASSSSVYGANETMPFSEQHNVDHVSLYAASKKANELMAHTYSHLYNLPTTGLRFFTVYGP--------WGRPDMALFKFTKAILEGKTIQVYNYGNH--------RRDFTYIDDIVEGVIRSLDNVAKPNENWDYNIGAQTPVHLLKFIETLESALGIEAKKELLPMQPGDVPDTYADVSSLVEDTGYQPSTDVETGVKAFVDWYRDFYK------- 87303234 --TRHLLITGGAGFIAGNLTHHWAADGDRIVVLDALTYAGNRATIRIRFVHGDIGDRPLVDALLAEHAITHVAHLAAESHVDRSITGPGAFLATNVNGTFTLLEAFRDHWDWRFLHVSTDEVFGEPSDPPFCETTAYSPRSPYAASKAASDHLARAWQHTYGLPVLVSNCSNNYGP--------FHFPEKLIPLTLINILLGRPIP--------VYGDGSNVRDWLYVEDHCRALDRIL---LAGEPGRTYCIGGCNEVANLDLVGMLCALMDSRELIRFVTDRPGHDRRYAIDATRIREELGWQPQVTVQEGLRRTVQWYLANREW------ 300024632 ----KFLVTGVAGFIGFHTAERLLARGDVVIGVDNINDYYDPKLKEARFHRLNIADGAAMAALFQSERPEKVIHLAAQAGVRYGQENPGAYIESNIVGTQSILEGCRHNDVKHLVLASSSSVYGANTAMPFSHDNVDHPLSLYAATKKSNELTAHTYAYLYQLPVTALRFFTVYGP----------WGRPDMALFKFTRQILAGEPIEVFNNGHHARDFTYIDDIVEANPNPDWSGEKPDPATSMAPYRVYNIGNNSPVELMDFIAATERAVGRESKKIFLPMQPGDVPTTFADVDDLVRDVGFKPATPLEEGIARFVAWYRSYY-------- 298528456 ----NILVTGGCGFIGTNFIYMLNESQHTLINLDKLTYGNLENLRRYFFEHGDICDAELVPDLLKKYSIDVIVNFAAESHVDRSINEPGMFIQSNTQGTYNLLEASRQAGIKKFIQVSTDEVYGLGPQGSFKEDSPLAPNSPYAASKASADLLCRAFFKTYHFPVTITRCSNNYGP--------FQFPEKLVPLTFLRARDNESIP--------VYGQGENIRDWIYVSDHCRGIKLCIEK---AGPGSIYNFGGNAEYKNLDVIKKILDIMGKSHKIRFVQDRPGHDLRYAMDFTLAKKDLGFEPSVKFTEGLELTINWYLNNNKWVDS--- 136463131 ----KVLVTGGAGYIGAHVAAELLKSGNSIRIYDDFSNGLHRRVKFRDIVDGDMLDRVKLLAALD--GIDAVIHLAAKKAVEESVKDPLKYYENNVGGTLNLLGAMAAKGVKQLVYSSSAAVYSPNDKEAVLEDDPTAPLSPYGASKLLSEQLISSVGSAEQISNISLRYFNVVGSN----IAEFGDNSKDNLVPKVFLALKNGKRPQIYGSDYPTPDGTCIRDYIHVQDLALAHLAALKKVESGYISQVYNVGSGKGYSVKEMMDQISKSLGRDINPEVSQARAGDSPKLIASIDKIKEQLGWSPKASLEEMIDSAWQ-------------- 242279117 ----RLLITGGCGFIGTNFIYLMKERDWKLFNLDKLTYAGNRKNLGYTFIHGDICDKEFVTSVLHDYKIDAVVNFAAESHVDRSINDPAPFLTTNTLGAQNMMECARSAGIEKFVHVSTDEVYGTPNDPAFSEENPLEPNSPYSASKAGADLMARAYFETYKFPVSITRCSNNYGP--------YQFPEKLIPLMFIKATTGESLP--------IYGDGSNIRDWIYVDDHCTGVELTL---LKGQPGKAYNFGGAAEKTNLELVKELLAILGKDESITYVKDRPGHDMRYAMDYSLAEKELGFAPAVTFDEGIRKTIEWYQSNGQWLED--- 88802244 --KKNILVTGGAGFIGSHVVRLLVNKEYRIVNMDVLTYAGNLENLKDIQDKVDICDSEKVKNVFEEYQIYSVIHLAAETHVDRSIKDPFSFAQTNVMGTLSLLQAAKDYWNKLFYHVSTDEVYGLGAEGYFTEQTNYDPHSPYSASKASSDHFVRAFADTYSLPIVISNCSNNYGS--------YQFPEKLIPLFINNIVNNKPLP--------VYGKGENVRDWLFVDDHARAIDVIFH---NGKIGETYNIGGFNEWKNIDLIKIMIKTVDREKLITYVTDRAGHDLRYAIDSTKLKEELGWEPSLQFEEGIEKTVQWYLDNKSWLE---- 306842188 ----NILVTGGAGFIGSAVCRHLASDPENVVNLDKLTYAGNENYPNYSFLQADICDDATVLDALRANEIDVVMHLAAESHVDRSIDGPAAFIETNIVGTFRLLNTALAYWRFRFHHISTDEVFGDFDSGIFTEETPYQPSSPYSASKAASDHLVRAWHETYGLPVVLTNCSNNYGP--------FHFPEKLIPLVILNALDEKPLP--------VYGAGANVRDWLYVEDHARALALVA---TTGKLGESYNIGGRAERTNLNVVETICSILDKKRPITFVTDRPGHDRRYAIDASKIERELGWKPQESFETGLGKTIQWYLDNAWWWE---- 198283742 MEG-RILITGAAGFIGFHLARRLLADGWVVSGIDNLNDYYDPGLKRGRLAQLDLADREGMQTLFAGPHFDAVVNLAAQAGVRHSLKAPHSYVDSNVVGFLNVLEGCRAQGVDHLLFASSSSVYGANNRLPYSVHDPDHPVSLYAATKRAGELMAHSYAHLYGIPSTGLRFFTVYGP----------WGRPDMAYFSFTQKILAGHPIPVFNHGQMQRDYTYIDDIIEIPRAPEAQDIWPEDPASSAAPFCIQNGNHTPVALTDFIRILEECLGKSAQIEWLPMQDGDVVATYADVTALQQSVGFAPNTPLRTGLQRFVTWYRQYY-------- 90414929 ----KYLVTGAAGFIGSAVSERLCAQGHEVIGIDNLNDYYDVSLKHARFVELDLADRDGMAALFAEQQFDRVIHLAAQAGVRYSIDNPMAYADSNLVGHLAILEGCRHNKVKHLVYASSSSVYGLNQKMPFTSDSVDHPISLYAATKKSNELMAHTYSHLYDVPTTGLRFFTVYGP--------WGRPDMALFKFTKAIVDGE--------QIDVYNNGDMRRDFTYIDDIVEGVMRIQDVIPQKAPYKVYNIGHGSPVKLMDYIEALEDALGIEAKKNFMPMQPGDVYATYADTEDLFKAINYQPAVKVKEGVKAFVDWYR----------- 299532671 -----IFVTGGAGFIGANFVLDWLAHVNEPVVIDKLTYGNLENLARHVFVQADIGDSAQLAQLLAQQQPRAVVNFAAESHVDRSIHGPEDFIQTNVVGTFRLLEAVRAYWNFRFLHVSTDEVYGTPTDPAFTEEHNYEPNSPYSASKAASDHLVRAWHHTYGLPVLTTNCSNNYGP--------LHFPEKLIPLVIVNALAGKPLP--------IYGDGMQVRDWLYVRDHCSAIRRVLEAGRL---GETYNVGGWNEKANIDIVKTVCSLLDYAEQITYVTDRPGHDRRYAIDARKLERELGWKPAETFETGIRKTVQWYLANPEWVA---- 283841218 ----TVFVTGGAGFIGSAVCRYLIERTDQVVNLDKLTYAGNEDNPRYRFVQGDIGDRELVSALLRDARPTHVMHLAAESHVDRSIRGAGDFIDTNIVGTFRLLEAARAYRDFRFLHVSTDEVYGTGDTGYFVETTPYAPNSPYSASKAASDHLVRAWFHTYGFPALISNCSNNYGP----------YQYPEKLVGRTITRALARQSLPVFGQGL------NIRDWLYVEDHAQALWLVVSRGRL---GEQYNIGGRNERRNIDLVKRICTLLGYASLIEFVVDRAGHDFRYAIDATKIETELGWKAEHDFDSGIEATVDWYLANEWWWK---- 256805972 ------LITGGAGYIGAHVARAMTEAGERVVALDDLSAGVPARLPRVPLVEGSSLDGDLLKRVFAEHGVTGVVHLAARKQVAESVAEPTRYYRENVGGLATLLEAVAEAGIERFVFSSSAAVYGDPGVDLITEDTPCAPVNPYGETKLTGEWLVRAAGRAHGISTVCLRYFNVAGAAA----PELADTGVFNIVPMVFDRLTRDEAPRIFGDDYPTPDGTCVRDYIHVADLAEAHLAAARRLAERGRSGDVNIGRGEGVSVRELITVIGEVTGDRRPPVVEPRRAGDAPRAVASAARAAEELGWTARRGVREMAESAWRWLLHH--------- 310620224 ----NIIVTGGAGFIGGNFVHMMVAKQDHIICVDVLTYAGNLETLEPIKDKADIADRQTIYEIFEQYKPDIVINFAAESHVDRSIENPEAFLRTNIMGTAVLMDACRKYGIQRYHQVSTDEVYGDRPDLFFTEETPIHTSSPYSSSKAAADLLVLAYHRTYGLPVTISRCSNNYGP--------YHFPEKLIPLMIINAFNNKKLP--------VYGDGKNVRDWLYVKDHCEAIDLIIRKGR---VGEVYNIGGHNERANIDVVKTILSTLGKDESITYVIDRPGHDQRYAIDPTKINNELGWLPKTSFDEGIKKTIQWYMDNQDWWE---- 143363105 ----KILVTGVAGFIGMHSAKKLLDEGHEIIGIDNLNDYYDVSLKEDRLHKLDIKDQKDVLDLFKKESPQRVLHLAAQAGVRYSIQNPYVYIDSNIQGFINILEGCRATKTEHLVYASSSSVYGGNEKTPFSEHDNDHPISLYAATKKANELMAHTYSHLYQIPTTGLRFFTVYGP----------WGRPDMSPILFTKAILSDEPIQVFNHGDMMRDFTYIDDFKTATPNTNFDAKHPDPATSHAPYRIFNIGNSQPIPLMQFIETIEEALGKKAIKKMMGMQAGDVKITAADTAELNQWVNFKPNTSIKEGVKRFVDWYKNYY-------- 143515075 ----KVLITGGAGFIGSALVRHILSSDYKVLNVDCLTYAGNEKNDNYSFAHIDICNKSDLKRLFNNFKPNLVMHLAAESHVDRSIDGPLRFLETNIIGTFNLLDEARQFYHFKFHHISTDEVYGDLENDVFTEKTPYAPSSPYSASKASSDHLVRAWGRTFNLPIVITNCSNNYGP--------FHFPEKLIPHIILNALHGKPLP--------IYGKGDQIRDWLYVEDHAKAL---LKVAIEGKLGETYNIGGDNEKKNIEVVNSICEILEYKDLIKFVDDRPGHDRRYAIDASKIKSELNWYPEETFETGLRKTVRWYLDNKTWWER--- 282898467 ----NLLVTGGAGFIGSNFVLHARKLGYNVINLDKLTYASNLQNLGYRFIQGDIGNYELVSYLLEEYEVDAVINFAAETHVDRSILSPGNFIETNVVGTFKLLEAIKTYWQFRFLHISTDEVYGNTEDPAFREDSQYAPNSPYAASKASADHLVRSYHHTYGLPTLTTNCSNNYGP--------LQFPEKLIPLMIINAINGKSLPIYGDGQ--------NIRDWLYVTDHCDAIYLVLQ---EGRIGENYNIGGMNEKTNLAVVNKICEILERSSLITFIKDRPGHDRRYAIDCSKISKELGWQPKENFESGLIKTVRWYLDNAAWVES--- 124004207 ----KILITGGAGFIGSHVVRLFVNKDYQIFNLDKLTYAGNEQKSNYHFIKGDIVDQDFVQELYHKHKFDGTIHLAAESHVDRSILNPLEFVQTNVIGTVNLLNAARDIWKENFYHVSTDEVYGLGNEGLFTESTAYDPHSPYSASKASSDHFVRAYHDTYGLPIVISNCSNNYGA--------YQFPEKLIPLFIHNIKNSKPLP--------VYGKGENVRDWLYVVDHARAIDLV---YHQGKQGATYNIGGFNEWKNIDLIRLMCQVMDKKLNIEFVKDRAGHDLRYAIDASKINQELGWSPSVTFEQGIELTIDWYLENTQWLDN--- 302880022 -----ILVTGSAGFIGSNFVLDWCALHDEPVMLDKLTYGNLENLARHTFVQGDIGDAELVARLLAVYRPRAVINFAAESHVDRSIHGPEDFIQTNIVGTFHLLEAVRAYWNFRFLHVSTDEVYGAKDEPAFTETHRYEPNSPYSASKAASDHLVRAYHHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLLIVNALAGKALP--------VYGDGQQIRDWLYVKDHCSAIRCVLEKGRL---GEVYNVGGWNEKANLDIVHTVCDLLDELRPITFVTDRPGHDRRYAIDARKIERELGWKPAETFETGIRKTVQWYLDNQGWVGN--- 135481766 --------------------------GYDVSVIDNFSNSKAESLKKIDLHEGDLCDIAFINQLLSKKKISSVIHFAGLKSIEKSFRDPVSYYENNFLATINLVNAMIHNNITNFIFSSSATIYGNNKTVPFKEENKFGSLNPYASTKIMIEQFLMDLCANKNFKAISLRYFNPIGAHSSGLIGEDSEAPSNLMPIISDVAIGKNKYLTIFGNDYKTRDGTCLRDYFHVMDLADGHLNALKKLESLNGSEAYNLGSGEPKSVIEIIEAFSAITEKVINYKFGPKRNGDSPEVWADITKAKKELMWEPKRSLQDMLIDGWNWKKNNPMGYK---- 146298123 ----TILITGGAGFIGSNLSEHFLGLGHKVICLDNFSTGHRHNLKDFIEIEGDIRNLEDCTKAVQGV--DYVLHQAALGSVPRSINDPITTNEVNVSGFLNMLVASRDAKIKRFIYAASSSTYGDSEGLPKVEEVIGKPLSPYAITKYVNELYAEIFSRTYGLETIGLRYFNVFGRKQDP-----DGAYAAVIPKFVRQLINLESP-------LINGDGNYSRDFTYIDNVIQMNELAINTKDSAAINTVYNTAFGDRNTLNDLVKYLKQYLSADVEISYGLNRVGDIPHSLASIEKAKKMLGYKPEFSLQDGLKEAVSWYWNN--------- 257092763 -----ILVTGGAGFIGANFVLDWLAQSDEAIVLDKLTYAGNRENLRHIFVHGDIGDAALTSQLLARHQPRAIVNFAAESHVDRSIHGPEDFIQTNIVGSFHLLEATRGYWGFRFLHVSTDEVYGARDEPAFSELHRYEPNSPYSASKAASDHLVRAYHHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLVIVNALAGKPLP--------VYGDGQQIRDWLYVRDHCSAIRRVLDAGR---PGETYNIGGWNEKPNLDIVHTVCLLLDYRDQIVYVADRPGHDRRYAIDARKIESELGWRPAETFASGIRKTVQWYLENQDWVRN--- 301169592 ----NILITGGSGFIGSALIRYIIQTQDSVINIDKLTYAEVENNPRYAFEQIDICDLKAIESVFEKYQPDAVMHLAAESHVDRSISGAADFIQTNIVGTYTLLEVAKNYWYFRFHHISTDEVYGDLSEPAFTEYSPYHPSSPYSASKAASDHLVYAWHRTYGLPVIITNSSNNYGA--------YQHPEKLIPLVISNALMGKPLPIYGDGQ--------QIRDWL----FVEEHVEALYLVLTKGRGENYNIGGNCEKTNLEVVKTICQLLEYDDLIIFVKDRPGHDVRYSLDCSKIHAELGWQPKITFERGLSQTVQWYL----------- 189500838 ----HILITGGAGFIGSHVVRHFVDTQYTITNLDKLTYAGNEDRSNYRFVKGDITDGDAMIILFAQERFDGVIHLAAESHVDRSIANPTEFVVTNVLGTVNLLNASRALWKDRFYHVSTDEVYGLGGEGMFTEETSYDPHSPYSASKASSDHFVRAYHDTYGLPVVISNCSNNYGS----------FQFPEKLIPLFINNICNNKPLPVYGKGENIRDWLWVVDHASAIDAIYHKGKQGETYNIGGNNEWTNIALIRLLCSIMDRKLGRSEGESEKLITYVTDRAGHDFRYAIDSSKLQRELGWTPSLQFEEGLEKTVDWYLQNGEWLEN--- 120601089 ----HVLVTGAAGFIGFHLSRRLLAEGHTVVGLDNLNDYYSVQLKRDRFAEIDMAHDDDMDQLFEREGFTHVVNLAAQAGVRYSIKNPRSYVQSNLVGFGNILEGCRHNQVKHLVYASSSSVYGLNTTMPFSHDNVDHPISLYAASKKANELMAHTYSHLYRLPTTGLRFFTVYGP----------WGRPDMALFLFTKAILEGRPIDVFNHGQMRRDFTYIDDIVEPTPNPAWTGSAPDPSTSTAPYRIYNIGNNNTVELGRFIEVLEECLGKKAVKNMLPMQPGDVAATYADVDDLIADTGFRPATTVEEGVAAFVAWYREYY-------- 294790624 -RNMTVLVTGGCGYIGAHVVHALHQTGEEVVVVDDLSYGKPSRIEGSRLYGMDIGSDARMAEIMIENKVDSVIHFAARKQVSESVEKPLWYYRQNLNGMLNVLTAMKESGAKKLVFSSSAATYGVPPVDVVPEDVVPMPINPYGQTKLFGEWMGRACEKAFGIRFCALRYFNVAGCGP----VELEDPAILNLVPMVLDRLQHGLAPAIFGDDYPTPDGTCVRDYIHVSDLADAHLAAMHYNRDERKYDAFNVGTGKGTSVREIVDEIKKVTGLPFTETVKARRAGDPPHLIGSPERINTEMGWHAQYDVHDIVESAWKAWQANPN------- 29831629 ------LITGGAGYIGAHVVRAMREAGDRAMVYDDLSTGIAERVPDGPLVVGSTLDGGLLRRTLAEHAVTGVVHLAAKKQVGESVELPLHYYRENVEGLRVLLEAVTDASVPSFVFSSSAAVYGMPDVDLVREETPCVPMSPYGETKLAGEWLVRATGRATGLSTASLRYFNVAGA----ATPELADAGVFNLVPMVFEKLTEGAAPRIFGDDYPTPDGTCVRDYIHVVDAEAHVAAARALEASPGAGLTLNIGRGEGVSVREMVDRINALTGYDTPPTVVARRPGDPARVVASADRIAVELGWKAKYDVQDMITSAWEWVRLHP-------- 154253744 ----RVIVTGGAGFIGSAVIRLLINETHEVLNLDCLTYASDQAALSSVCEHTDIRKPDALRRVFRDYRPHLVMHLAAESHVDRSIDAPADFIETNVVGTVNLLETARSYWAFRFHHVSTDEVYGLGAEGYFTEETAYAPNSPYSASKAASDHMVRAWHATYGIPVVTSNCSNNFGPH--------QFPEKLIPLTIINAIEGKSLP--------VYGNGMNIRDWLFVEDHARALMTIA---LRGRVGETYNVGGDAERTNIEVVRSICSLLDHENLIRFVADRPGHDMRYAIDTSKIRRELGWQPVESFESGLRKTVEWYIDN--------- 254500100 --KKRAFITGSAGFIGFHLAELLLQEGWEVAGFDGLSDYYDVRLKERRHEILHLEDTTSVSKSIADFKPDVIVHLAAQAGVRYSLENPRAYVDANVVGTLNVMEAARAANVQHLLMASTSSVYGANTEMPFDENQKTDPLTIYAATKKANEAMGHAWAHIHQIPITMFRFFTVYGP--------WGRPDMALFKFTKGILEGTPIDIYNHGEMY--------RDFTYVADLVRGIRGLMDAVPGSAPYRVVNIGNSDKVRLLDFIEAIEDELGKKAIRNLMPMQTGDVPATWADATLLQDLTGYKPETPFREGVAKFVQWYRDYYE------- 255262196 ---KTVLITGTAGFIGFHLAKVLLDMGHRVVGFDGMSDYYDVDLKKRRCVEGQLEDFDALHDLMMDAKPDVIVHLAAQAGVRYSLENPRAYVNTNLIGTFNVMECARELGVDHLLMASTSSVYGANTQMPYAETHKADPMTIYAATKKANEAMGHSYAHLHNLPVTMFRFFTVYGP----------WGRPDMAPHKFTKGILEGHEIDIYNHGDMYRDFTYVEDLVRGIVDVPPVRPETAEDIEEGDSRVVNIGNSEKVRLLDFIEAIEDAAGVKAKRNYMDMQPGDVPATWADASLLKRLTGYSPNTNIRDGAAKFVAWYRDYY-------- 85060359 ------LITGGAGFIGSALVRYILATDYRVLVVDKLTYGNLDSLPHYRFARADIGDGPAMARLLAEFQPYAIMHLAAESHVDRSIDGPAAFIDTNITGTSILLEAAREYWAFRFHHVSTDEVYGDDDGSRFNESSPYAPSSPYSASKAASDHLARAWMRTYGLPVLVTHCSNNYGP--------YHFPEKLIPLMIINALAGKPLP--------VYGDGGQIRDWLYVEDHARALYQVVTRGR---PGETYTIGGHNERRNIEVVEALCALLEQAQLITLVEDRPGHDRRYAIDAGKIARELGWRPQETFDSGLAKTVQWYLTHREW------ 149925745 ----TLLVTGSAGFIGSCFVRQHFEHSIEPVVLDALTYGHLSTLPEHTFVHASIGDVNAVRAIFEQHKPRAVLNFAAESHVDRSILGPGEFIETNVVGTYRLLECAREYWNFRFLHVSTDEVYGTPEDAPFSEAKRYEPNSPYSASKAASDHLVRAWHHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLCIMNALNGKQLP--------VYGDGQQIRDWLFVEDHTRGIRTVLE---NGVLGDTYNIGGWNEKANLDVVKLICALLDYSTQIAFVTDRPGHDRRYAIDARKIERELGWKPVETFETGIRKTVQWYLANQAWVAE--- 142243487 ----KILLTGGAGYIGSHVLLSIIENKHEVVVIDDLSTGNKNLIPENIKINTNINNSEKISNILTTESFDLLLHFAGFIKVEESVQNPDKYFKNNTDNAIKLFETCLKHNLKNIIFSSTAAAYGNPNNESITEEETLSPLNPYGESKVKTEEYLL--NNKDKFNSIILRYFNVAGADPELRSGLISDTPTHLIKILSEVAVGKRKKISIFGNDYNTKDGTAIRDYIHVSDLANIHLEAAKYLLEKKISNIFNCGYGKGYSVLEVINTANQIYDNKIMFEFDKRRPGDSEKLISNVDKLHEHISWKPKFDLKLIIKTAVEWEKKNEKNL----- 237753215 ---QTFLFTGAAGYIGSHTAYYFLKNDCKIVIFDNLSTGFLENIEFLQHIQGDLSDTKALRKVFLDSNICAIIHFAASLIVQESVQKPLMYFKNNVANTTNLLEVAQEFGVNRFLFSSTAAVYGEPKSENIVESSLKAPINPYGESKLMIEKILHALEANPSFKSVILRYFNVAGALMEGALGQRVKNATHLIKVACECACGKRSKMQIFGEDYPTSDGTCIRDYIHIDDLASAHFWALKALMETEKSEVYNVGYGKGFSVKEVIDCVKKVSGKDFIVESAPRREGDPSVLVSDNQRILAHTSWNPKYDLEVICKSAYLW------------- 194334560 ---KNILVTGGAGFIGSHVVRRFVNAEYRITNLDALTYAGNEDRENYRFVKGDITDGEAMMALFREEAFDGVVHLAAESHVDRSIANPSAFVMTNVIGTVNLLNAARTAWADLFYHISTDEVYGTGSDGMFTEETAYDPHSPYSASKASSDHFVRAYHDTYGLPAVISNCSNNYGS----------FQFPEKLIPLFINNICNNKPLPVYGKGENIRDWLWVVDHASAIDVIYHNGKQGETYNIGGNNEWTNIALIRLLCGIMDRKLGRSEGESEKLISYVTDRAGHDFRYAIDSSKLQQELGWTPSSRFEEGLEKTVDWYLANSEWLER--- 144087748 ----KILVTGGAGFIGSAVIRFINSQDFAVINVDKLTYAGNLDSLPGVHEQVDICDGQALARVFEQYQPTCVMHLAAESHVDRSIDGPADFINTNITGTFNLLEAARKYFDFRFHHISTDEVYGDGTDDLFTEQTPYSPSSPYSASKAASDHLVRAWGRTYGLPVIITNCSNNYGP--------YHFPEKLIPHVILNAIHGKPLP--------IYGDGLQIRDWLYVEDHAKALIRVL---TEGKIGETYNVGGHNEKTNLEVVETICDLLEYRDLITFVKDRPGHDARYAIDASKIERELGWVPEETFETGFRKTVEWYLDN--------- 254496076 --SMTILVTGGAGFIGSNFVDWLVSNDEPVINVDKLTYARIESDPRYIFIHADISDAERLDQLLVAHNVRAIIHFAAESHVDRSIHGPADFIQTNIVGTFHLLEVARNYWHHRFLHVSTDEVYGAPGAPAFTEMHRYEPNSPYSASKAASDHLVRAYYHTYGLPVLTTNCSNNYGP--------YQYPEKLIPLCIKNALAGKPLPIYGDGQ--------QIRDWLYVTDHCAAIRTVLAHGRL---GEVYNIGGWNEKPNLEVVHTICALLDYTSQISYVADRAGHDRRYAIDATKIAHELDWKPSETFESGIRKTVQWYLA---------- 137961446 -------------------------RGYEVVVFDNLSQGHRAAVPAADWVEGDLSSPHDIHHAIDSHRPGAVMHFAARSLVGESINEPFTYLRDNVVNGLNLIEACVAGGVERFILSSTANLFGTSSAALIDEQEPIMPGSPYGESKWALERALEWVSQTKGLHFASLRYFNAAGASEER--GEHHKLETHLIPLVLQVAAGHRDYITIFGDDYDTPDGTCVRDYVHVLDLAEAHVLAMQELAQ--DNCTYNLGSGQGYSVRQVIESARAVTGTSIPAQIGSRRAGDPARLVASSDRIRKELGWKPRFSLEQIIDSAWRWHQRHPDGYSD--- 143205917 MGLKNLFVTGGLGFIGSNFIRLLLNSGETIINFDKQTYGNPENLQDLENHEGDICDREKVSRVLEECKIDGIVNFAAESHVDRSIDGPEPFVQTNVVGTLRLLEASKDYDTFRFLHVSTDEVYGSKDEPAFCETTPFAPNSPYSASKASADHLVRSYHNTFGLPTLTTNCSNNYGP--------YQFPEKLIPLMIMNASESKSLP--------IYGDGSNIRDWLHVEDHCLGILSVLQKGRI---GETYCIGGASEKTNMEVIDTLCEILDRKFPKTFVKDRPGHDHRYAIDFSKIKNELDWAPSFSFEEGMEKTVDWYLSHQEWCEN--- 78043771 ----KILVTGGAGFIGSAFVRK-YAYDHELIIVDKLTYAGDEVRDRIKFYKADVADKTAIEEIFDKEKPEAVVHFAAESHVDRSIQDPTPFIETNVKGTQVMLDASRKYGIEKFVHISTDEVYGLGKEGQFTEESPLRPNSPYSVSKAAADMLARAYHRTYGLPVIVARPCNNYGP----------WQYPEKLIPVVIKKALNNEPIPVYGQGL------NVREWLYVDDCIEAVYLLLQK---GKPGEAYNIGSGEEKGNIEVVKEILRILGKPESITFVEDRPGHDFRYSLNSKKIKMNYAWKHKVNFNEGIRFVIDWYKKH--------- 120436387 ----KILVTGGAGFIGSNLCETLIGLGAKVRCLDNFATGHRKNLDPIIEIEGDIRDIDTCK--MACEGIDYVLHEAALGSVPRSLKDPKTSNDVNVSGFLNMLIAVKESEVKRFVYAASSSTYGDSEKLPKIEDEIGKPLSPYAITKYVNELYADIFHKSYGVDSIGLRYFNVFGRKQDPN-----GAYAAVIPKFVMQFMKHESP-------VINGDGTYSRDFTYIDNVIQMNLLAIVTGNPEAVNEVYNTAVGDRTDLVELTQILKKHLSKNIEVKHGPNRAGDIPHSLASVDKAKNLLDYKPTHAIDEGLNEAVKWYWEN--------- 221632846 ----RILVTGGAGFIGSHLCESLLLDGYQVIAVDSLLTGNIRHLLTHPFFRFIEQDVTQGIDI----EADAIFHLASPASPVGYRQYPIETLLVNSVGTYHLLELARRVRA-RFVFASTSEVYGDPLIHPQREDNPIGPRSCYDEGKRFGEALTMEFVRSFGVDARIARIFNTYGPRMD--------PADGRVVPNFIVHALTGEPIEIFGDGMQT------RSLCYISDMVRGLRLLMERDGLAG--TVINLGNPDERTILELAYLVRELTGNPVPIVFRPARPDDPGRRCPDISRARAVLGWEPRVPVEEGLRMTIDYFQD---------- 160881798 ----KILVTGGAGFIGSNFVQYMVNNEDTIVNLDALTYAGNEDKPNYRFIKGDISDRVFIMELFEKEAFDVVVNFAAESHVDRSILDPEVFVKTNVLGTLVLLDASKKFQIKRFHQVSTDEVYGDRTDLFFTEDTPLHTSSPYSSSKASADLFVLSYYRTYGLPVTISRCSNNYGP--------YHFPEKLIPLMIIRALNNEKLP--------VYGNGSNVRDWLHVTDHCSAIDLIIR---NGKPGEVYNVGGHNEKTNLEVVKEILKALNKPESIEYVTDRPGHDLRYAIDPSKIEQELGWKPRYHFETGLKQTIDWYLENKDWWE---- 258517197 ---QNILITGGAGFIGSNFVKFILDRDYKIINVDALTYAGNLENLKGIAEKADIRDRVKIDEIFSKHNIDTVVNFAAESHVDRSIVEPEIFLTTNILGTQVLLDIAKNYWVKYLQVSTDEVYGALGKTGMFVETMPLLPNSPYSASKAGADMIVRSYHETFGMPVNITRCSNNYGP--------YQFPEKLIPLMIENCLKGKKLP--------IYGDGMQVRDWLHVYD----HCSAIESVLNKGVGEVYNIGGNNEKSNIEIVKLIISTLGKEDLIKYVQDRPGHDRRYAIDNTKITTQLGWAPAYKFEQGMKETIEWYLNNTEWIEN--- 255021511 MTPGRLLVTGAAGFIGFHLCRRLLAEGWTVRGIDNLNDYYDPALKRARFQHLDIAQRDDLHRLFTGSRFDVVVNLAAQAGVRYSLEHPHSYADSNLLGFVNLLEGCRHQGVDHLLFASSSSVYGANSRLPYSEHDPDHPVSLYAATKRAGELMAHSYAHLYDIPVTGLRFFTVYGP----------WGRPDMAYFSFTRKILAGESIPVFNHGQMQRDFTYIDDIVEDHPPQRQVDWPADPATSAAPFRIYNIGNHQPVALLDFIATLEECLGRKAQLELLPMQAGDVLATYAEVNDLAALVDFAPRTPLARGLAEFVRWYRQYY-------- 268316908 -----VLVTGGAGFIGSNFLLYMVPRYPEVINLDSLTYAGNEDAPNYRFVRGDVADAPLVERLFREHGITTVVHFAAESHVDRSIMTPLSFVLTNTVGTVTLLEAARKAWGFRFYHISTDEVFGLGPEGYFTESTPYNPRSPYAASKAASDHFVRAYWHTYGLPVVISNCSNNYGP--------YQFPEKLIPLVILNALENRPIP--------IYGKGENVRDWLYVRD----HCTAIERILLSGQTGQYLVSAGCERKNLELVQQLLDLIDEERLITFVKDRPGHDFRYALDASRLREELGWAPAYTLEEGLRETVRWYLTHRDWLE---- 85059347 ----KFLVTGAAGFIGYHVSGRLLADGHQVVGIDNLSDYYDQAVKTFRFQKLDLADQQGIASLFASERFTRVIHLGAQAGVRYSLENPLAYGDANLIGHLNILEGCRHNQVQHLLYASSSSVYGLNRKLPFSMDDTDHPVSLYAATKKANELMAHTYAHLYQLPTTGLRFFTVYGP----------WGRPDMALFKFTRAMLNGERIDVYNGGEMLRDFTYIDDIVEAIVRLQDVIPVPDAGWTSAPYRVYNIGNSQPVKLMDYIEALEDALGIQAEKNLLPMQPGDVLETSADTQELYRAIGFKPQTPVTEGVKRFVKWYRDYYQ------- 121998958 ----RILVTGVAGFIGMHCARQLIEAGHQVVGIDNLNDYYDVTLKEARLDEVDLADSAGVDALFREGRFDRVIHLAAQAGVRYSLENPRAYIDSNLVGFGNILEGCRHHDTGHLVYASSSSVYGANTRMPFSHDNVDHPVSLYAATKKSNELMAHTYAHLYGLPVTGLRFFTVYGP----------WGRPDMAPFKFTRSILAGEPIEVYNYGRMRRDFTYIDDIVDGVLRVEFSTDAPDPARSNAPYRVYNIGNHRPVALEDFIAALEDACGRKAQRHELPMQPGDVAETYADIDDLTAATGWHPQTAIEQGLPQFVAWYRAFY-------- 135328320 ----NILVTGGAGYIGSHIIELLVKKTNKVIVLDNLSTGYKILVKKSKFFKGDINNKKLIKKLINNFNIDTIIHLAASLNVNEAQKNKKKYYKNNVTGTKNLLLSCKNTNVKNIIFSSSCSIYGNIKGS-VNERKKPNPQGYYAITKYKGEELLKKYALKFNYNFLILRYFNVAGASPSGKIGEIETSHGHLIKNIAIQSMNKKPILHIYGNNYNTKDGTCVRDYIHVTDLADIHLKGINYLKKNKKSFTLNCGYGKGYSVLQIANKFKKI-KKNTQIKFMNKRPGDIAQVYSDTKKFKKLLNWKPKYDIDKIIKSAMRWETK---------- 297718753 ----NLLVTGAAGFIGSRYVRALLASDARVTVLDKLTYAGTLDNLELTHVQGDICDAELVDKLMA--DADQVVHFAAESHVDRSISGAADFVRTNVLGTQTLLDAALRHGTGPFVHVSTDEVYGSIETGSWPEDHPLQPNSPYSASKASSDLLALAYHRTHGLDVRVTRCSNNYGPH--------QFPEKVVPLFVTNLLDGHKVPL--------YGEGRNIRDWLHVDD----HCQGVDLARTKGPGEVYNIGGGTELTNKELTGLLLDACGADWRVEYVEDRKGHDLRYSVDCSKARDELGYRPRHDFTTGLAETVAWYRDNRAWWE---- 259414743 ---QTVLVTGSAGFIGFHLSKLLLEHGAHVIGLDAFSDYYDVSLKERRHAMLEIETPGLLADLLSSYNPDIVVHLAAQAGVRHSIENPRSYLQSNLMGTFELLEAARAHPPKHMLLASTSSAYGANTNMPYQETQKADHMSFYAATKKSTEHMAHSYAHLFELPVTMFRFFTVYGP----------WGRPDMALFKFTKAILEGRPIDVYNHGDMQRDFTYVEDLVEAIRMAARPERPCDAHVPEGDSRVVNIGNSNPVQLSDYISAIERATGITAQRNLMPMQPGDVPATWADIQLLERLTGYTPQTSVEEGVSRFVAWYQEY--------- 148264642 IKRMKILITGIAGFIGMHVALRLLERGDEIVGIDNLNDYYDVGLKRARLEHLDLADAPRLAELFAAEKFRRVVHLAAQAGVRYSLQNPRAYIDSNIVGFLNVLEGCRHHPVEHLVYASSSSVYGANTRMPFSVHHNDHPVSLYAATKKANELMAHTYSHLYGIPTTGLRFFTVYGP----------WGRPDMAYFSFTQKIIAGKAINVFNNGNMLRDFTYIDDIVEGVVRVSWSGDSPDPASSQAPYLIYNIGNNSPVELGVFIEVLEECLGQKAVKNYLPMQPGDVPATYADVDDLITDVGFAPVTAIKEGIGKFVDWYKGY--------- 255033878 ----KVLVTGSAGFIGFHTVNKLLSEGFDVVGLDNINDYYSPQLKYARFVRMDLEDKQQLFSLFQSEKFDYVINLAAQAGVRYSIENPDVYVQSNVIGFHYILEACRHFPPKHLVHASSSSVYGANAKIPFSEEDKVDPVSLYAATKKSNELMAHAYSHLYGIPITCLRFFTVYGP----------WGRPDMAPMLFARAISEGKPIKIFNNG------EMERDFTFVGDIVNGITKTTVTGFDEPKYRVLNIGNGSPVNLMDFITELEKGLEAEAQKDFMPMQPGDVPRTWASQDKLQDIVNYTPEVKLTDGILEFAKWFQSYAK------- 78777092 ----KILVTGTAGFIGYHLAKELLLRGDEVVGLDNINDYYDVKLKYHKFIKANLEDAETINRLFKEEKFDALCNLAAQAGVRYSIENPHAYIQSNVVGFLNLLEACRNYDVKNFAFASSSSVYGLNKSQPFSSDHSDHPVSLYAATKKSNEMMAHTYAHLYGLHCTGLRFFTVYG----------EWGRPDMAPMLFADAILNDRAIKVFNHGNMSRDFTYVGDIVEGVIKVIDNQSTPSQKFDSAPYKIYNIGNNSPVQLLDFIKTLENAIGKEAQKNFLPMQDGDVVSTYADVTDLMNDFGYKPETSLKVGIEKFVKWYREFYK------- 196230982 ----RLLVTGGAGFIGSNFVQQLLERQDVEVNLDKLTYAGNEKDTRHVFVQGDIGDSDLIAKLLAEHAIDAVLNFAAESHVDRSIDGPEAFVQTNVVGTLRLLQTTRAYWAFRFLHVSTDEVYGSPQDPAFTEETPFAPNSPYAASKAGSDHLVRAFHHTYGLPVLTTNCSNNYGP--------LQFPEKLIPLMIQNALEGRALP--------IYGDGMNVRDWLYVRDHCTAIRLVLDRGR---VGETYNVGGLNEQPNLAVVDTLCALLDELHPKTFVADRPGHDRRYAIDCAKITRELGWQPAESFTTGLRKTVEWYLANREWCAN--- 225426896 ----TVLVTGGAGFVGSHVSAALKRRGDGVIGLDNFNNYYDPELKRHRRVEGDINDSELLRKLFDVVAFTHVMHLAAQAGVRYAMQNPKSYVNSNIAGLVNLLEVCKSADPQAIVWASSSSVYGLNSKVPFSEKDRTDPASLYAATKKAGEAIAHTYNHIYGLSITGLRFFTVYGP----------WGRPDMAYFFFTRDILTGKPITIFEGPD---HGSVARDFTYIDDIVKGCLASLDTAKKSTGTGIFNLGNTSPVDVSKLVSILEKLLKVKAKRRVLPMRNGDVQYTHANISLAQRELGYKPTTDLESGLKKFVRWYITYQ-------- 91216652 ----KILVTGAAGFIGYHLCEQLLKLGHDVVGLDNINDYYDVNLKYARFIKINLEDQENLPIFFEENSFDVVCNLAAQAGVRYSIEKPMKYVESNIMGFANLLECVRNTKVKKLVYASSSSVYGLNEKTPFTNDNVDNPISMYAATKKSNELMAHTYSHLFGIKTIGLRFFTVYGP----------WGRPDMAMFLFTDAILNNKPIKVFNEG------NLSRDFTYIDDIVEGVINTIEKNPNKSLYNLYNIGHGSPVKLNDYIKEIEVATGKVAERIMMPMQPGDVEQTWADTSALFKDYNYKPTTKIDKGIEEFVRWYKDY--------- 163859121 -----ILVTGGAGFIGSNFVLAWLGGSDEPVILDKLTYAGHAGNLRHQLVHGDIADNALVAALLQAHQPRAVLNFAAESHVDRAIRGPDAFIHTNVTGTFQLLEAVRAYWQFRYLQVSTDEVYGGPQDPPFAEGDPYRPNNPYSASKAAGDHLVRAYWHTYGLPVLTTHCPNNYGPR--------QFPEKLIPLLIHHALAGRPLPL--------YGDGSHVRDWLHVDDHCAGLRRVLEA---GEPGQVYHVGAGQERSNLQVAQAVCALLDHGEQITFVQDRPGHDRRYAIDAGKIRRQLGWQPAHAFDAGLRATVQWYLDHPEWVAS--- 28898797 ----KYLVTGAAGFIGSATIRKLNSLGYEVIGIDNINDYYDVELKYARFFNMDISNKNEIERLFEKEKFDRVIHLAAQAGVRYSLVNPHCYAESNLSGFLNVLEACRKSHIKHFIYASSSSVYGLNKKVPFTSDNVDHPVSLYAATKKSNELMAHSYSHLYQLPTTGLRFFTVYGS----------WGRPDMAPFIFTEKIINGQSIDINNNGDMWRDFTHINDIVEPRINQRWQFENSTPADSSAPYSIYNIGYGSPICLMDFIKAIENELGIEAKKNYREMQPGDVYQTYADTTAFYQATGYRPSVSVEEGIAEFVAWYRNFYN------- 300313693 ------FVTGGAGFIGANYILHFLAAPHDVLNIDKLTYAGNPDNLRYLFSQTDICDTAAIARLFAQHRPRAVVHCAAESHVDRSIAGPAVFIQTNVNGTFSMLSAAYDYWAFRFLHISTDEVFGAADAPPFTETSPYAPNSPYSASKAASDHLVRAFHSTYGLPVLITHCSNNYGP--------FHFPEKLIPLVITNALAGQPLPIYGDGQ--------QVRDWLHVADHCRAIELVLARAR---PGESYNIGGNSEKTNLEVVLTLCDLLDYRDQICFVQDRPGHDRRYAVDTSKIQRELGWSPQHAFRQGLRQTVQWFLA---------- 242372319 ----KVLITGVAGFIGSHLSKKLINQGYEVVGIDNINDYYSVQLKEDRFYKTDLEDNETIDQIFDKEKPEVVVNLAAQAGVRYSIDNPRAYIDSNVVGFLNILEGCRHHKVGNLIYASSSSVYGANTNKPFTSDNIDHPLSLYAATKKSNELMAHTYSDLYDLPTTGLRFFTVYGP----------WGRPDMALFKFTKAVVNDESIDVYNHGNMMRDFTYVDDIVEAQPNPNWSGSNPDPSSSYAPYKIYNIGNNSPVRLMEFVEAIENKLNKKAKKNYLDLQPGDVPETYANVDDLYRDINFKPQTSIQDGVNKFIDWYLEYYK------- 291515001 ------LVTGGAGFIGSNLCEAILNLGYRIRCLDDLSTGNIDLFLGHPNYEFIQGDIKDLQTCIDACKVDYVLHQAAWGSVPRSLEMPLFYSLNNIQGTLNMLEAARQNGVKKFVYASSSSVYGDEPVLPKEEGHEGNLLSPYAVTKRCDEEWAKQYTRHYGLDTYGMRYFNVFGRRQD------PDGAYAAVLPKFIKMLLNNEQPTINGD------GRQSRDFTYIENVIEANLKACLA-STEAAGKAFNIAYGGREYLIDIYHTLTRVLGKNIEPHFGPDRKGDIKHSNADISQARKLLGYDPEYDFARGLAESIEWYKNN--------- 142567649 ----KILLTGGAGYIGSHVLLSILEKKYEAVAVDDLSTGHESLVPEDVRIKCNINDKDKISNIIQKEKFDVLLHFAGFIRVEESVQNPVKYFKNNTENAITLFETCYKNNLKNIIFSSTAAAYGNPNNDPLKEEASLKPLNPYGQSKIETEDYLI--KNTDKFNSIILRYFNVAGADPKLRSGLISKEPTHLIKILSEVAVGKRDKIYLYGNDYNTDDGTAVRDYIHVSDLADIHIKTAEYLIEKKVSNIFNCGYGRGYSVLEVVKEANKITGDKIKYEFSNRRPGDAEKLISNIDKLSETINWKPKFDLNLIIKTAISWEKKNEKNF----- 136668160 ----TVLVTGGLGYIGSHTVVCLLEAGHKVVVVDNLVNTSAAVLKTPEFYPMDLRQPETASILFSKHDINAVIHFAAFKAVGESVEKPLDYYQNN-LNTLVYLLQEVVKQPIAFIFSSSCTVYGQALELPIEETAPLKAMSPYGNTKQIGEEILQDSCLAYHLKVTALRYFNPIGAHESALIGELPIGPQNLVPFITQTGVGKRAQLSVFGNDYPTPDGTCIRDYIHVVDLAEAHMAAMDRLLNNAPTEVFNVGTGKGSSVLEVIKAYEKVSGRSLPFVFAPPRQGDVTAAYANTHKASTILGWQSRFSLEEAMASAWAW------------- 254424021 -----ILVTGAAGFIGFYLSLRLLEAGKSVYGIDVMNDYYDVSLKEGRLAQIDISDRTAMSDLFGQHSFECVVHLAAQAGVRYSLQNPLAYADSNLLGFVNILEGCRQSKVGHLVFASSSSVYGKNKKVPFTDDRVDHPVSLYAATKKSNELMAHAYSHLYGLPMTGLRFFTVYGP--------WGRPDMAYFKFVDAIAKG--------NSIDVYNHGKMKRDFTYIDDVVEGIIRVMENKDTNAPYKIYNIGNHSPVTLMDFITTIEVAMGKKAEKIMLPMQPGDVPVTYADVQDLMDDVGFKPSTPLSVGIQKFVDWYRE---------- 33864736 --SRTVLVTGAAGFIGAALSQRLLARGERVVGLDNLNSYYDPALKQARLRQVELEDGDALTALFAEERPDVVVNLAAQAGVRYSLENPAAYIQSNLVGFGHILEGCRHHDVGHLVYASSSSVYGGNRNLPFHERQPVHPVSLYAASKKANELMAHTYSHLYGVPATGLRFFTVYGP----------WGRPDMAPMLFARAILAGEPIKVFNHGRMQRDFTYIDDIVECCDNPDFDPLQPDPATAAAPHRVFNIGNSQPTELLSFIEVMEQALGRDAIKDFQPMQPGDVVATAADTKALEDWVGFRPSTAIEAGVAQFAEWYR----------- 288926382 ----KILVTGAAGFIGSKTAMMLAERGDEVIGIDNLKYGRLSHLLGICHDTGEIDDRTAMENLFNEEKFDKVMNLAAQAGVRYSIQNPYSYMNSNMVGFMNVLECCRNYHVRYLVFASSSSVYGLNTKVPFSETDSVGPVSLYAASKRANELMAHAYCKLYGLKATGLRYFTVYGP----------WGRPDMAPIKFTKLIMSGSSIDVYNNGNLSRDFTYIDDIVNGTLQVIDKEPVAEACPNGIPFTLYNIGCSHPVKLMDFINEIEQAIGIEARKNFLPMQPGDVYQTYADTTRLETEVGYKPSVSLHKGIGLLAEWY------------ 78187259 ----NVLVTGAAGFIGSSVSGRLLERGDCVTGVDNMNDYYEVSLKEARFVEADIADRKAMEELFARGKFDRVVNLAAQAGVRYSITNPHSYIESNIVGFINILEGCRHNGVRHLVYASSSSVYGANETMPFSHDNVDHPLSLYAASKKANELMAHTYSHLYRLPTTGLRFFTVYGP----------WGRPDMALFLFTDAILKGKPIKVFNYGKHRRDFTYIDDIVEGDHVAEPNPLWSGAKPDPGSSRVYNIGNSKPVELMDYIAALERELGRTAEKEMLPLQPGDVPDTYADVDQLIEDVQYKPSTTVDDGIRRFVAWYREYY-------- 33591368 -----ILVTGGAGFIGSNFVLGWLASGDEPVILDLLTYAGNRDDPRHLLVHGDIADAPLVRRLLREHRPRAIVNFAAESHVDRAIRAPDAFVQTNVVGTFTLLEAARAYCAFRFLHVSTDEVYGAPQAAPFTETHPYQPNNPYSASKAASDHMVRSYRHTYGLPAITTHCCNNYGPR--------QFPEKLIPLVIHHALAGRALPLYGDGMHV--------RDWLYVVDHCAALECVLR---DGQPGQTYNIGAHCERTNLEVVHAVCALLDHAGGIAFIPERPGHDRRYAIDAGKIQRELGWRAATSFEAGLRETVRWYLDHPQWVAD--- 295399444 ----KILVTGGAGFIGSHLVAKLLSLGHDVAVIDNFHPYYPAERKKRPVYHIDLLDGEKTEELFCRYQPDCVYHLAALPGVPNSLLQPLDYVDYDIKATINVLKAAGMAGVRHVLFASSSSVYGNQGNVPLKEEMAAGQVSPYAAAKYGAESFCYAYAHLFGYQVTIFRYFTVYGP----------WGRPDMAISKFIRHLLRGEEIVVYGTGTA-------RDYTFVDDVVSGMVAALGR---GGGNDVFNLGSGRSIAMERLLQELKAHF-PTMKVKHAPERKGDVKATWADITKAQRAFGYKPSITFEVGLARTIAWARTY--------- 225873117 ----RILITGAAGFIGSSLAKRAVAEGHSVIGVDNLITGNRENLAAIDFRVADIRNREQMQELCRGVEI--IFHEAALPSVPKSVLDPLTSHEHNVEGTVSVLLAAKEQKVRRVVYAASSSAYGESPTLPKHEAMIPAPISPYAVQKLTGEYYMQSFQRVYGMETVCLRYFNVFGP------FQAADSPYSGVLAKFITSLLQGEAPTIFGDGQ------QSRDFTYIDNVVDANFLAATAPADVVSGKVYNLACGERHSLLDTFRILAEMTGFAGAPVFGAARNGDILHSLADISLIAREMGYQPQVNFEEGLRRTVAWY------------ 136398413 ----KVLVTGGAGFIGSNFVRHLANSDDEITILDALTYAGSRDTMSDVFVEGDICDRQAVASALEGHH--AILHFAAESHVDRSIDGSERFVTTNCVGTNTLCDLAGQMEIERFVHVSTDETYGSTKQGSFTEEDKLAPSSPYSASKAASDLIAMGHYITHGLPVTVTRSSNNYGP--------FQFPEKLIPLFVTNLLDGLQVPL--------YGDGTNIRDWIHVNDNCAAIHHVLQ---SGEIGEIYNIGAGNEISNLELTQMLLDLCELDERVTHVKDRPGHDFRYSVDSRKLR-DLGWSPQIDLEAGLAETVDWYRENETWWRPKK- 142602057 ----KILVTGSAGFIGSALTIKLLEKGNEVVGVDNLNNYYDPELKKYSHFQINIQDRDSMHNLFNSKKFDCVVNLAAQAGVRHSIQKPQTYIDSNLVGFANILEGCRFHAIKHLVYASSSSVYGLNTKQPFTNSNVDHPVSLYAATKKANELMAHSYSSLYKLPTTGLRFFTVYGP----------YDRPDMALQKFAKAILDKQAIKIFNYGKHKRDFTYIDDIVEGIIRVNWNSDNPDPASSSTPYRIYNIGNNRPIELMNYIEALEFHLGKKANKELLPMQPGDVHETFADIDDLIQEFDYKPRTNIEVGIKKFVDWYVEYYNKKTN--- 285016927 ----TVLVTGAAGFVGAYTCRALAARGETVVGLDNYNDYYDPQLKRDRCPQVDIRDREGLSALFDETRPERVVHLAAQAGVRYSLQNPQAYVDSNLVGFVNMLELCRHRRVQHLVYASSSSVYGDSAAAPFSEDQRIDPRSLYAATKAANELMGHTYAQLYGLRATGLRFFTVYGP----------WGRPDMAPLLFSRAVLAGRPIEVFN------HGRMRRDFTFIADIVAGVLGALDHCIDALPHRVFNLGNHRPVELERFIGVIETAAGRTAEKLYRPMQPGDMIETMADTARAHAAFGFDPTTPIETGLPQVVQWCRDY--------- 144086737 IKKNNILITGGAGYIGSHIIEKLNHKKFNIIILDNLITGYKKLIKKVKFIKGDIKNKYTLSKIINSYKIDSIIHLAAYLNISESEKHKKKYYKNNVIGTLNLIQACKNSNVKSIIFSSSCSVYGNVKGS-VDERKKPNPKSYYAFTKHKAEEIIKKFANKYKYKYGILRYFNVAGASSSGKIGEIEKSHGHLIKNLSIETLKKKPVVSIYGNNYDTKDGTCVRDYIHILDLVDAHIKTLEYIDTKHKSLILNCGYGEGYSVLDIVKIFKK-YNSQLLFSYENRRIGDVGMIQANVKKIKKILRWKPKYNINKIIKSSINWEK----------- 126733359 ---RTALVTGAAGFIGSFVCRTLLEEGWRVIGLDCLSDYYDVSLKEYRSIHGMVEDPGLLMSLFKEEKPNVVIHLAGQAGVRYSIENPRAYLESNIVGTFELLEAARAFAPEHMLLASTSSAYGANEDMPYTETDRADHMSFYAATKKSMESMAHSYAHLFDLPVTMFRFFTVYGP----------WGRPDMALFKFTKATLAGDPIDVYNNGDMKRDFTYVEDLVHPARPADGKVDDGDSLSPVAPFRVVNIGNNDMVQLTDFIAAIEAAIGKPAIRNYMPMQAGDVPATWANADLLHKLTGYAPKTSVQDGVASFVRWYRQYY-------- 114332356 ----TILITGGAGFIGTNFILDWVKNTDENIVLDKLTYAGNLHNLQHIFVQGDICDSERISTLLERYQPRAIIHMAAESHVDRSIHGPEYFIQTNITGTFRLLEAARHYWNFRFLHVSTDEVFGTKDAPAFTENHCFQPNSPYSASKASSDCLVRAYHHTYGLPVLTTHCSNNYGA--------YQFPEKLIPLMIVNALAGKPLP--------VYGDGQQIRDWLYVNDHCQAIRVVLEKGQ---PGETYNIGGWNEKSNLEIIHTICDVLDYRALITHVADRPGHDRRYAINAHKIERELGWRPLETFETGIRKTIQWYLENHDWVAE--- 261405319 ----KIVVTGGAGFIGSNFIRYMLSQNDEFINVDKLTYAGNRTNPKYRFVKADIRDRAALEPLFK-EGVDAVVNFAAESHVDRSILQPELFVLTNVAGTQTLLDLSRQYGVGKFVQVSTDEVYGTGAAGLFTEESPLQPNSPYSASKAGADLMVRAYYETFGLPINITRCSNNYGP--------YQYPEKLIPLIIYNALHNKPLP--------VYGDGLNVRDWLYVEDHCRAVDLVLRQGV---DGEIYNIGGHNERNNLQVIRTISELNKPETLIQHIKDRPGHDRRYAINADKIKKELGWAPQYHYESGIRETIRWYQEN--------- 144121185 ----KVLVTGGAGFIGSHIVDQLLAAGHQACVIDDLSSGSRENLPAAPLHVVDIVDTKAVADVFAREQPDAVCHQAAQMSVSRSVREPLFDAQVNCIGLINVLDAAVATGCKRVVFASSGGVLYGEATSPAPENTPANPISPYGITKWVGERYLSFYAREHGLAAVALRYSNVYGPRQN------PHGEAGVVAIFSKRLLAGQAATINGDGCYV-------RDYVYGPDVAAANVTALTADVQPGSLTSLNIGTGIGTDVNELEAEIRSHVAAAPEPSHGPARAGDLRSNLVDASFAAEVLGWEPTMDLTAGIAATVAW------------- 289451090 ----KALVTGGAGFIGSHLVDLLLENQFEVTVLDNFSTGRA-FNLNHVKEKIDLCDLSIQEDWIKKFQVDYVFHLAALADIVPSIQNPEGYFQSNVTGTLNVLQASRHYGVKRFVYAASSSCYGIPELYPTPETSPILPQYPYALTKRMGEELVMHWAQVYKFPALSLRFFNVYGPRSRT------SGTYGAVFGVFLAQKLAGKPFTVVGDGKQTRDFTYVRDVVEAVFAAAQ---------SDKVGEIYNVGSGATISVNRIVELL------KGEVTYIPKRPGEPDSTFADIAKIKKDLKWSPKISIETGIGELIDYWREAPVWTPDK-- 297563642 ----KILVTGGAGFIGSNFVRRVLAADAEVVVLDKLTYAGTTESLRMTFVQGDVCDEQLVRGLMRGV--STVVHCAAETHVDRSISDAGCFVRTNVVGTFHLLDSALNEGVENFVLVSTDEVYGTLSTGSWVETDPLEPNSPYSASKSASDLLARSFHRTYGMRVCVTRCANNYGP--------FQFPEKMIPLFVTNLLDGVPVPL--------YGDGGNVREWVHVDDHCDALALAAEH---GEPGEVYNIGGSVGKRNLEVTEALLELLGHDSMIRFVADRKGHDRRYSVDGSKAETRLGYRPSVSFEEGLARTVAWYTENRAWWE---- 172058577 MQNKTILITGIAGFIGFHAARRFMAEGYRVIGLDEVNDYYDPTLKEARLMELDLEDATAINRIFETEQIDLVLHLAAQAGVRYSIDRPDVYITSNIVGFLSILEACRHHPVEQLIYASSSSVYGSNTKMPFTTDAVDHPLSLYAASKKANELMAHTYSSLYGIKTTGLRFFSVYGP--------WGRPDMALFKFTEAIANGQPIDLYNYGE--------MGRDFTYVDDIIESIYRLMQTEPAADPYRVFNIGSHSPIRLNEFVSLIEERLGKKAIKHEMPLQAGDVPESFADVESLFETIGYRPQTTIEAGVHAFIDWYEQHYR------- 118471043 ------LVTGAAGFIGSTLVDRLLADGHGVVGLDDLSSGRAENLHSAEFVKADIVD-ADLTGLLAEFKPEVIFHLAAQISVKRSVDDPPFDATVNVVGTVRLAEAARLAGVRKVVHTSSGSVYGTPPAYPTSEDMPVNPASPYAAGKVAGEVYLNMYRNLYDLDCSHIAPANVYGPRQDP-------HGEAGVVAIFSEALLAGRTTKIFGDGSDTRDYVFV---------DDVVDAFVRAGGPAGGGQRFNVGTGVETSTRELHTAIAGAVGAPDEPEFHPPRLGDLRRSRLDNTRAREVLGWQPQVALAEGIAKTVEFFRN---------- 153868723 ----RVLITGGAGFIGSHLAEMLLEEGHEVVIVDNLACGRLDNLKGFQFHQVDVTDRIALASCFEGVN--WVFHLAGRADIVPSIEDPVLYFETNVTGTLNVLECSKAAGVKRLVYAASSSSYGIPDIYPTPESTPIKPQYPYALTKYMGEELVLHWAQLYNFSALSLRLFNVYGPRSRT---------TGAYGAVFGVFLAQKINGKPF---TVVGDGTQTRDFTYVTDVASAFVSAAKSNVS---GIAMNVGSGNHYSVNRLVELL------KGEIIYIPKRPGEPDCTFGDTTLIRKTLNWEPMISFEEGVQRMLE-------------- 149174381 ----HILVTGAAGFIGFHVTARLLSQGHRVTGVDNLNSHYDVRLKRDRFHEADITDVESLSHLFVQNPFQKVIHLAAEVGVRNSLLKPLEYVQSNVLGFVNLLEQCRLKEVEHVVYASSSSVYGANRKIPYTHDAVDHPISLYAATKRADELIAHSYSHLYDLPTTGLRFFTVYGP----------WGRPDMAVYLFTKAILEGTPIKVFN------HGNLKRDFTYVDDIVSGVLGVLEQIPVRTEPVSYNIGNHQPVGIARLIDVIEQRIGKPAIRENFPMQPGDVLETYADISELQQATGFTPSTSIEQGIDRFVDWYLAY--------- 296284783 ----NILVTGAAGFIGASLAEALVARGHRVIGIDNLNPYYAVSLKRDRFIECDFGDHEALARALDGHDFDRIVHLGAQPGVRYSLENPRAYAHSNISGHLNMLELGRERGVDHLVYASSSSVYGGNEKVPFVEDRVDHPYSLYAATKKADELMSESYAHLYRLPQTGLRFFTVYGP----------WGRPDMMPWIFTQKILKGEPIPVFNNGEMSRDFTYVDDIVRGIVACLDGPPGDDGATKPGGSVAYNIGNNRSEELMRVIGIIEAACGRKAQIELLPMQKGDVPRTFADIDAIARDHGFQPETSADEGFPRFVSWFKAY--------- 729562 -----ILVTGGAGYIGSHTVVELVNNGYDVIVIEVLTR------KQIPFFKIDLNDHDALDQVFKLYPIQAVLHFAALKAVGESTKFPLNYYSNNVGGAISLLKVMEENNVKNIVFSSSATVYGDATRIPIPEHCPTGPTNPYGETKITIENIIRDYANDKSWKCAILRYFNPIGAHPSGLIGEDPLGPNNLLPFLAQVAIGRREKLSVFGSDYNSKDGTPIRDYIHVIDLAKGHIAALNYLFNHKDNGEWNLGTGNGSTVFEVFNAFCEAVGKKLPFEVVGRRDGDVLNLTANPKRANTELKWKAQLSINDACKDLWNWTTKNPFGF----- 34496274 ----KILVTGAAGFIGRAVCEKLLERDVQVVGIDNLNDYYAVELKHARFHRQDIADWPAMERLFSAEKFDYVIHLAAQAGVRYSLQNPHAYAESNLLGFTNVLEACRRHPVKHLVFAGSSSVYGSGSAVPFSEDQRDHPVSFYAATKRANELMAASYSHLYRLPTTSLRFFTVYGP----------WGRPDMAPWLFTDAILNGRPIKVFN------HGKMQRDFTYIDDIVEGVVRVMEHVPSGEPHTIFNIGNHQPVELMTFIQLTEKYCGREAIKEYLPMQDGDVPITYAETSRLRDAVGFTPSTSLEVGMARFVEWFRNY--------- 253575948 ----KLLVTGGAGFIGSNFVLYMLKQNYQILNVDALTYAGNEGNPNHTFIKADITEAKAMDALIAQ-GVDVIVNFAAESHVDRSILEPDIFVKTNVLGTQVLLDAAKKHGVGKYVQVSTDEVYGLGETGLFTEETPLAPNSPYSASKAGGDLFVRAYHETFGLPVNITRCSNNYGP----------YQFPEKLIPLMISKALSDEPLPVYGDGLNIRDWLYVEDHCSAIDLVIHHGR---------DGEIYNIGGNNERTNLHIVRTILEQLGKPESIKHVQDRPGHDRRYGIDPTKIMKELGWKPKHSFETGIKETIRWYLDNKEW------ 226323948 ----KIIVTGGAGFIGSNFIYYMMEKHDQIICLDALTYAGHMSTLEFRFVKASVTDRVTVYRLFEEEHPDIVVNFAAESHVDRSIENPEVFLDTNIKGTAVLMDACRKYGIWRYHQVSTDEVYGDRPDLFFTEETPIHTSSPYSASKAAADLLVQSYHRTYGLPVTISRCSNNYGP--------YHLPEKLIPLMIVEALHDRPLP--------VYGDGKNVRDWLYVKDHCRAIDLILRH---GKEGEIYNVGGHNEMKNIDIVKLICRELGKPEEITYVEDRKGHDRRYAIDPSKIHRELGWLPETSFAEGIKKTINWYLNHQEW------ 224477831 ----RILITGAAGFIGSHLAKKLISQGYEVIGVDNINDYYDPQLKEDRFYKTDLENFGELNAIFIKNKPEVVVNLAAQAGVRYSLENPMAYIDSNIVGFVNILECCRHHEVKHLIYASSSSVYGANTSKPFTTDNIDHPLSLYAATKKSNELMAHTYSHLYNLPTTGLRFFTVYGP----------WGRPDMALFKFTKAIVNDEEIDVYNHGNMMRDFTYVDDIVEAQPNPEWSGDNPDPSSSYAPYKIYNIGNNSPVRLMEFVEAIENKLDKTAKKNYMDLQPGDVPETYANVDDLYNNIDFKPETTIQDGVNKFIDWYLNYY-------- 239827953 ----KILVTGGAGFIGSHLVAKLLSFGHNVAVVDNFHPYYSVERKNRPVYRIDLLDGEKTEELFRRYQPDCVYHLAALPGVPNSLLKPLDYVDYDIKATINVLKASGEAGVGHVLFASSSSVYGNQGNVPLKEEMATEVVSPYAAAKYGAESFCHAYAHLFGYQVTIFRYFTVYGP----------WGRPDMAISKFIRHLLHDEEIVVYGTQTA-------RDYTFVDDIVNGMVAALGR---RSGNDVFNLGSGRPITMERLLQELRTHF-PTMKVRYAPERKGDVKATWADITKAERAFGYKPSVTFEDGLARTIAW------------- 258645455 ----KILITGGAGFIGSHLSDALLAAGHEITIIDDLSSGTKDFLKEAEFLKMDIRD-EKLTDIFKERHFDIIYHEAAQTMVPASIDNPYLDADINISGMLRVLEAARKTDVQKIIFSSSAAVYGDNPALPLTENLIPAPSSFYGLTKWMTEKYLALYHKIYELSYTVLRYSNVYGPRQGADGEG-------GVIYIFAKSLAENKPITIFGDGRQT------RDFISVHDVISANLAAL----HQADGEIINVSTETELSLNDLASKMIAAAGCEDLLRYGPPRTGDIYRSCLSNQKAKTLLHWTPSRNIKDGLTETIHFFQD---------- 222149889 ----RYFITGTAGFIGFHLARRLLQDGHTVTGYDGMTAYYNLKLKEARNVIGMLEDRDALERAVDQAKPDVIIHLAAQAGVRYSLENPKAYLDSNLIGSWNIVEIARQLQIGHLMLASTSSIYGANPQVPFRETDRDEPMTFYAASKKGMELMAHSYAHLYKVPTTAFRFFTVYGP----------WGRPDMALFKFMKAMLADEAIEIYGEGKMSRDFTYIDDLIDSVIALSAIPENLDTLSHNAPFRVINIGGGQPIALMDFIETIETIMGRPTKRKMLPMQQGDVPRTFASPDLLVALTGQKPTTTLDVGVKATMDWYLEHYRQLG---- 115380334 ----KVLVTGGAGFIGSHVSDEFLRAGHEVIALDNMSSGKRENLPKVRLVELDIRSPEA-AALIRSERPQVICHLAAQMDVRRSVEDPRFDADANILGMLNLLEAARASGVKKVIFSSTGAIYGEQDVFPAPESHPTRPVSPYGVSKAAGELYLGYYRAQYGLSYVALRYANVYGPRQN------PHGEAGVVAIFSQRLVAGR-------ECAIYGDGGQTRDFVFGPDVARANLLAFE----KDYVGAVNIGTGVETDINRLYALLAGAAGADTRAQHAPGKPGEQRRSCIDASLARKVLGWEPSVGLAEGLRRTLEYFR----------- 257053213 ----RVLVTGGAGFIGSNFVHYLLDADHEIVVLDALTYAGDKSNLDGEFVEGDIRDQELVEE--RTADVEAIVNFAAESHVDRSIHEDAPFVSTNVGGTQTLLDAARTHDIERFVQISTDEVYGQIAEGTFSEDDKLDPRNPYAATKAGADHLAMSYHVTYDVPVLITRSSNNYGPR----------QHREKLIPKFLTRAAEGESLPLYGDGTNVREWTYVRDNCRAIERV---------LADGEPGEIYNVGSGEELPNVEVAERILEAVDPESLIEFVEDRPGHDQRYALDTAKI-EELWWEAEWSFEEGLEETVRYYLD---------- 218961006 ----KYLVTGGAGFIGSNIVKELLKQNQEVRVLDNFATGKRENILKLTLIEGDLRSFHIVRSAVKGV--DYILHQGALPSVPRSINDPITTNDVNILGMLNILEAAKEFEVKRVICASSSSIYGNSEFLPKVETMPVNPMSPYALTKYTQERYCQIFYQLYGLETVSLRYFNVFGPNQDPTSQYSAVIPKFIKLMMPDK------------RPIIYGDGSQSRDFTYVENNVWANIQACTAEKAAGEVINI--ACGESYTLLDLVKLLNEILGKDIEPIFEKERAGDVKHSLAGIDKAKELIGYEVRVDFKEGLQRTVEFYR----------- 225011186 ----TVLVTGGLGYIGSHTVVCLLEAGHKVVVIDNLVNTSASVLKTPEFYPMDLRHPETASILFSKHDINAVIHFAAFKAVGESVEKPLDYYQNN-LNTLVYLLQEVVKQPIAFIFSSSCTVYGQALELPIEETAPLKAMSPYGNTKQIGEEILQDSCLAYHLKVTALRYFNPIGAHESALIGELPLGPQNLVPFITQTGVGKRAQLSVFGNDYPTPDGTCIRDYIHVVDLAEAHMVAMNRLLNNAPTEVFNVGTGKGSSVLEVIKAYEKVSGRSLPFVFAPPRQGDVTAAYANTHKASTILGWQSRFSLEEAMASAWAW------------- 298293161 MAGRRVFITGTAGFIGFHLARLLLSEGFRVHGYDGMTDYYDVRLKQRRHTEAMLQDMETLERTIEEFRPDIIVHLAAQAGVRYSLEKPRAYIDSNIVGTFNVMECARAVPVQHLLMASTSSVYGANEEMPFHETDKADPLTIYAATKKATEAMGHSYAHIYGLPTTMFRFFTVYGP----------WGRPDMALFKFTRGILEGTPIDIYNHGEMWRDFTYVDDLVRGIRGARETEVPGDSLSPAAPFRVVNIGNSDKVRLLDFVDAIEQELGAKAIRNYMPMQTGDVPATWANADLIHALTGYKPNTPFREGVARFVAWYRDYY-------- 58580125 ----TILITGAAGFIGAYTCRALAARSEAVVGLDNYNRYYDPQLKHDDIRTLDLTDRDGLAALFDEIQPTRVVHLAAQAGVRYSLENPSAYVDSNLVGFVNMLELCRHRGVQHLVYASSSSVYGDSATPPFSEDQRVDPRSLYAATKAANELMGYTYAQLYGLRATGLRFFTVYGP----------WGRPDMAPLIFSRAVLAGRPIEVFN------HGKMQRDFTFVEDIVAGVLGALDTPSSEPPHRVFNLGNHTPVELEYFIDVIAQAAGRPAEKVYRPMQPGDMIRTMADTQRAQAAFGFDPATPVERGLPQVVNWCRQY--------- 220903817 ------LVTGGAGFIGSAYVLQARRAGIRVINLDKLTYAGNPANLEHVFVRGDIGNEELVAFLLETHQPDAVVNFAAESHVDRSIVAPDAFARTNVLGTATLLRVVKDWWDFRFLHVSTDEVYGAPGDPAFSESTPYSPNSPYSASKAASDHMVRAFHETYGLPILLTNCSNNYGPRQFP----------EKLIPLMILTALERKPLPVYGQGA------NIRDWLHVDDHCTAIARVLEAGQ---VGRTYNVGGHAERTNLEVVHAVCAILDYADLITFVSDRPGHDFRYAIDCSRIESELGWRPSRRFDTGLRDTVRWYLENSAWVD---- 307543595 ----KLLITGMAGFIGHAVAKRLAGQEYDILGVDNLSDYYDVSLKQARFERLDLADREAVAALFEAEGFDRVIHLAAQPGVRYSLENPHAYADANLLGHLNVLEGCRHGRVEHLVYASSSSVYGANDKTPFTSDNVDHPISLYAATKKANELMAHTYSHLYDLPTTGLRFFTVYGP--------WGRPDMAMFKFTRAVLAGE--------PLQVYNHGEMFRDFTYIDDIVEGIVRILDVVPKRDEYRLYNIGHGSPVALMDFVRAVESATGREAICDFQPMQPGDVPRTWADTEALFAATGHRPQIGVEEGVARFVEWYRDYY-------- 91216651 --DKNILITGGAGFIGSHVVRLFVNKNQNIYNLDSLTYAGNENKENYTFIREDINDTQKISDLFKKYKFDTVIHLAAESHVDRSISDPVSFVRTNVMGTMNLLNAALEIWHKMFYHISTDEVYGTGETGLFTETTSYDPNSPYSASKASSDHFVRAYGETYGLPFIISNCSNNYG----------QNQFPEKLIPLFINNILNKKSLPVYGDG------NYTRDWLYVIDHALAVDLILEKGKVK---ETYNIGGFNEWKNINLVKLLCQQMDSEELITYVKDRPGHDLRYAIDASKINKELGWKPTVTFEEGLSITIDWYLENKGWMKK--- 157413803 ----KILITGCAGFIGYHLSKRLIQEKYHVVGIDNLNNYYDPNLKKARLEELNIENSNLLEDFFKKYKPSRVINLAAQAGVRYSIENPSAYIQSNIVGFCNILELCRHTEVKHLVYASSSSVYGGNTKMPFSEEQSVHPVSLYAASKKSNELMAHTYSHLYNLPATGLRFFTVYGP----------WGRPDMALFLFTNAILSGKKIQVFNQGNMIRDFTYIDDIVESLFRLIFKEAKPDENFDWAPHRIFNIGNSKPVQLMEYINALENSLGVSAIKEFLPMQPGDVPATSADTSALEDWIGFKPNTAITDGINRFVDWYRNFY-------- 37520037 MDKPTILVTGGAGFIGANFVCAWLSRHNTLVNLDKLTYAGNPANLHHVFVRGDICDPELIAQLLARFRPRYIVNFAAESHVDRSIHSPDAFVKTNVDGVFLLLEAALHHWKFRFLQVSTDEVYGSAEESAFSETTPYRPNSPYAASKAAGDHLVRAYHRTYGLPVLTTNCSNNYGP--------YQHPEKLIPLMLLNALAGKALP--------VYGDGANIRDWLFVEDHCRAIERVLD---SGTPGQTYNVGGHNEKTNLEVIRTLCAILDQERQIRFVADRPGHDRRYAIDASKIGRELGWKPVESFESGIRKTVHWYLSQHR------- 16127859 -QNLRVMVTGGSGFIGSAVCRHLAGQNNVAINYDKLTYAASEGKADYQFVQGDVADAARVCATIKAFRPDVVMHLAAESHVDRSITGPGDFIQTNIVGTYVMLQAALEHWRFRFHHISTDEVFGLGAEGLFSETTPYDPRSPYSASKASSDHLARAWQHTYGLPVVVSNCSNNYGP--------YHFPEKLIPLVTLNALEGKPLP--------VYGKGDNVRDWLHVEDHARALHLIATKGV---PGESYNVGGRNERTNLQVVEAICDILDRRDLITFVADRPGHDARYAIDATKLETELGWTAQETFDTGLRKTIQWYLDNEAWWA---- 283779788 ------LVTGGAGFVGSHLTQALVKRGHQVRVLDNLSTGAAKNLTGIEIHQADLLDANAVSAALQGV--EWVFHQAALASVPRSVAEPLETHAACVTATVQLLHLAVKAGVKRVVYAASSSAYGNQATPVKRETDLPAPLSPYAAAKLAAEYYCVSFYHSYGLETVALRYFNVFGPRQD------PSGPYAAVIPIFIKRLLEAKSPIIYGDGLQTRDFTFVENVVEA--------NLLAATSTGAVGRVMNIGNGQSTSLVELLASINRALGTNIAAEFQPERTGDVRDSLADISLARELLGYVPRVDLEQGLARTIAYYKN---------- 222150924 ----KILVTGIAGFIGSNLAKKLKEKGHEVFGIDNLNNYYSVELKKDRNYEINLENYEAVKKVFEQEKPEVVINLAAQAGVRYSLENPFTYIQSNVNGFMSILEACRHNNVKNLIYASSSSVYGANTSLPFTSDNIDHPISLYAATKKSNELMAHTYSHLFNLPTTGLRFFTVYGP--------WGRPDMALFKFTKNILNNE--------SIDVYNNGNMMRDFTYVDDIVEAISRLVERPAQPNKYKVYNIGNNAPVKLMEFIEAIETRTGIEAKKNFMELQAGDVPQTYANVDDLFRDIDFKPQTNIQDGVNNFVDWYMNYY-------- 142725112 ---KNILITGGCGFIGSNFIHHLIEESYYIVNLDKLTYGNISNLSKIPHVQGDICDSILVDSLFKEYQFESIIHFAAESHVDRSIDGPSSFIQTNIMGTFNLLEHARAHFNFRFLHVSTDEVYGLGSNGKFLESTPYDPSSPYSASKAGSDHLVRAWNRTYGLPTLITNCSNNYGP---------YQFPEKLIPLIIINCLNDKP-------LPVYGEGNNVRDWLYVKDHCEAISYVL---NNGKIGQTYNIGGDNEIKNIDVVKTICSILDYSELISFVKDRPGHDFRYAIDAEKIKTNLGWGPKESFDSGLRETIHWYLNNKTW------ 94970124 ------LVTGAAGFIGRSIAQQLLAGGAAVRGIDNFSTGNLVGLEGMEFIEGDITDPAAVGR--ACDGVEVVFHEAALASVPRSVADPLATNHANVTGTLQLLQAAHRAGVRRVIYAGSSSAYGDTPTLPKNEEMLANPISPYAVSKLTGEYYLRSMYAVHGMETVTIRYFNVFGP-----YQDPGSQYSGVLAKFIPQMLRGETPT-------IHGDGEQSRDFTYIENVVKANIALANAPAERVAGEVFNVATGTRISLNETVALLREMTGYTGAVHHGPERKGDVKHSLADISKAKRAFGFEPTVMFPAGLHRTVEWYRK---------- 254445625 ----RVLITGVAGFIGSNLAKRLLEGGYDVVGVDAFTDYYSVALKRKSARELDLADAACPAVTPHLENVDAVVHFAGQPGISA-RTPWEDYNRNNVVATHRLVEAASRAGVKRFVNISSSSVYGL--RAMDSEVGEPKPASWYGETKLAAELEVMGAVRQ-GWQMEDGKLFSACSLRLFSVYGERERPEKLFPRLM--RAIGKGEAFPLF-----EGSWEHQRSFTYVGDICEAIVACLENW-EKAEGEIFNVGTDKCFTTGEAIETVQAIMGKEARIEVMPRRPGDQAATHANIEKIRRVLGWEPRTSLREGLERMVRWYLD---------- 46445760 ---QNILVTGGAGFIGSAFIRYLLAKG-TCINFDALTYGNLENLPRYIFEQGNICNEAFIEHVCQEHAIDTIIHFAAESHVDRSILGPKVFIETNILGTFSLLEVVRKNPHIHFHHVSTDEVYGTGAEGYFTEETAYRPNSPYSASKASSDHLVRAYHHTYHLSTCISNCSNNYGP--------YHFPEKLIPVMILNC--LDRKPLPVYGQGVNVRDWLYVEDHAKALYLLLQKGRSGETYNIGGEAEWRNIDLIHEIIRQIAITQQIEVSELEKLITYVKDRPGHDLRYAIDCSKIKNEFGWSPSLRFEEGLHKTIQWYIQNEQWVKN--- 294506495 --NDTLLVTGGAGFIGSAVVRHLIRETETVVTVDALTYGHQENLPRHHFEQEDITDAPAMHRLFEEYAPDGVLHLAAESHADRSIGGPAAFVQTNVVGTQVLLEAARTYWGFRFLHVSTDEVYGLGETGAFTEETPYDPSSPYSASKAGADHLARAWQRTYGLPVLITNCSNNYGPR--------QHPEKLIPVVILNALEGEPIP--------VYGDGKNVRDWLYVKDHVRAL---LEVLQEGEVGETYNVGGNCERENIAVVRQICDILDHHDLITFVEDRPGHDWRYAIDATKIKEAIGWAPEVEFEEGLRRTVDWYVGHREWV----- 104782404 -----VLITGAAGFIGFHLARRLCQEGLEVVGIDNLNAYYSVELKHARLKQLDIADQDALLQLFAAHAFTEVIHLAAQAGVRYSLDNPGVYGQSNLVGFLNMLEACRQYRPRHLVYASSSSVYGANAKLPFCEDPVEQPVSLYAASKRANELMAHSYAHLYQIPMTGLRFFTVYGP--------WGRPDMALFKFTQAMLEGRPIDLYNHGR--------MGRDFTYIDDIVESIRRLRVKPPKASEGQPFNIGRGEPVELLSFVECLEDALGIKAQRNYLPFQPGDVHQTWADVSSLAHWIDFSPSTSLEHGVRAFVGWYRDFY-------- 219670472 ----KLIVTGGAGFIGGNFVHYLLKNDYKIICLDKLTYGNMETLENFKFIKADIADREAIYQIFENEKPDVIVNFAAESHVDRSIADPSVFLLTNVFGTQVLLDACKKYGISRYHQVSTDEVYGDRPDLFFTEETPIQTSSPYSASKASADLLVQAYHRTYGIPVTISRCSNNYGP--------YHFPEKLIP--LMIANVLNDKPLPVYGTG------ENVRDWLYVED----HCSAIDLIIHKGRGEIYNIGGHNERTNLQVVQTIIRELGKGE-IKYVKDRAGHDLRYAIDPTKIDIELGWRPTTSFENGIKRTIQWYLENRAWWEN--- 160938455 LKNKTILVTGAAGFIGSNLVKRLYKEDVTVIGIDNMNDYYDVRLKEARFVQGSIADKELVNKVFEQYRPQIVVNLAAQAGVRYSIINPDAYIESNLIGFYNILEACRHSFVEHLVYASSSSVYGSNKKVPYSTDDKDNPVSLYAATKKSNELTAHAYAKLYNIPSTGLRFFTVYGP----------------AGRPDMAYFGFTDKLRAGKTIQIFNYGNCKRDFTYIDDIVTGVEKVMTKAPDKAIGEDYNIGNNHPENLLDFVQILSEEYDFDVHKELLPMQPGDVPVTYADTSALERDFGFKPSTDLRSGLRRFAEWYKEFY-------- 136341734 LKNKNILVTGAAGFIGHALIERLKEKEINIIGIDNLNSYYNPLLKQKRIEKVDLKDNFKVDEIFKKFNPDIVINLAAQAGVRYSLENPKTYIESNLVGFFNILESCRKYDIEHLIYASSSSVYGGNKIMPFNENHVDHPLSLYAATKKSNEMLAHSYSHLFQIPSTGLRFFTVYGP----------LGRPDMAPMIFADSIINGKPINVFNDGNMSRDFTYISDAVEAIFKCSFYENPPDPSTSFAPHRIFNVGSNNPINLLKFIEMLESEIGLKAIKIMQPMQPGDVKSTYADISKIKGWANFQPKTSFQKGIHLFANWYKDY--------- 227544119 ----KVLITGGAGFIGSNLAHALVKDN-DITIIDDLSMGKEENIINVTFYHHDVCDSAFMHKLLSENEFDYIYYLAAVSSVADSVVRPLETHRVNQESVVDTLDYIRAEPIKKFLFTSSAAVYGNAPDFPKMESSHVDPLTPYAIDKYASERFTIDYGNLYNLPTVAVRFFNVYGPRQN------PESPYSGVLSIITECMKNNKPFTLYGD------GSQTRDFVYVGDVVNAL---IKLATETDKPTVYNIANGGETTLINVIRTYENISGIKLNITYKDGRNGDIMKSKADISKLKNI-GFEPQWSLEDGLRNYWKYYSEN--------- 143303847 ----KILVTGVAGFIGMHSAKKLLDEGHEIIGIDNLNDYYDVSLKEDRLHKLDIKDQIDLLNLFKKESPQRVLHLAAQAGVRYSIQNPYVYIDSNIQGFINILEGCRATKTEHLVYASSSSVYGGNEKTPFSEHDNDHPISLYAATKKANELMAHTYSHLYQIPSTGLRFFTVYGP----------WGRPDMALFLFTKAILKGEAINIFNHGKMIRDFTYIDDIVESTPDASFDAKHPDPAISHAPYRIFNIGNNQPTPLMDYIEAIESALDKKAIKNLMDMQPGDVPLTSADTSELNQWVNFKPNTSIKEGVKRFVDWYKNYY-------- 135103698 ------LVTGNAGFIGFHTARRLLERGEAVVGFDSVNDYYDPTLKEARFIRANLADRAAVEDCFNKHRFDRVIHLAAQAGVRYSIENPHSYVESNLIAFTNILEGCRHSQVPHLTYASTSSVYGANTKMPFSEDSASHPLQFYAATKRANELMAHSYSHLYGLPTTGLRFFTVYGP----------WGRPDMALFLFTKAMLAGEPITLFNHGKHTRDFTYVDDIVEGVLRASDEPAEPDLHWDSGNPGQFNIGNNNPVKLEDYVNALEQSLGVTAKRELLPLQAGDVPDTCADSSALEAAVDYKPATPVQEGVANFIAWYRNY--------- 55376593 FSGRRVLVTGGGGFIGSHLASALAVDNH-VRVLDDFSTGRRANLPDDVTVEGDVRDRETLDAAIEGV--DVVFHEAAMVSVPESIEQPVDCHELNGTATVNVFDCARRQD-TRVVFASSAAVYGVPDDVPIGEDAPTEPNSPYGFEKYLGEQYARFYTEEYGLPTVPLRYFNVYGPR-------GLDGEYAGVIGTFVRQAQAGEPLTVEGD------GTQTRDFVHVDDVVRAN---LLAATTDAIGRPFNVGTGRSISINELAETVRDVVGTDIAVEHVPGRANDIQQSEADLGDARELLGYEPSLPLRKGLEVTLD-------------- 298482883 LDGKTVLVTGAAGFIGSNLVMRLFHRNIRVIGVDSITDYYDVNIKYWTFVRASIADKDAVERIFSEDRISVVVNLAAQAGVRYSITNPDAYVQSNLIGFYNILEACRYYEVEHLVYASSSSVYGSNKKVPYSTDDKDNPVSLYAATKKSNELMAHAYSKLYNIPSTGLRFFTVYGP----------------AGRPDMAYIGFTDKLVKGETIKIFNYGNCKRDFTYVDDIVEGVVRVMQHAPEKQNGEDYNIGNNSPENLLDFVTILEEEYDFEAHKELVPMQPGDVPVTYADTTPLEQDFGFKPSTNLRDGLRRFAEWYAKYY-------- 110773112 ----NILITGGAGFIGSAVIRYLINEDHKILNVDKLTYGNLESLARYHFCQADISDQAHITQLFKQFQPDTVMHLAAESHVDRSISSSVEFIQTNIVGTFQLLEISRHYWLFRFHHISTDEVYGDLSDELFTESTPYAPSSPYSASKASSDHLVRAWHRTYGLPVIITNCSNNYGP--------YHFPEKLIPLTILNALQGKKLP--------VYGNGEQIRDWLYVEDHARALYQVVTKAKA---GSTYNIGGHNEQKNIDVVKNICTLLDYKDLITFVPDRPGHDVRYAIDASKIKHDLGWEPEENFQSGLRKTVLWYLNNQDWVN---- 142828965 --TRTVLVTGAAGFIGAALSQRLLARGERVVGLDNLNSYYDPALKQARLRQVELEDGDALTTLFAEERPDVVVNLAAQAGVRYSLENPAAYIQSNLVGFGHILEGCRHHDVGHLVYASSSSVYGGNRNLPFHERQPVHPVSLYAASKKANELMAHTYSHLYGVPATGLRFFTVYGP----------WGRPDMAPMLFARAILAGEPIKVFNHGRMQRDFTYIDDIVECCDNPDFDPLQPDPATAAAPHRVFNIGNSQPTELLRFIEVMEQALGRDAIKDFQPMQPGDVVATAADTKALEDWVGFRPSTAIEAGVATFAEWYRNFY-------- 136157773 ----RALITGGAGFIGSNLVKHLLNLQNAIRVLDKLTYSGTLTNLHGIFIEGDIVDSEVASK--ATSNIDVVFHLAAESHVDRSIDSSRVFVETNVLGTQSLLEASFKNGVKTFVHVSTDEVYGSINEGSWTEEFPLLPNSPYSASKAASDLVALSYFRTHGMDVRVTRCSNNYGPN--------QFPEKVIPLFVTNLIDGKKVPL--------YGNGKNIRDWLHVSDHCVGIYL---TFKNGRPGEIYNIGGGQELDNLELTRTILKEFNLGQEIQFVEDRKGHDLRYSLDISKAQRELGYKPHIDFESGLKSTIKWYQENESW------ 143097201 MKTKKILVTGGAGFIGSHVVRLFVNNQYHIVNMDLLTYAGNENKENYSFIKCDICNFEKVVEVFDKFNIDSVIHLAAESHVDRSIEDPFSFARTNVMGTLSLLQAAKEHWNNSFYHVSTDEVYGLGKNGFFTETSNYDPHSPYSASKASSDHFVRAFADTYDLPVVISNCSNNYGS---------FQFPEKLIPLFINNIINNK-------TLPVYGKGENVRDWLFVDDHARAIDVIFH---NGNLGETYNIGGFNEWKNIDLIKVIRKEGESEKLITYVADRAGHDFRYAIDSSKLKNELGWEPSLQFEEGIEKTIKWYLDNKEWMNS--- 171059744 -QSRRWLVTGSAGFIGSHLLETLLAHGQQVTSLDNFATGHRHNLQRHHFIEGDIADPDTCVAACEGAQF--VLHQAALGSVPRSLKDPQTTHRANATGFLNMLVAARDVGA-RFVYAASSSTYGDSPTLPKVEDVIGRPLSPYAVTKYLNELYAEVFGRCYGMQTIGLRYFNVFGPRQD------PNGAYAAVIPRWIAAMLRGEPCTINGD------GETSRDFCYIANTVQANLRAALATQDAAVNQVYNVAVGDRTTLNQLHAALAAGVERERQPAYADFRGGDVRHSLADVSKARTLLGYEPTHDVHEGLAEAIAWYVAN--------- 253567775 LEGKTVLVTGAAGFIGSNLVKRLFHKNIKIIGIDSITDYYDVNIKYWIFVHASIADKDTVEEIFTENNVAIVVNLAAQAGVRYSITNPDSYIQSNLVGFYNILEACRHHEVEHLVYASSSSVYGSNKKVPYSTDDKDNPVSLYAATKKSNELMAHAYSKLYNIPSTGLRFFTVYGP----------------AGRPDMAYFGFTNKLREGKTIQIFNYGNCKRDFTYIDDIVEGVVRVMQHAPEKENGEDYNIGNNNPENLLDFVTILQDEYDFEVHKELVPMQPGDVPVTFADTELLEQDFGFKPNTTLREGLRSFAEWYAKYY-------- 255060556 ------LVTGGAGFIGSNFINHFIAGNCRVINLDLLTYAGNEGDANYRFVKGDICDAALVARLLAEEKVDAVAHFAAESHVDRSITGPDIFVRTNVLGTQTLLEASRQHPDFRFLQVSTDEVYGLGAEGYFTEETPLAPNSPYSASKAGADLLVRAYFETFGLPTLNTRCSNNYGP--------YHFPEKLIPLMIHN--ILKKRSLPVYGDGL------NVRDWLHVKD----HSAAIERVLKQGKPGDIFNGGNNEWKNIDIVKLVCDLMESRELITFVKDRKGHDRRYAIDASKLKRELAWEPSYTFESGIAETIDWYLANQGWVEE--- 294788797 ----KILVTGGAGFIGSAVVRHIINDTQDVVNVDKLTYAGNLDNLRYAFEQVDICNRAELDRVFTQHQPNAVMHLAAESHVDRSINSAGEFIQTNIIGTFTLLEAARQYWAFKFHHISTDEVYGDLHGTLFTETTPYSPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGC--------YHFPEKLIPLMILNALAGKPLP--------VYGNGLQIRDWLFVEDHARALYQVITH---GKIGETYNIGGFNEKTNIDVVKKICELLEELVPITHVTDRAGHDVRYAIDARKIERELGWKPQETFESGIRKTVEWYLANRDW------ 256776328 ----RILVTGGAGFIGSAYVRTLLDGGYSVTVLDKLTYAGNRTNLPAHHVQGDICDAGLLRELLPGH--DAVVNFAAESHVDRSIEDPSAFVRTNVLGTQTLLEGCLLSGVERVVHISTDEVYGSIERGAWTEEWPLAPNSPYAASKASGDLIARSYWRTHGLDVSITRCSNNYGP---------HQHPEKLIPLFVTSLLSGA-------TVPLYGDGSNVREWVHVQDHCAGIQLVL---SGGRAGEVYHIGGGNERTNRQITDALLELCGSGDLVRQVADRKGHDLRYALDDGKIRAELGYAPAVDFERGLADVVAWYGDNPAWWK---- 152979841 -----ILVTGGAGFIGSNFVRELLRSSEAILNVDKLTYGNLDTVMRHTFIHADVCDHDLMLSLLLKHKPSAIIHCAAESHVDRSIQGPAEFIRTNINGTFSLLEAARAYWTFRFLHVSTDEAYGEAGDAPFSETTAYAPNSPYSASKAASDHLVRAYFHTYGLPTLTTNCSNNYGP--------YHFPEKLIPLVIANALAGKALP--------IYGDGRQIRDWLYVGD----HCIALRLVLAKGPGETYNIGAQNQKTNLDVVYTLCDILDYRQQVVYVTDRPGHDRRYAIDTGKIERELGWKPVEAFDTGLRKTVQWYLD---------- 142347576 MKLK-ILLTGGAGYIGSHVAHLLIDKGHSVVIIDNLITGNKRLVPKKAKLHIDITNKLKVSKIINENKFDVVMHFAGLIRVDESIKKPKKYLIHNHEKSKIFFQICFKHKLNKLIFSSSASIYGNVKNKAL-ESDKKKPLNPYALSKLKTENFIIQQSKIKRISYMILRYFNVAGADKKLRTGLLSKYSTHLVKRACEVAVGKKDKLIINGDDYDTLDGTTIRDYIHVSDLAEIHYLCAKSLIKDNKSNIFNCGYGKGFSVKEVVKSLNSILSYKIKTKIGKRRPGDSKKVIANTTKLFRYFSWKPKYNLKLILKSSLNWEKK---------- 255332003 MQNKYIFITGCAGFIGFHLTKRLLDEGFSVLGMDDMNDYYDTSLKYDRFVKGSIENIELLEKLFSQYDVDTVVNLAAQPGVRYSLKNPHKYIQANVVGFANILECCKKHKIRHLIYASSSSVYGNNKNAPFSVADRTDPVSLYAATKKANELMAYTYSHLYRLPTTGLRFFTVYGP--------WGRPDMALFKFANAIVKQQPIEIYNYG--------NMKRDFTYVDDVTESILRLIDKPSTESPYKIYNIGNNQPVQLNYFIEVLEEHLGKKAIKKLLPMQPGDVPETFADIDELVKDINYKPKVSIEEGIKRFVEWFKDYYK------- 217426237 ----KIIVTGGAGFIGSALVKFIIDNTDHVLVLDKLTYANLESISDNNRYQFDICDVVAVEKIFLDYKPDAIMHLAAESHVDRSISSSAVFIDTNIIGTYNLLNVSKEYWMFRFHHISTDEVYGDLPHPLFTEDCAYKPSSPYSASKASSDHLVNAWHRTYSLPTVITNCSNNYGP--------FQYPEKLIPMSIINALQGE--------DIFIYGKGDQIRDWLYVYDHVEALYLVL---TNGRVGQTYNIGGNNEKRNVEVVETICKILDYQDQIKFVNDRPGHDRRYAIDASKIKRELNWIPKESFYTGIRKTIKWYLNNLDWVEK--- 143062968 ------LLTGGAGYIGSHAALSLLDAGHSVHIIDNLSTGNESLIPKNAYFNCNISDEKVISELIKSNSFDLLMHFAGFIQVEESVKYPQKYFDNNTENATKLFETCKKNGLNRIVFSSTAAAYGVSENKLIDENTNLNPQNPYAESKIKTENFL--FENKDDYKFIILRYFNVAGADKKLRSGQISKRSTHLIKILSEVVVGKRDHIEIFGNDYNTPDGTAIRDYIHVSDLADIHLEVAKYLLENSESNLFNCGYGNGFSVLDVINTANKISKDKIDYKFSNRRDGDVEQLIADTSKILKHIDWRPKYDLSEIINSSIKWEEK---------- 78357225 ----RLLVTGGCGFIGTNFIRYQLNVHTTIVNLDKLTYAGNPQNLTDIFEKADIADAAAVQAVIEKYSPDAVLNFAAESHVDRSISDPAPFVTTNVLGTQVLMQAARTAGIKRFVHISTDEVYGLPHEAPFTESNPLLPNSPYSASKAGADLMVRAFVETYGFPAIITRCSNNYGP--------YQFPEKLIPLMLGKAWENAPLP--------VYGDGTNVRDWIHVEDHCRGIDLALRKGR---DGAVYNFGGNAERTNLDVVRAILRLTGKPESISFVKDRPGHDRRYAMDFTLAGDELGYAPVHDFETGLADTLAWYEKHTTWLEN--- 71065769 -TSMNILITGGAGFIGSAVIRHIINTTDTVLNIDKLTYGNLESLAHYQFSQTDICDQPAIEAHFTDFQPDIVMHLAAESHVDRSITGSAAFMQTNIIGTHTLLEVARHYYNFRFHHISTDEVYGDNDDDLFTETTSYAPSSPYSASKASSDHLVRAWDRTYGLPVVITNCSNNYGP--------YHFPEKLIPLVILNALAGKPLPIYGNGQ--------QIRDWLYVEDHARALYLVA---TEGKTGETYNIGGHNEHTNIEVVQTICALLEYAELITYVKDRPGHDLRYAIDASKIQQALGWTPQETFSSGLRQTVLWYLNNSEWVE---- 227121340 ----RILVTGAAGFIGSHYVRTMLAGGYRVTVLDKLTYAGNRDNLPASHVEGDICDLPLLCELLPGH--DAVVHMAAESHVDRSIEAAADFIRTNVGGTQTVLEACLRSGIDRIVHVSTDEVYGSIATGSWTEEWPLQPNSPYAASKASSDLVARAYTRTHGLNLSITRCSNNYGP----------YQHPEKLIPLFVTNLLEGRPVPLYGD------GSNVREWLHVDDHCRGIQLVLAQGRA---GEVYNIGGGNEYTNLEITKLLLELCGADDMIRPVADRKGHDLRYSIDEGKIRRALGYEPRIAFDRGLADTVAWYRDNPGWWK---- 15843246 ----RALVTGAAGFIGSTLVDRLLADGHSVVGLDNFATGRATNLEHHVFVEADIV-TADLHAILEQHRPEVVFHLAAQIDVRRSVADPQFDAAVNVIGTVRLAEAARQTGVRKIVHTSSGSIYGTPPEYPTPETAPTDPASPYAAGKVAGEIYLNTFRHLYGLDCSHIAPANVYGPRQDP-------HGEAGVVAIFAQALLSGKPTRVFGDGTNTRDYVFV---------DDVVDAFVRVSADVGGGLRFNIGTGKETSDRQLHSAVAAAVGGPDDPEFHPPRLGDLKRSCLDIGLAERVLGWRPQIELADGVRRTVEYFR----------- 251771726 -----ILVTGGAGFIGSHIARTLVARGKAVRIFDNFSTGKKENIEDAEVVHGDLRDMKILESALSGV--TQVYHQAAVGSVPRSIADPFETQTANVNGTLNLLWKCREAGVRRVVIAGSSSVYGDTPGMPRVETLLPAPLSPYALSKLSQEMFGRIFTKTYGLETVTLRYFNIFGPYQD------PDSEYAAVIPRFIRAMITGAPVTINGTG------EQSRDFTFVENAVEANILAMET--TSGVGEAFNVGCGSSYSILDLVKALSEILKVTPEIRHNPPRAGDPAASLADISKARAHLGYEPRVFFREGLERTAAWFSA---------- 119512441 ----TILVTGGAGFIGANFVLLARQLGWNVINLDKLTYASNLGTLNYHFVQGDIGNLELVGYLLEKYQPDAIINFAAESHVDRSILSPEDFIQTNVVGTFKLLEASRFYWQFRFLHVSTDEVYGNPKDPAFCEDTPYAPNSPYAASKAASDHFVRAYYHTYGFPTLTTNCSNNYGPR--------QFPEKLIPLTILNAMEGKSLPIYGDGQ--------NIRDWLYVIDHCEAINLVLQ---QGKVGETYNIGGINEKTNLIVVEKICAIIDHSSLITFVKDRPGHDRRYAIDCSKISDELGWQPHENFDSGLFKTVQWYLNNSDWINQ--- 119486647 ---RRLLVTGGAGFIGSNFVHHWCHAEDRVVVLDALTYAGNRQNLHFRFVQGDICDGALVKKLLQEEEINTVAHFAAESHVDRSILGPGAFIQTNVVGSFTLLDAFRQHWNYRFLHVSTDEVYGSADDPAFTETTPYAPNSPYSASKAGSDHLARAYYHTYNVPTIITNCSNNYGP--------YHYPEKLIPLMCINILLGKPLP--------VYGDGQNVRDWLYVLD----HCRALDTVIHQGKGETYNVGGNNEVKNIDLVKMLCRIMDSEQLITFVKDRPGHDRRYAIDATKIQTELGWAPLVTVEEGLRQTVGWFLTHRSWLSEEYQ 254522956 ----TLLLTGAAGFIGAYTARALLEAGQPVVGLDNFNDYYDPQIKRDRVRTLDLTDRDGLAALFDEVQPTAVIHLAAQAGVRYSLENPHAYVDSNLVGFVNMLELCRHRGVQHLVYASSSSVYGDSATPPFSEDQRVDPRSLYAATKAANELMAYTYAQLYGLHATGLRFFTVYGP----------WGRPDMAPLLFSRAVLAGRPIDVFNEGRM------QRDFTHVSDIVSGILGALAHPADGPPHRVFNLGNHTPVELERFIGVIEQAAGRPAQKVYKPMQPGDMVRTMADTRRAHDAFGFDAVTPIEVGLPPVVQWCREY--------- 237736283 LKGKTILVTGGAGFIGSNLILELLKEDINIVSIDNLNDYYDVSIKEWHFIKGDIADKNTIDNIFNEYKPEIAVNLAAQAGVRYSIINPDAYIQSNMIGFYNILEACRHSYVEHLVYASSSSVYGSNKKIPYSVDDKDNPVSLYAATKKSNELMAHSYSKLYNIPSTGLRFFTVYGP----------AGRPDMAYFSFTNKLRNNETIEIFN------YGNCKRDFTYIDDIVYGVKSVMEKVPERKVGEDYNIGNNSPENLLDFVTILQEEYNFEAHKKLVPMQPGDVPVTYADVSTLERDFNFKPKTSLRDGLRKFVRWYREFY-------- 167748149 ----KILVTGGAGFIGGNFVHHMVNKNDEIVNLDLLTYAGNEDKPNYKFVKGDIADEAFIMDLFEKEQFDMVVNFAAESHVDRSITDPGIFVTTNVMGTRVLLDASKKYGVKRYHQVSTDEVYGDRPDLFFTEDTPLHTSSPYSSSKASADLFVLAYYRTYGLPVTISRCSNNYGP--------YHFPEKLIPLIISRALADEELP--------VYGKGENVRDWLHVSDHCEAIDLILHK---GKPGEVYNVGGHNERTNLEVVQTILKALDKPESIKFVTDRPGHDMRYAIDPAKIETELGWKPKYTFDTGIRQTIEWYLNNKEWWQN--- 219852721 ----KVVVTGGAGFIGSNLAEELSKK-HQVIVLDDLSTGREINLKGLDVEKGSITDLSLVNRVFSGV--DYVFHEAALPSVQRSVENPVATNEVNIGGTLNVLMAARDQGVKKIMFASSSSVYGDTPTLPKRESMTPAPMSPYAVTKLTGEHYFNVFSSLYGLKMTCLRYFNVFGPRQD------PKSQYAAVIPNFITKILNHESPIIHGDGEQTRDFTFIRDVVHANILA----------MESSSEGIFNIACDRRVSLNVLADQIMEIIGERRELIYDAPRSGDVRDSLADYTLAKEHLNYEPGFTLLQGLEETIQWFRN---------- 581659 ----KIPVTGGAGFIGSAVVRHIINNTQDVVNVDKLTYGNLESLERYAFEHADICDAVAMSRIFRTAPARRVMHLAAESHVDRSITGPAAFIETNIVGTYVLLEAARNYWSFRFHHISTDEVYGDLPHPLFTETTTKRQNSPYSASKASNDHLVRAWKRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKALP--------IYGKGDQIRDWLYVEDHARALYTVV---TEGKAGETYNIGGHNEKKNIDVVLTICDLLDYREQITYVADRPGHDRRYAIDADKISRELGWKPQETFESGIRKTVEWYLANTNWVEN--- 304406121 ----KILITGGCGFIGSTAAERFHKEGHQIYIIDNLSGGDVNNVVPHKLYPLNVEDRA-CDVVFESVRPDVVVHLAAQVDVTTSMKIPQADAQTNIMGLVNMLECSRRHGVKKFLFASSAAVYGNDEAVPLAEAVQGEPVSPYGINKKLGEYYCAKWQELYGLQTLAFRFANVYGPKQGGTGEGGVVSIYMKRMVEQQ-------------ELVVYGDGNQTRDFIYVEDIVDGLYRGAESDL----TGVYNLSCNHEIRLNELIDALQEL-GDSINVRYEASREGDIYRSSLDNTRVKRDLDWVPLFSLKEGLAKTYSWFLAN--------- 262040063 ----KFLVTGAAGFIGFHVSKRLLNDGHQVVGIDNINDYYDVKLKESRLEQLDLADRDGMSKLFETEQFERVIHLAAQAGVRYSLENPYAYADSNLTGYLNILEGCRHNKVQHLLYASSSSVYGLNRKMPFTDDSVDHPVSLYAATKKANELMAHTYSHLYSIPTTGLRFFTVYGP----------WGRPDMALFKFTKAMLEGKSIDVYNYGKMKRDFTYIDDIVEAIVRIQDVIPQPDPEWTVEEGRVYNIGNSSPVELMDYINALEQALGLEAKKNMMPIQPGDVLNTSAETQALYETIGFKPETPVQQGVKNFVDWYKEYYQ------- 307823473 ----KVLVTGGAGFIGSHLSRELWRQGHEIKVIDNLSGGRKETIPNFEFHQLDIRDAEAIMPLFA--DVDWVFHLAGLADIVPSIERPRAYYETNVSGTFNVLEAARDANVKRFVYAASSSCYGLAEQFPTPETAAIKPQYPYALTKYLGEELVMHWAQLYQLPVVSLRLFNVYGPHART---------TGAYGAVFGVFLAQKINNKPF---TVVGDGTQTRDFTYVTDVANAFIAAANSDIC---GEIMNVGSGGTYSVNHLVGLLGGA------IEYIPKRPGEPDCTFADTGKIKEKLDWQPQVSFEQGVANMMA-HIDYWEN------ 237807051 ----HYLVTGAAGFIGFHVAERLLAAGHQVTGLDNLNDYYDVNLKLSHFVKGDLADRTLMADLFTNGQFRRVIHLGAQAGVRYSLDNPHAYADANLVGHLNILEGCRQHKIEHLLYASSSSVYGLNRKTPFSVNDVDHPVSLYAATKKANELMSHSYAHLYGLPCTGLRFFTVYGP--------WGRPDMALFKFTKAILAGQPIDVYNFGE--------MKRDFTFIDDIAEAIIRLAEVIPQPAPYRVYNIGNSQPVELKTFIHELEQALGIPAQMNLLPLQPGDVLETSADTSALETVIGFKPQTPLASGLARFVSWYKSFY-------- 280958289 ----KLLVTGGCGFIGTNFIRLMLGSDWSIVNLDKLTYAGNRLNLRYRFVRGDICDRDLVMDLLAGNSVDAVVNFAAESHVDRSISDPAPFVTTNVQGAQNLFECARQRRVGRFVHISTDEVYGTGPQGQFTESTPLAPNSPYSASKAGADLMARAYFETYGFPALITRCSNNYGP--------YQFPEKLIPLMYLTAMADKPLP--------VYGDGQNVRDWIYVDDHCRGVELTL---LKGRDGCAYNFGGNAEETNLNVVKTLLSILGKPESITFVGDRPGHDRRYAMDYSLAAAELGFAPTLDFATGLRRTIDWYQANGEWLAQ--- 296110120 MKYKTILVTGSAGFIGFHLSKYLLENDVNVIGIDNLNNYYNPLLKEKRNEKLDFSDWDTLFKSLKDKEIDLIVHLGAQAGVRYSLRNPWAYIRSNDMGTLNIFELARRLDIEKVVYASSSSVYGGNKKVPFSEEDRVDPISLYAATKRANELMAYTYHHLYGIKMIGLRFFTVYG--------EYGRPDMAFWKFAKNILLEKPIEVYNYG--------KMERDFTYISDVVDGIIKSIEKDF---DYEIFNLGNDNPVNLEYAISLMEKYLGKKAIKDYKPIQPGDVERTWADLRKSRELLGYDPKVKIEEGLKRFCWWFLENKDW------ 115523473 ----TILVTGAAGFIGFHVARELVNAGQRVIGVDSLNDYYDPALKRARLDQADLADRAATAALFAKHKFPVVIHLAAQAGVRYSLQHPHAYIDANLQGFANVLEGCRHNGCRHLVYASSSSVYGANTKLPFAVSDRTHPISLYAATKKANEVMAYSYSHLYKLPTTGLRFFTIYGP--------WYRPDMALFLFAKAIVAGEPIKLFNHG--------KMRRDFTYVDDVTRVISRLIDHVPEAGETQFYNVGNHHPEELMHVVGLLEQELGRVAAKEMLPMQPGDVPATFADVDDLIRDVGFSPSTPIADGLREFARWYRCHY-------- 254286269 ----RILVTGAAGFIGSAVSAKLCQQGHDVIGIDCINDYYDVSLKEARFRKVDLADREGMASLFAEGKFDRVIHLAAQAGVRYSLENPFAYADSNLVGHLTILEGCRQNKVQHLIYASSSSVYGLNAKVPFTSDSVDHPVSLYAATKKSNELMAHSYSHLYDIPTTGLRFFTVYGP----------WGRPDMAPFIFTKKILDGQTIDINNNGDMWRDFTYIDDIVEDVIPARNNEWTVENGTPSAPYSVYNIGYGNPINLMDFIKAIEDELGIEAKKNFRGMQAGDVYRTYAETTDLFAATGYTPKVGVKEGVANLVKWYREFYN------- 142034366 MDNK-ILVTGGSGFIGSSFIHYLINNTDRIINLDKLTYANIQQNIRYKFIKGDICDRQCIKKIFQDYSPNKIVHFAAESHVDRSIDGPKDFINTNIVGTSILLEESLSYYNFKFHHISTDEVFGLGEKGFFVEDSPYDPSSPYSASKASSDHLVRAWHRTFGLPVTISNCSNNYGP---------YQFPEKLIPLIIINCLSNK-------SLPVYGKGENIRDWLYVEDHCKAINLILQ---EGAIGDTYNIGGNNEISNINIVESICTILDKKQLISFVKDRPGHDFRYAINTTKIKKDLNWEPKETFDSGLTKTIKWYLENENWWKK--- 148238581 -----ILITGAAGFIGAALAQRLLQRGDRVVGIDSLNSYYDPSLKQARLQQIEFCHQDALQELFAREKPRVVVNLAAQAGVRYSLENPAAYIQSNLVGFGNILEGCRHHGVENLVYASSSSVYGGNRNLPFHEQQPVHPVSLYAASKKANELMAHTYSHLYGLPATGLRFFTVYGP----------WGRPDMAPMLFAKAILAGEPIKVFNHGKMQRDFTYIDDIVECCDKPATANPNFDALAPDAPHRVFNIGNSQPTELLRFIEVMEQALGRQAIKDFQPMQPGDVVATAADTSALESWVGFKPSTPIEEGIAQFAHWYRSFY-------- 303238691 LKNKTILITGIAGFIGSYLARELLKEGIRLIGIDNMNDYYDVGLKEMRFIKGSIADKTVVDDIFSIYKPQIVVNLAAQAGVRYSITNPRAYVESNLMGFFNILEACRYSYVEHLVYASSSSVYGSNKKVPYTEDKVDNPVSLYAATKKSNELMAHAYSKLYGIPSTGLRFFTVYGP----------AGRPDMAYFGFTNKMVKGQKIQIFNYGDMYRDFTYIDDIVTG--VVNVMQKSPDFNEDGVKYKVYNIGNNQPESLMYFVETLEKCLMAEGIIELLPMQPGDVYQTYADVDELVKDFGFKPSTGLAKGLEKFAKWYKEYYK------- 143201260 ---KTLLITGGAGFIGSHLCLILLKNKYSLFVVDSLSNQNKEYIKNNLMIFGDLCDKNIIEKIFVYAKIDAVIHLAGLKSVSESIINPSSYWKNNVIATKNLLEIMMKNNCFTIVFSSSASIYET-KSIIINENSRIKANHPYANTKIVIEGLLDQVFMPNKWRIVCLRYFNPIGAHSSGLIGEDPLGPNNIFPRLLKVASGNISNLTIFGNDWPTVDGSGVRDFIHVMDLAEAHLSALMLFSQSPRILKVNLGTGEGTSVLELIKTFEVTNKVKIPYIFSERRKGDSAIVVADNSLAKSIMEFSPKRNISEMCRDGWRWFMNNPKGYNKK-- 303326133 ----HVLVTGAAGFIGYHLARRLLADGHTVVGIDNCNDYYDVQLKKDRFELLDLADGGGMAELFRRGRFSHVVNLAAQAGVRYSLQNPASYVNSNLAGFGNLLEGCRHNGVEHLLFASSSSVYGLNAARPYSVHHNDHPVSLYAATKKSNELMAHAYSHLYRLPCTGLRFFTVYGP----------WGRPDMALHLFTTAIVRGEAIKVFNEGRMRRDFTYIDDIVEPAPDPAFDAAAPDPASSSAPWRIYNIGNNQTVELNEFIAVLEEALGRTARKELLPMQPGDVEATWADIDALTAATGFAPVTPLREGIARFVDWYKEYYK------- 134810414 ---KNILITGGAGYIGSHTAEILIKNNKKVYIVDDLSTGFKKLILKKKFYKTSVLNKIKLRNIIIKNNIDSIIHLAAVLSVGEGEKKPKKYNKINVEGTKKLLESIKNTKVKNLIFSSTCAVYKDGF-TKVTEKTKLSPASVYGKTKLKCEKIIKSFCKKNKINYGILRYFNVAGASISGKIGQINKGDQLF-KNLSIEVHKKKPIFKIYGTKYKTKDGTCIRDYIHVSDISDIHYKVLSKINNNKVSIVLNCGYGNGISVNDTIKKFRKYANKNLKIIKLPNRKGDMVKVISDNSKLKKFIKWNPKFNLNKIVKSCIIWERKY--------- 256819061 --KRNILITGGAGFIGSHVVRLFVNKDYHIFNLDKLTYGNLENVPNYTFIQADICDYERMKALFAENHIDGIIHLAAESHVDRSIEDPFIFAKTNVMGTLSLLQAAREAWKDRFYHVSTDEVYGALEEALFTEQTPYDPQSPYSASKASSDHFVRAYHNTYKLPVVISNCSNNYGS---------HQYPEKLIPVCIYNIVDNKP-------LPIYGKGENIRDWLFVEDHARAIDTIFH---QGKDGDTYNIGGFNEWRNIDLVKVIIKEVDKEKLITFVTDRAGHDLRYAIDATKLKKELGWEPSLQFEEGIQKTVKWYLSN--------- 298209180 LKGAKILITGGAGFIGSNLCEFFLKQNAIVTCLDNFATGHKHNIAHLMTHEGDIRDVETCQN--AANGQDYILHQAALGSVPRSINDPITSNDVNVGGFLNMLIAARDQKVKRFTYAASSSTYGDSVKLPKIEEEIGQPLSPYAITKYVNELYATNFKLTYGLDTVGLRYFNVFGRKQDP-----KGAYAAVIPKFVIQFMNHESPT-------INGDGTFSRDFTYIDNVIQMNMLTITTDNEDALNKVYNTAVGDRTTIKDVATQLRKQLSKEVEILNGPIRKGDVPHSLASIDKAKRLLDYKPTHEFNDGLKEAVDWYWNN--------- 142258159 ----KILVTGGLGFIGSHTVVELINKGYEVIIIDSLVNSNIKVLKRPEFHKIDLRNKDKLNSFFQNTIFSGIIHFAALKSVSDSLNNAKLYYDNNVGSTENLLEIISSRKEKILIFSSSCTVYGQAKKLPIDESSPVNQESPYGETKRICEEIIQQTIKSKNINAISLRYFNPIGAHESSLIGELPNGPDNLVPYITQTAIGKRKELTIFGNDYPTRDGTCIRDYIHIKDLAEAHVAAIEFIKDENFYDFFNVGTGKGITVLELVKMFEEINNVKLNYRIGDRRNGDITSAYADTEKVNKTIGWHSKNEISNALKTAWKWELK---------- 195953980 ---KRILITGGAGFIGSHLCERLLEEGNEVICVDNFFTGSKEHLLGNPYFEVDITFYVEVDEIYNLACPASPIHYQ---------FDPVQTTKTSVMGAINMLGLAKRLKI-RILQASTSEVYGDPTVHPQKEDYWIGPRACYDEGKRCAETLFFDYHRQHNLDIKVVRIFNTYGPRM--------LPNDGRVVSNFIVQALKGEDITVYGD------GSQTRSFCYIDDMVDGIIKMM--NSPKGFTGPVNLGNPGEFSILELAEMILKLTKSKSKIVFKPLPQDDPKQRQPDITLAKSRLNWEPKVPLQEGLIKTIEYFKAF--------- 144196420 ----TVLVTGAAGFIGCHLSRRLLEQGTPVVGFDNVNPYYDPSLKRARIAQLDLEDRQAVEAAFAQHKPQKVVNLAAQAGVRYSIENPAAYIQSNLVGFGHILEGCRHHGVQHLVYASSSSVYGGNTNLPFSEHHVDHPVSLYAASKKANELMAHTYSHLYALPATGLRFFTVYGP----------WGRPDMALFLFTKAMLAGEPIQVFNNGQMVRDFTYIDDIIESAPDPAFDPAHPNPATSWAPHRVFNIGNSNPTPLMDYIEAVEDALGITAEKQFMPMQPGDVPATAADTCALEAWTGFKPNTPVRDGVARFVAWYREFY-------- 109897412 ----KYLVTGAAGFIGNYVAEKLCAEGHDVIGLDNLNDYYDEHCKTFTFVKADISDRNTIAALFSQEKFDRVIHLAAQAGVRYSIENPMAYIDSNLTGMATILEGCRHNNVEHLVYASSSSVYGANKKIPFAEGDRVDPVSLYAATKKSNELMAHTYSHLYSLPTTGLRFFTVYGP----------WGRPDMAPFLFTDAVVNDRAIKVFNDGKMQRDFTYIDDIVEGILRIQNVIPKPREESNSSSESSYNIGNNTPVELEAFIGCIENALSKKAVKNYMPMQDGDVVRTFADITNLESEIGFKPQTELQDGINNFVGWFKQYY-------- 150376942 ----NILVTGGAGFIGSALCRHLVADDNRVVSLDKLTYAGNENLRNYRFVRADICDEGAIASILSAEKIDRIMHLAAETHVDRSIDGPGAFIETNILGTFRLLQAALHYWAFRFHHVSTDEVFGALDDESFNESTPYAPSSPYSASKAASDHLVRAWHHTFGLPVVLTNCSNNYGP--------FQFPEKLIPLTILNAMEERPLP--------VYGNGTNVRDWLYVDDHARALDLVA---LKGTVSESYNIGGGCERTNLHVVDTICDILDGKRPIAFVDDRPGHDWRYAMDTSKIERELGLKPRESFETGIERTIDWYLEN--------- 157363944 -----VLVTGGAGFIGSHLVDALIEKGHRVVVVDNLVSGKIENLKNALFYQQSIEDDEMMERVFMLHRFDFVFHLAAQASVSVSVKEPIKDAKTNILGSLVLLEKSVKHGVKKFIFSSTGAIYGDNVPLPTNEAIGPNPASPYGIAKRSVEMYLEFYKNEKSLNYIALRYGNVYGPRQD------PNGEAGVIAIFSSRMLKGED-------VHIFGDGEYVRDYVYVKDVVTANLLAMEKDF----TGIYNIGTGVGTSVNALFKMLSTITGYSKQPIYSSPRKGDLRKSILDSKKAELELGWHPVTELSDGLKMTVEFFR----------- 297569758 ----KVLITGAAGFIGSALALRLLARGDEVVGIDNHNDYYDPSLKEARHCRIDLADKAAVQEVFAVHRPRRVVNLAAQAGVRYSIENPLSYIESNIVGFAHILENCRHHEIEHLVYASSSSVYGANTAMPFSVHHNDHPLSVYAASKKSNELMAHTYSHLFGLPTTGLRFFTVYGP----------WDRPDMALAKFTRAIMADEPIKIFNYGKHRRDFTFIDDIIEGVVRVEWSGNNPDPGSSTAPWRVYNIGNNRQVELMEYVETLEKALGKTAQKEMLPLQPGDVPDTFADVTDLARDFNYQPNTTVQEGIGRFAAWYREYY-------- 256420556 MHN-TILITGGAGFIGSHVVRLFVNKHYQIVNLDALTYGNLENLKDYTFVKGDITDEAFIDELFTTYSFDGVIHLAAESHVDRSIMDPLAFIKTNVLGTATLLNAARKYWKDNFYHVSTDEVYGLGDEGFFTEETAYDPRSPYSASKASSDHFVMAYYHTYHLPAIISNCSNNYGSH--------HFPEKLIPLAIHNIKNNKPVP--------VYGKGENVRDWLFVEDHARAIDTIFH---NGRLGETYNIGGFNEWKNIDLINLLCIVMDKKQLITFVKDRAGHDLRYAIDATKLNKELGWAPSLQFEEGLEKTVEWYLTNEEWLD---- 134045539 ----KILVTGAAGFIGYSLCKNLLDENIESVGIDNLNSYYDPILKEKRFYKIDLDNFKELEDIFSKEMPDLIVHLAAQAGVRYSLENPWAYEYSNNIGTLNIFEIAKKYNIKKIVFASSSSVYGGNQKIPFSERDNVGPVSIYAATKKYNELLAHVYHHLYDMEMVGLRFFTVYG--------EFGRPDMAYWKFTKKILNGEQIDIYNFG--------DMNRDFTYISDIVEGIKNAINTPNL--GYNIFNLGGDNPVNLEYMINLIEKELGINAIKNYMPIQPGDVPVTMADLEKSKKMINYRPKVSIEEGIQKFVNWYLENKQWLKD--- 22298743 -----VLVTGVAGFIGHGAALALLRRGDRVIGLDNLNDYYDVNLKKSRLEHIDLVDRLGVNQLFADFSPQKVIHLAAQAGVRYSLENPFAYIDSNIVGFLHILEACRHHRVEHLVYASSSSVYGANKKLPFSHDNVDHPLSLYAATKKANELMAHTYSHLYNIPTTGLRFFTVYGP--------WGRPDMALFKFTRAILNNEPLPVFNYGKH--------RRDFTYIDDIVEGILRVLDRPAAPNPAWCYNIGAHRPIELLRYIELLEEYLGKKALITFLPLQPGDVPDTYADVTALKEDTGYEPITPVEIGVQRFVEWYRDYYR------- 253699535 ------LVTGGAGFIGSNFINHFMAPGCRVINLDLLTYAGNEGNPAYRFVKGDICDAGLVAGLLAEEKVDAVVHFAAESHVDRSITGPDIFVRTNVLGTQTLLEASRLHAGFRFLQVSTDEVYGLGAQGYFTEETPLAPNSPYSASKAGADLLVRAYSETFGLATLNTRCSNNYGP--------YHFPEKLIPLMIHN--ILKKKPLPVYGDGL------NVRDWLHVKDHSAAIERVLKK---AKPGEIFNVGGNNEWKNIDIVNLVCDLMESRGLIAFVQDRKGHDRRYAIDASKLKRELSWEPSYTFERGIAETIDWYLANQGWVEE--- 115451921 ----TVLVTGAAGFVGCHAAAALRRRGDGVLGLDNFNDYYDPALKRGRVVDGDIADAELLAKLFDVVPFTHVLHLAAQAGVRHALVDPMSYVRANVGGFVALLEAARMANPQAIVWASSSSVYGLNSHVPFSEHDRTDPASLYAATKKAGEEIAHAYNHIYGLSLTALRFFTVYGP----------WGRPDMAYFFFTRDILAGRPITVYSAGGGTHQTTISRDFTYIDDIVKGCVGALDTAGRSTGSGTYNLGNTSPVPVTQLVDLLEKLLKVKAVRKIVKMRNGDVPYTHANISLAQRELGYRPSTDLQTGVKKFVRWYLEYY-------- 284008909 ----KYLITGCAGFIGFTLCQRLLQNGHQVVGLDNLNHYYDPDLKKARLERLDIIEREKVIEVITLGKFDRVIHLAAQAGVRYSLKDPFAYADSNLTGFLSILEGCYYGQIPHLIYASSSSVYGMNNQFPCSTNSVDHPISLYAATKRANELMAHAYSHLYNLPTTGLRFFTVYGP----------WGRPDMALFKFTKAILEMKPIDVYNNGDLSRDFTFVEDIVAGILSIADIIPPKKTDNSDAPYRIYNIGNGQPIKLLDFISALEQALGKKAIKNMLPMQAGDVHTTWADTKDLFSLTGYRPQISIKEGVKAFVDWYRTYYR------- 116624976 ----HYVVTGGAGFIGSAITRRLLAEGARVVVIDNLLSGREEIRARIDFQRADIRNYEEIAPLIRGA--AVVFHEAAIPSVPRSIEDPVPSHDVNANGTFNVLRAAKEGQAGRVVYAASSSAYGDTEVLPKVEDMTPRPKSPYALQKLLGEYYCNVFTGVYGLETVALRYFNVYGPRQD------PGSPYSGVLSLFMKAALNRTAPTIFGDG------EQSRDFTYVEDVAELNLKAARAKGVAGK--VYNGGNGGRITLNQAWALLQKLEGIEIPSVYGPPRAGDVRDSQADTTLAVRELGHAPRYSFEEGMRLTLEWYR----------- 56606855 ---------------GSHTVVELLKQNREIVVLDNLCNSSKESLNRVKFYQGDILDRAILRQIFAENPIESVIHFAGLKAVGESVAKPLWYYQNNVTGSITLVEEMLNAGVNTIVFSSSATVYGDPQEIPITENCPVGTTNPYGTSKYMVERILEDTTKAFPFSAVILRYFNPVGAHESGLIGEDPNGPNNLMPFISQVAVGKRSELSVFGSDYDTHDGTGVRDYIHVVDLAIGHLKALEKHQNDAGFHVYNLGTGTGYSVLDMVKAFEQANGIQVPYKLVERRPGDIATCYSNPQKALEQLGWKTERGLEQMMK------------------ 253989507 ----KFLVTGAAGFIGFHVSQRLLQMGHEVVGLDNLNDYYDVNLKQARFEKLDLADRIAIPALFERHQFQRVIHLGAQAGVRYSLQNPMAYIDSNIIGHINILEACRHNNVEHLLYSSSSSVYGLNRKQPFTNDSVDHPISLYAATKKSDELMSHSYSHLYQLPTTGLRFFTVYGP----------WGRPDMALFKFTKAMLSGQPIDVYNHGNMVRDFTYIDDIVESIVRLQWVVEDGQISASSAPYCIYNIGNGQPTRLGDFIEAIEESLGIQAKKNFMPMQDGDVLSTCADSSDIFQKIGFSPNTSVRHGVKQFVEWYLSFY-------- 229916911 ----TILVTGGAGFIGSNFIKHFRDKHPTSRILDALTYAGNEHDPLYVFRKVDIRDRPAIDELFSLFEIDEVVHFAAESHVDRSILEPELFLSTNILGTQVLLDAAMRHWKRCFVHVSTDEVYGLGETGFFTEKTPLAPNSPYSASKAGSDLLVRSYHETYGLPIKITRCSNNYGP--------YQFPEKLIPLMVHHCMTDQLLP--------VYGDGGHIRDWLHVQDHCEAINLVRQKGQ---VGEVYNIGGHNEKTNLELVRTILRAFDPDDRIQFVTDRPGHDRRYAIDAKKMQDELGWVPRYSFEQGIEETIEWYRSHPEWVER--- 29345790 LENKVVLVTGAAGFIGANLVKRLLNETVKVIGIDNITEYYDVRLKYERLQELSIAKKEIVESIFTNYHPQVVVNLAAQAGVRYSITNPDAYIESNLIGFYNILESCRHHSVEHLVYASSSSVYGCNKKVPYSTDDKDNPVSLYAATKKSNELMAHAYSKLYNIPSTGLRFFTVYGP----------CGRPDMAYFSFTNKLLKGETIQIYN------YGNCKRDFTYIDDIVEGIVRIMQHAPEKRNGEDYNIGNNSPENLLDFVTILQDEYDFESYKELVPMQPGDVPVTYADTTPLQQDFGFKPSTSLREGLRKFAGWYAKYY-------- 229170345 ----TYLVTGGAGFIGSHLVHALLKQGKEVKVLDNLYSGKEENLKGVLHDIGDITDYHTVKNALKGV--DVVYHLAAVPSVPRSIVNPMLSNKVNITGTLQLLHVALELNVKRFIYSSSSSIYGNSNFIIKKENMAPAPLSPYAISKYAGELYCKTFYELYGLETVSLRYFNVFGPKQDS------QSEFAAVIPKFISTIHQNHSPIIYGD------GTQTRDFTYVDNVVSANLLASDAEKLHGEVINI--GCGNGISLNSLVDNINLLLGKQTISTYTESKKGDVRHSIANLQKAESLLSYRPLVSFDEGLKSTIHWYKDNFN------- 89891399 -KNTKILITGAAGFIGSNLSEYFVGAGYDVIGLDNLSTGHLSNISHFHFVEGDIRDVETCSSVV--IGCDYVLHQAALGSVPRSIKDPITSNDVNVGGFLNMLVASKEAGVKKMVYAASSSTYGDSKALPKVEEHIGKPLSPYAITKYVNELYADVFYKTYGLNTIGLRYFNVFGRKQD------PNGAYAAVIPLFTKQLMNKQSPTINGD------GTYSRDFTYIDNVIQANEKAMLTDHPEAVNTVFNVAYGDRTDLNLLVDLLKKSLSKNVDTIYGPLRAGDVPHSLASIYKAKRLLNYNPQFDLKAGLDEAVDWYWAN--------- 729026 ----KILITGTAGFIGSHLAKKLIKQGHYVIGVDSINDYYSVSLKEDRFNKVKLENYDDLSKVFVDEQPEVVVNLAAQAGVRYSIENPRTYIDSNIVGFMNILECSRHFNIQNLIYASSSSVYGANTSKPFTSDNIDHPLSLYAATKKSNELMAHTYSHLYNLPTTGLRFFTVYGP----------WGRPDMALFKFTKAIVNDQAIDVYNHGNMMRDFTYVDDIVEAIKPASPNKEWSGADPDPGSSYVYNIGNNSPVRLMEFVEAIENKLGKEARKNYMDLQPGDVPETYANVDDLFRDIDFKPETTIQDGVNKFVDWYLEYYK------- 136346057 -----VLICGGAGYIGSHMAQWLAEHGHRVTVLDNLSTGHRAAVRWGELITADLMDPAALERAFHGRRFDAVMHFCARSLVGESVADPYGYYSNNVTGTLNLLEAMRQHGVEKLVFSSTAAVFGHPATAVINEEHPTAPINPYGASKLMAERFLADAATAYGLRSVTLRYFNAAGALPEHGIGEAHACETHLIPNVLRAALGKGPPLKVFGSDYDTIDGTCVRDYVHVQDLAQAHALALEFMSTR---------------------------------------------------------------------------------------- 145588441 -----ILVTGGAGFIGGNFVDWLANSNAEGIVLDKLTYAGNLATLRHVFIHGDIGDKELVTKLLTQYKPRAIVNFAAESHVDRSIHGPAEFVQTNIVGTFNLLECAREYWNFRFHHVSTDEVYGSAADPAFTETNSYEPNSPYSASKAASDHLVRAWFHTYSFPVVTTNCSNNYGP--------YHFPEKLIPLVILNALNGKPLPIYGDGQ--------QVRDWLYVGDHCSAIREVL---AKGKLGETYNIGGWNEKANIDVVKIICQILDYAEQITFVKDRPGHDRRYAIDASKVERDLGWRPAETFDTGIRKTVQWYLENPAWIE---- 136190138 --NETVLVTGGCGFIGSNYVRHLLAAQAKAVVLDKLTYAGHRPNLRCEVVVGDIADAGLVKKLLEQHRPVSIVNFAAESHVDRSIDEPAPFLHTNVTGTFVLLEATRNYLQFRFVHLSTDEVHGEPSDPPFRETSTFAPNSPYAASKAAADHLCRAYFRTFGVPTVVLRPSNNYGPN--------QFPEKLIPLAILRAVAGEKIP--------VYGDGRQVRDWMYVEDTA---RAVEAARRAGGVGEAYQVGADEEKENVAVLGALCDLLDRLKPMETATDRPGHDRRYATDASKFRKGLSWEPKTSFLNGLEKTVRWYLENPAW------ 229917103 --KKTVLVTGVAGFIGFHLARRLLKEGHHVIGIDVVNDYYDPRLKESRLHVLTLENKMAITRVFEKNQPQVVVNLAAQAGVRYSLENPDAYIQSNIVGFLNILEACRFYPVEQLIYASSSSVYGSNQAMPFSEQHPDHPLSLYAASKKANELMAHTYSHLFGLKTTGLRFFSVYGP----------WGRPDMALYKFTEAILKGEPIDVYNYGEMERDFTYIDDIIESMDIAPMADEEFDYEHPLPDFRVYNIGNQQPVSLMDFIRTIEERVGKKAILSLLPLQAGDVPASFADSSSLYESIDFQPQTSIRDGVNAFIDWYIAYHQVLKE--- 86740374 ----TLLVTGAAGFIGSNFVRYWRTRHPEVVALDALTYAGCRENLADTFVHGDIRDQELVESVLREHSVDVVVNFAAESHNSLAIIRPGEFFATNVMGTQTLLEAARTVGVARFHQISTCEVYGDNDPGAFTEDSPYLPRTPYNAAKAGGDHAVRAYGFTYNLPVTITNCSNNYGP--------YQFPEKVIPLFVTRALQGESLP--------MYASTTNRREWLHVMDHCRAIDAVLDRGRL---GETYHVGSGVEADIETIADTVLAELGPASLKTIVPDRPSHDRRYLLDSTKLRTELGWTPLIDFAEGMRSTIAWYKENEAW------ 291448567 ------LITGGAGFIGAHVLRAMREAGEPVAVYDDLSTGDRSRVPADPFVQGSTLDGDRLRGAMRELSVRGVVHLAAKKQVAESVERPLYYYRENVDGLRSLLDAAVDSGVGAFLFSSSAAVYGMPDVASVTEDLPCAPINPYGETKLAGEWMVRAAGRAHGMATASLRYFNVAGAATPQLADTG----VFNLVPMVFEKLTEGAAPVVFGDDYDTDDGTCVRDFIHVDDIAHLAAARALADRAPGTDLTLNIGRGRGVSVREMIGLIGEVYGPAARPVSAPRRPGDPARVVASADLILDELGWSARHGLRAMVASAWAWCLRHP-------- 167648867 ----KILITGGAGFIGSSLVRSALGAGHAVVNLDALTYAGNEDAAGYVFEHVDIVDAAAVDAVFARHRPDAVMHLAAESHVDRSIDGPLAFVRTNVMGTAVLLEAARRHWAFRFIHVSTDEVYGAPDDPPFDEHSPIDPTSPYASSKAASDLLARAWQRTYALPVIVTSCSNNYGPR--------QFPEKLIPTVIHNAVSGQPIP--------IYGDGRQVRDWLFVEDHAEALLRILEAGV---PGETYLIGGEAEHGNLDLTRAICAILDRAELITHVADRPAHDRRYAIDAGKLRDALGWRPRTSLEAGLERTVRWYLDH--------- 310764146 ----TILVTGGAGFIGANFVLDWLAQSDEPIILDKLTYAGNPQSLRHELVVGDICDTALVGKLLMQHRPRAIINLAAESHVDRSIHGPGAFIQTNIVGTFSLLEAVRTYWSFRLLHVSTDEVYGSAHEDAFTETHTYEPNSPYSASKAASDHLVRAYHHTYGLPLLTTNCSNNYGP--------LQFPEKLIPLVIANALAGKPLPIYGDGQ--------QVRDWLYVKDHCSGLRRVLEAGRL---GETYNIGGWNERTNLTVVTEICALLDAKGQIAFIKDRPGHDRRYAINASKIGEELGWRPAETFETGLRKTVQWYLGNSQWVDD--- 226950090 ----KILVTGGAGFIASNLVDKLISMGNDVCIIDNLSTGNINNVNKKARLYIDILD-SNISSIFKKERFDIVYHFAAQIDVQKSIKDPMFDSNVNICGTVNILKSCVDYGVKKIIYPSSAAVYGQPEYLPIDEKHRVKPISSYGLSKYTPEEYIRSFSELYNLDYTIFRYANVYGIRQDPKGEG-------GVVSIFMDRLFKNYPLCIFGDGKAL------RDYIYVEDVVNANIAAL----CNGSRNLFNIGTGVYTTVKDLAQMMIDTMKVQSHIEYQEARKGDIEKSYFNIEKAKVELKWEPKYNLQKGLIKTINYYKDN--------- 136463253 ----KILVTGVAGFIGMHSAKKLLDDGHEIIGIDNLNDYYDVTLKQDRLKKLDIKDQKDVLDLFKKESPQRVLHLAAQAGVRYSIQNPYVYIDSNIQGFIHILEGCRATKVEHLVFASSSSVYGGNEKVPFSEHDNDHPMSLYAATKKANELMAHTYSHLYQIPITGLRFFTVYGP----------WGRPDMSPILFTKSILADEPIQVFNHGDMMRDFTYIDDFKTATSNLNFDARHPDPATSHAPYRIFNIGNSQPVPLMKFIETIEDALGKKAIKKMMDMQPGDVKETSADTSELNQWVNFKPNTSIQEGVKRFVGWYK----------- 228470196 ---KSILLTGGCGYIASHTAVVLQEAGYGVILVDNLSNSRREVVVRPQFYEVDCTDAVAMGKIFEHHKIEGVIHFAAHKAVGESVEQPLRYYDNNINSLITLLRCMERYGVQHLVFSSSCTVYGQPEHLPITESAPQDATSPYGNTKRINEDIITDSVRSMPLQAVILRYFNPIGAHPSALIGEEPVGPQNLIPFLTQTVAGLRKELVVFGNDYNTLDGTCIRDYIDVMDLAQAHLAALRRLHRSPAHYIYNVGMGRGLSVLELIQAFERATGRTVPYRIGDRRAGDIEQIWADTSYGEQELQWHAEIPIEESLRRAWHWQ----EALDKRH- 135334396 --NMNILITGGAGYIGSHIVEKLINKKNKIYVLDNLSTGKKRLIKKTKFFKGDIKNIKLLKKIIKNYNIETVIHLAAYLNISEAEKNKVKYYKNNVIGSKNLIHACKKSKVKNFIFSSSCSIYGNIRGS-VSETAKPNPQSYYAYTKYKSEQFIKKYSKKYKYKYGILRYFNVAGASSSGKIGEIEKSHGHLIKNIAIQSLKLKPKINIYGYNYPTKDGTCVRDYIHVSDLAEIHIKSLNYLNRKKKSFVLNCGYGKGYSVQEIVKIFKKL-KKNTIVYYKKRRPGDIAEVFSNTSKFKKILKWKP--------------------------- 93005454 ----KFLITGGAGFIGSAVVRHLLQHTQNSLNLDKLTYAGNSDNSRYTFEQVDICNPTELARVFERYQPDVVMHLAAESHVDRSIDAPDDFIRTNIVGTYNMLEAARAYWIFRFHHISTDEVYGDLPHPLFTETTSYAPSSPYSASKASSDHLVRAWYRTYGLPVVITNCSNNYGP--------YHFPEKLVPHIILNALAGKSLP--------VYGDGSQIRDWLYVEDHARALIKVA---TEGVVGETYNIGGHNEKRNIDVVLILCALLEYEDLIVFVKDRAGHDVRYAIDASKIERELDWVPEESFETGMRKTVQWYLDNKNW------ 135239573 ----KALVTGGAGFIGSHFLRRFLKTDWEVVNFDKLTYAGNPKNLADRFVQGDICDREALFEAARGA--EAVLHFAAETHVDRSIEDGEAFLKTNILGTKNILDFVREEKPSRYLHVSTDEVYGSLSEGRAAENHPLRPNSPYAASKASGDLMVRAYRKTYGIPAVIVRSSNNFGP--------YQYPEKVIPLFITNLLENKRVPLYARGE--------NRRDWIFVEDNCEALECVFEKGL---DGETYNIGAGNEISNRELTVKILSHFGKEDWIEYVPDRPGHDFRYALDVSKVRA-LGFKPRHSLDEALRLTVAWYRKH--------- 307331597 ----RIVVTGGAGFIGSHFVRQTLTADAQVVVVDKLTYAGNEANLRLRFVRGDICDGELVGELLR--GTDLVVHFAAESHVDRSISGAEEFVRTNVLGTHTLLNAAANAEVGKFVHVSTDEVYGSIESGSWSEQEPLEPNSPYSASKASSDLLARAFHRTHGLPVCVTRCSNNYGP--------YQHPEKVIPLFVTNLMDGKPVPL--------YGDGGNVRDWLHVDDHCRGIALVAE---NGRPGEVYNIGGGTELTNLDLTERLLELLGADRMVERVPDRKGHDRRYSVDIAKISAELGYRPEISFENGLAETAKWYMTH--------- 303247231 ----RLLVTGGCGFIGSNFIRDMLDRHDDIVNLDALTYAGNRQSLRYFFARGDIANSELALYLFEEHRIEAVVNFAAETHVDRSITDASPFIRTNVAGTQSLLDAARLFGIKRFVHVSTDEVYGTGPDGKFSEDTPLAPNSPYSASKAGADMLVRAAHETYGMDTVITRCSNNYGP--------FQFPEKLIPLMFSRAMADEPLP--------VYGDGKNVRDWIYVTDHCRGVELAL---IKGRAGEVYNFGGDAEKPNIEVVRTILAALGKPESIRFVTDRPGHDRRYAMDFTKAARELGFAPEYDFTRGIAATVDWYRQNGEWLKS--- 143015750 -DSMKLLVTGGAGYVGSHAVCALLAQGHEVVILDNLSTGHKWALQDCELVTIDLRDEANLVRNLKGRGFDGVLHFAAKSLVGESKNQPVKYYQNNVGGTTNLIRAMQAANIQRLVFSSTAAIFGNPVSDLIDEAHPRAPINVYGQTKLAVEQMLEAVTASSDLSATCLRYFNAAGANNAANLGEWREPETHLIPNALRAAAGTGNPLTLFGDDYPTPDGTCIRDYIHVDDLASAHVAAIDAMTESGVFGTYNLGNGNGYSVKEVIDACEKAMGSEIPFTIGPRR------------------------------------------------- 302337210 ----TILITGGAGFIGSNFIRYLFEKTDNIVNLDALTYAGNPASLADIFEKADICDAGSIEKVFQHYDPDAVVHFAAESHVDRSILGPGTFINTNILGTFNLLETCRKMWEGRFHHISTDEVYGLGESGYFSETTAYDPRSPYSASKASSDHLVRAYHHTYSLPITLSNCSNNYGP---------YQFPEKMIPVMILNMLEDKP-------LPVYGDGKNIRDWLYVEDHNSAVWQIMCKGI---VGSSYNIGGENEWENIHLVNKLCELTRYKKLIHYVKDRPGHDQRYAIDCRKIKEELGWQQAFSFDEGLMHTVKWYLSNGSWIE---- 292656187 -----VLVTGGAGFIGSNFVRYLLDNSDDVVTLDALTYAGSRDNLAGRFVEGDIRDRELVDDLVA--DADVIVNFAAESHVDRSIGGAEPFVSTNVQGTQTLLDAALDADIDRFLQISTDEVYGEIHDGKFTEDDPLAPRNPYSATKAGADLLVRSYRETHDLPTLITRTCNNFGPR--------QHPEKLIPKFIQRAANGE--------TLPVYGDGSNVREWIYVED----NCAALDVVLREGDGEVYNIGSGVELSNLETTEKIEAVGGSEDQIEFVEDRAGHDQRYAIDATKTKA-LGWEPEWSFEDGLEACVDYYL----------- 218779546 ------LITGAAGFIGFHLSKQLLEQGETVVGLDNLNDYYDPQLKTDRFEKGEMADREFMPALFEKYGFEKVTNLAAQAGVRYSLKNPHSYVDSNLVGFTNILEGCRHTKVEHLVFASSSSVYGANTDMPFSVHNVDHPVSLYAASKKANELMAHAYSHLYGLPVTGLRFFTVYGP----------WGRPDMALFLFTKAILEGKPINVFNNGDMQRDFTYIDDIIQGVAKVLDNIPDPDPDWSGDDPDPYNIGNNKPVKLLKFIELIEEALGMKAEKNMLPMQAGDVPATYADIDDLARDAGYWPRTLVEDGVRNFINWYREYYK------- 15827009 ----RALVTGAAGFIGSTLVDRLLADGHTVVGLDNFATGHAANLEHLAFVEADIV-TADLQTILDEHRPEVVFHLAAQIDVRHSVVDPQFDASVNVIGTVRLAEAARHTGVRKIVHTSSGSIYGTPSQYPTPETVPTDPTSPYAAGKVAGEIYLNTFRHLCGLDCSHIAPANVYGPRQDP-------YGEAGVVAIFVQALLSDRPTKVFGDGTHTRDYVFV---------DDVVDAFIKASGDAGGGQRFNIGTGIETSDRQLHTAVSAAVGGPDDPEFHPPRLGDLKRSCLDIGLATTVLGWSPQVQLDDGVRRTVEYFRAAQRS------ 126645606 --KKSILITGGAGFIGSHVVKLFVEKDYQIFNLDALTYGNLENLKEYHFLKADIQDAETLDEIFSNYGITDVIHLAAESHVDRSISDPLAFVKTNVFGTVNLLNSAKKAWKHLFYHVSTDEVYGLEDGGFFLESTSYDPQSPYSASKASSDHFVRAYANTYKMRTVISNCSNNYGPN--------HFPEKLIPLCIHN--IKNNKALPVYGKGENIRDWLFVKDHARAIDTVFHSGKAGDTYNIGGFNEWKNIDIVRLLCQKMDEKLGREKGTSEKLITFVTDRAGHDMRYAIDASKIKDELGWEPSLQFEEGIELTIDWYLNNQEWLD---- 297203841 ------LITGGAGYIGAHVARAMTGAGERVLVLDDLSAGVPARLPADPLVRGSSLDGGLLKRVLAEHAVTGVVHLAARKQVAESVAQPTRYYQENVGGLATLLEAVAEAGIERFLFSSSAAVYGNPDVELITEETPCAPVNPYGETKLAGEWLVRAAGQAHGISTVCLRYFNVAGAAA----PELADTGVFNIVPMVFDRLTRDQAPRIFGDDYPTPDGTCIRDYIHVSDLAEAHLAAARRLSEGGQGGDVNIGRGEGVSVREMVTVIGEVTGDRRPAVVEPRRPGDAPVSVASAARAAETLGWSARLGVREMIDSAWRWLLHHQ-------- 115345676 ----RILITGGAGYVGSACLRYVAEQGHDVMVYDSLVMGHRRAVDGHPLVRGDIADTALLTQTLKDFGADAVMHFAAATYVGESVENPEYYYRNNVSGTLSLLNAMRAAGVNRLLFSSTCATYGMAEADTMSEATPLDPFSPYARTKLAVEWMIRDFAHAYGMGFTLLRYFNASGADADGRHGEDHTPESHLIPLVLQVPLGQRDKIMVFGDDYPTPDGTCIRDYVHTRDLASAHLLAIEA-TEVGTDEIFNIGTGNGQSVMQIIEACETCHGPEH-----PARDGRPP-------------------------------------------- 52425648 ----KILVTGGAGFIGSALIRYIIQRQDEVINLDKLTYASLETVSLNPFERADICDRAALDRIFADHQPDAVMHLAAESHVDRSIDGAGIFIQTNIVGTYTLLEAARHYWTFRFHHISTDEVYGDDKNALFTEETPYSPSSPYSASKASADHLVRAWHRTYGLPTIVSNCSNNYGP--------FQFPEKLIPLMILNALEGKPLP--------VYGNGLQIRDWLFVEDHVRALYKIL---TEGRVGETYNIGGNNEKSNIEVVKTLCTLLEYEDLICYVTDRPGHDLRYAIDSSKINRELDWRAEESFESGMRKTLQWYLTNKSW------ 78779696 LRGKNILVTGAAGFIGYALAERLLKEGENIIGIDNLNSYYNPALKKRRIEKVDLKDKNKVNEIFEKYNPHLVVNLAAQAGVRYSLENPDTYLESNLLGFLNILEGCRNFNVEHLIYASSSSVYGGNIIMPYSEDHVDHPLSLYAATKKSNEMLAHSYSHLFKIPSTGLRFFTVYGP----------YGRPDMAPMIFADSILNRKPINVFNNGDMSRDFTFISDIVEAIYKCSFYDHRPEPSTSFAPHRIFNVGSNNPINLMSFIEKLEDELGISAIKKMRPMQPGDVKSTFADITKLSEWINYHPSTSFNKGIHLFAKWYKDYFKS------ 294794174 ----RITVTGGAGFIGSHLVDRLIEDGHTVQVIDNLYTGNKEFVHKAQFVELDIRDP-KLYSVLEEFRPDYIFHEAAQTEVSTSMSDPMLDCDINLIGLINLLNAAVKLDVKKFLMPSSAAVYGNLDTLPLNEEMIGNPSSFYGLTKLTTEHYLRIYHEAFGLPYICYRYSNVFGPRQGNGGEG-------------GVISIFAKAIVQGSPIIIYGDGKQTRDFIYVDDVVEANILGMQHQV----TGIYNVSTGISSSVNLLVDEFRNISGKDIEVVYDKPRLGDIRDSVLATDKSEKELLFTAKYNLHDGLIKTYEYFK----------- 110639760 -TNKTILITGGAGFIGSHVVRLFVNSDYLIVNLDKLTYAGNEKAPNYVFEKGDISDANYIQTLFKKYNFDGVIHLAAESHVDRSIVAPMEFVVTNVIGTVNLLNAAKDAWKGSFYHVSTDEVYGLHDDSFFLETTSYDPQSPYSASKASSDHFVRAYSNTYKLPVVLTNCSNNYGPN--------QFPEKLLPLFINNIQNNKALP--------VYGKGENIRDWLFVIDHARAIDIVFHK---GKRGETYNIGGHNEWTNIDLIRLLCKQMDSEKLISYVTDRAGHDFRYAIDATKIKDELGWVPSVTFEQGLSLTIDWYLANKKWMEE--- 218887138 ----HILVTGAAGFIGYHLSRRFLEAGHTVVGLDCLNDYYDVQLKKDRFAQLDMADDAGMDALFAGQKFTHVVNLAAQAGVRYSLKNPRSYVQSNLVGFGNILEGCRHNGVQHLVYASSSSVYGLNTAMPFSVHHNDHPISLYAASKKANELMAHTYSHLYRLPTTGLRFFTVYGP----------WGRPDMALYLFTRAILEGKPINVFNEGRMRRDFTYIGDIVEGVVRVEWRGDAPDPSTSPAPYRIYNIGNNNAVELGRFIEILEDCLGRKAVRNLMPMQPGDVEATYADVDDLIRDTGFKPHTPLEQGIEAFVRWFRDYYK------- 223937101 ----NLLITGGAGFIGANLIQLIIDNGITRLVLDALTYANLEQVSRHPFEKADLRDKSAVLEVVQKHAITHVMHLAAESHVDRSITGPGDFIQTNIVGTFNLLEACRGFWDKRFHHISTDEVYGLGATGYFLETTPYAPNSPYSASKASSDLLVRAYHHTYGLPTVITNCSNNYGP----------FQFPEKLIPVVIQSVLARQPIPVYGDGL------NVRDWLYVRDHAQALWQVLTR---GKEGETYNVGGHNEWANIHIVQLICDLIDSRQLITYVKDRPGHDRRYAIDATRIKNELGWVPAHTFEQGIRETVQWYLDNQPWVAE--- 74316117 -EPKTWLVTGAAGFIGSNLVEALLRLDQRVVGLDNFATGHEKNLAQVQFKRGDIRDLITCHDACKGV--DYVLHQAALGSVPRSIEDPISTHAANNTGFLNMLVAARDARVKRFVYAASSSTYGDHPALPKVEDIIGKPLSPYAVTKLVNELYADVFGRCYGMESIGLRYFNIFGRRQDP-----QGAYAAVIPKWVASMIHGEP-------VYINGDGETSRDFCYIDNVIQANLLAATSPHADAANQVYNIAVGDRTTLNELFEAIRSLLAPRFPHVYRDFRSGDVRHSLADISKARTRLGYAPSHRIADGLAEAMDWYVQ---------- 254496037 ----KVLVTGAAGFIGFHVAQKLCARGDEVVGIDNLNDYYEVSLKQARFIRLELADRECIAQLFTEHGFQRVIHLGAQAGVRYSLENPHAYVDSNLVGFVNILEGCRHHRIEHLSYASSSSVYGANKTMPFSHDNVDHPLSLYAATKKANELMAHTYSHLYQLPTTGLRFFTVYGP----------WGRPDMAIFNFTHKILSGEPIDVFNFGNHRRDFTYIDDIVEGILRVHDHVPNLEWSGESPDPGTYNIGNSSPVQLLHYIEVLEECLGKKAQMNLLPMQPGDVPDTYADVEALKQDVGYKPGTPIEVGVRHFVDWYRDYY-------- 167757833 LEIMTIIVTGGAGFIGSNFIFHMLDKDYRIVCLDCLTYAGNLSTLAPVMENPNITDREAVYKLFEEEHPDIIVNFAAESHVDRSIENPEVFLDTNIKGTAVLMDACRKYGIKRYHQVSTDEVYGDRPDLFFTEETPIHTSSPYSSSKAAADLLVLAYHRTYGLPVTISRCSNNYGP--------YHFPEKLIPLMIANALNDKPLP--------VYGKGENVRDWLYVEDHCKAIDLIIH---NGRVGEVYNIGGHNEMTNIDIVKIICKELGKPESITYVEDRKGHDMRYAIDPTKIHSELGWLPETKFADGIKKTIKWYLDNKEWWE---- 303281846 -----VLVTGSAGFVGFHTSIALRELGAGVLGLDNVNDYYPTSLKRAHTVEADVNDRNVLRDVLDACKFTHVLHLAAQAGVRYAAKNPGAYVHSNVAGMVNMEEVVRTSPTPSVVFASSSSVYGLNTKVPFSEDDVTDPASLYAATKKADELLAHTYNHIHGVAITALRFFTVYGA----------FGRPDMAYFSFANQIAKGEPIKIFGEDDAGGAKELARDFTFIGDVVSGIIASLETSEASGKFRVYNLGNKTPVTVSEFVGVLEKHLGKKAIREYVPMPKGDVPFTHADISRARRELGYEPKTSLDDGLKIFVEWYKGHYKNGANSAE 90422944 -----ILVTGAAGFIGFHVARELLAAGHHVVGLDSLNDYYDPALKRARFVQADLADRAAIADLFVREKFPVVIHLAAQAGVRYSISHPYAYVDANLQGFINVLEGCRHNGCQHLIYASSSSVYGANTKLPFSVADRTHPVSLYAATKKANEVMAHSYSHLYRLPTTGLRFFTIYGP--------WYRPDMALFLFAKAIVAGQPIKLFNHG--------KMRRDFTYIDDVTAVVSRLIDRVPQAGPAKIYNVGNHHPEDLMRVVALLEQELGREAKKDMLPMQPGDVPETFADVSDLIRDVGFSPATAIEHGIGKFAAWYRYHYQ------- 288817582 ----RVLITGAAGFIGSHLCERFLKEGFQVIGMDNFITGSPDNIAHLFGHKFKFIHYNVINYIYLEGPVDLVLHFACPASPIDYLSHPIHTMKVDSLGTLNTLGLAKLKRA-RYVFASTSEVYGDPTIHPQPETYWVGPRSVYDESKRFSEAMCMAYHREHSIDVRIARIFNTYGPRMRINDGRVIPN--------FITQALKGEPLTVYGDGKQT------RSFCYIDDLVEGIFRLSTEDGLSGE--IINLGNPQEVSIIDVAKLILELTGSSSKIVFRSLPADDPKRRCPDIKKAKELLSWEPKVSLKDGLKITINWFKQMLRKGEREGE 142752490 -----ILVTGGCGFNRAHFVLDWLDTSDEVLNVDKLTYASQQGNPRHVFVRADICDRAALDALFAEHKPRAVLHFAAESHVDRSIHGPADFVQTNVVGTFTLLEAARQYWAFRFLHVSTDEVFGSATDPQFSETTPYAPNSPYSATKAGSDHLVRAYHHTYGLPVLTTNCSNNYGP--------YQFPEKLIPLMIANALSGKALP--------VYGDGQNVRDWLYVGDHCSAIREVLERGT---PGETYNVGGWNEKKNLDVVHTLCDLLDYRDQITYVKDRPGHDRRYAIDARKLERELGWKPAETFETGLAKTVQWYLDN-QAWSDE-- 136673075 ----RYLVTGAAGFIGANVAQALLDADCDVVGVDSLNDYYDIDLKQYRFVQGDLADSQIVDSLFAQQNFDCVIHLAAQAGVRYSLENPGAYIQSNIVGFQQLIDACRAKPPAHFVFASSSSVYGNSDREWFSESDPTDPVSLYAATKKFNEMVAHTYAHLHGLPATGLRFFTVYGP--------AGRPDMAYFGFTKAIVEGTPIQVFNEGQLE--------RDFTYIDDIVSGVAGAAAAPPVEVPYRLLNLGNHRPVKLGDFIATLEGLLGKEANKQLVGMQPGDVYRTAANIDAAKALVGFEPSTELATGLERFVAWYRDYYQ------- 134646004 ---RNVLITGGCGFIGSNFVRHLNKMNFRTIILDKLTYAGSLNNLKYEFIKGDICDEKLVNNLFKNYKFSAVFHFAAESHVDRSIDGPKDFIQTNIVGTYNLLEASRNLYKFKFIHVSTDEVYGNAKGAYFKETTPYAPSSPYSASKASSDHLVRSWGKTFRLPVIVTNCSNNYGA---------YQFPEKLIPLMIINCLSWKN-------LPVYGDGKNIRDWLHVSDHCSAIE---EVYRNGMNNETYNIGGNNEIKNIDIVNTICDILDYKNLIEYVQDRPGHDYRYAIDSSKIQTELNWKPKETFYSGIRKTIKWYIDNESWWK---- 254387650 ----RLLVTGGAGFIGSHYVRTLLGPGYRVTVLDALTYGRRDNVPSAHFVEGDVCDRRLLRELLPGH--DAVIHFAAESHVDRSLRSADPFVRTNIGGTQTLLSACRDAGVERVVHVSTDEVYGSIERGSWTEDSPLLPNSPYAASKAGADLLARAYHQAFGMDVSITRCANNYGP--------YQHPEKLIPLFITHLMEGLDLPL--------YGDGRNIRDWVHVDDHCRAVHLVL---TQGGAGEVYNIGGGGERSNIEVTEELLRLCGADRRVRYVADRAGHDRRYSLDDSRIRERLGYAPRVGFASGLAETVAWYHDHADWWKE--- 294640332 --KKNI-VTGAAGFIGSNLVTKLFSDGACIIGLDNMNDYYDVSLKEYRFIRGDLADKELIDKLFNEYKPDIVVNLAAQAGVRYSIENPDAYINSNIIGFYNILEACRHYPVEHLIYASSSSVYGGNKKVPFSTDDMDHPVSLYAATKKSNELLAHAYSKLYNIPTTGLRFFTVYGS--------AGRPDMAYFGFTNKLVNGETIKIYNYG--------NCKRDFTYVDDIVEGIMRVMQCPPEKKNGDDYNIGGGRPENLLDFVQILSEEYDFEAHKELVPMQPGDVPVTYADSSALERDFGFKPTIDLRTGLRKIAEWYKEYY-------- 136577424 -----------AGFIGSHVVRRLVSSNYRIINLDLLTYAGNESSANYVFEKVDICDAKEVGRVFSQYNVDSIIHLAAESHVDRSILDPLAFINTNVVGTANLLQCARVAWGDRFYHVSTDEVYGLGDEGFFTEETSYDPKSPYSASKASSDHLVRAFGHTYGLPVVLSNCSNNYGP---------HQFPEKLIPLFISNIV-EKKPLPVYGKGENVRDWLYVEDHAEAIDLIFHEGKLGETYNIGGENEWSNIALINLLCTQMDQKLGRSAGESDALITYVKDRAGHDFRYAIDCTKIKDTLGWSPSMTFEEGLTKTIDWYLSNPEWIER--- 119469403 ----KYLVTGAAGFIGNFVAERLCDDGHEVIGLDNLNDYYDPALKHARLERIDLADREGIATLFKNEQFDRVIHLAAQAGVRYSIENPMAYIDSNMVGMATILEGCRHNKVKHLVYASSSSVYGANTKIPFAEEDRDHPVSLYAATKKSNELMAHTYSHLYSLPTTGLRFFTVYGP----------WGRPDMAPYLFTDAIANDRPIKVFNNGKMQRDFTYIDDIVEGIVRIQDVIPAANQQAQTEGSPFYNIGNNQPVELEIFINCIENALEKKADKQYLPMQEGDVVRTFADVSGLESEIGFKPNTDLQNGITKFVSWF------------ 197334024 ----KYLVTGVAGFIGSATANKLNIAGHEVIGIDNLNGYYDVNLKQARLERVDIADRKAMESLFEEEKFDRVIHLAAQAGVRYSLENPYAYADSNLIGYLNILEGCRKNHVQHLVYASSSSVYGLNAKVPFTSDTVDHPVSLYAATKKSNELMAHSYSHLYDIPTTGLRFFTVYGS--------WGRPDMAPFIFTKKIIDGH--------TIDINNNGDMWRDFTHIDDIVEGIIRIVDVLPVKDDTKIYNVGHGSPINLIDFVKAIESELGIIAKKNFRGMQPGDVYQTYADTQDLFDATGYKPKVTLKEGVAEFITWYRDFY-------- 134363411 ----KILVTGGAGFIGSALIRFLIKTTHQVINVDKLSYASNTDNENYSFYEYDICNRKKIDNLLENEKPDAIFNLAAESHVDNSLANSRDFIFSNIIGTYELIESTRNYNNFRFIHISTDEVFGDPDDSPFTETNSYKPSSPYSASKASSDHLVRSWFRSYGFPAIITNCSNNYGP--------YQYPEKLIPLVISKALKGESLP--------IYGNGLQIRDWLHVEDHISALYKVL---LNGRVGDSYNIGGKNEKTNLSVVKEICRILDETIKISFVDDRPGHDLRYAIDASKISRELDWDVKYNFDDGLKHTVEWYLDNTSWVSE--- 283823758 ----KIIVTGGAGFIGSALVRHLIEETHTVLNLDKLTYACVAHNPRYSFVHGSICDSALLERVVATFDPDGFINLAAETHVDRSIDGPVAFIDTNINGTFRLLQAAQAHVRFRFLHVSTDEVYGLGETGKFHEATPYDPRSPYSASKAASDHLVSAWGHTYGLPILITNCSNNYGP--------YQFPEKLIPLCIIKALAGEALP--------VYGRGENIRDWLYVDDHVRALTTVFERGT---PGETYNIGGDAERRNIDVVHRICAVLDYADLITFVADRPGHDARYAIDASKIRAELGWSPEQSFDAGIEATVKWYLENEDWWED--- 258593514 ----RILVTGGAGFIGSNFIRHLLESACHVVNLDKLTYAGNEHDPRYRFVKGSICDALLVDELLK-EGFDALINFAAESHVDRSIQDARAFTETNVLGTQVMLDACRRHRVPRMMQVSTDEVYGLGAFGRFTEESPLHSNSPYAASKAAGDLLALAYCRTYGLPVIITRSSNNYGPH--------QFPEKVIPLFITNALVGEPLPLYGDGLHI--------RDWLHVRDHCEALALIL---NNGVSGEIYNIGGRCERANIDVARFILRTLGKPDTIAHVKDRLGHDRRYALDASKLEQALGWSPRIAFEAGLEETVRWYEDH--------- 218886408 LARKRILVTGGAGFIGSHLCRRLLDRGAEVLCVDNFFTGSRDHVQEMQDHRHDITFYVEVDEIYNLACPASPIHYQ---------FDPVQTTKTCVHGSINMLGLAKRVKA-RILQASTSEVYGDPETHPQTEDYWIGPRSCYDEGKRCAETLFTDYHRQHGVPIRIARIFNTFGPRM--------HPNDGRVVSNFILQALQDKPITIYGD------GSQTRSFCYVDDMVDGLTALMHAPDDA--HLPVNLGNPEERTILNLAEIIIEFVNSRSTIDFRPLPQDDPRRRRPDIAQAREKLGWEPKVSMEEGLRKTVEYF------------ 292490696 ----KIMVTGSAGFIGAALTEKLLKRGDEIIGVDNLNDYYDVNLKLARLARFQLENREALNTVFAKHRPQRVVNLAAQAGVRYSLENPHAYVDSNLQGFLNILENCRHYQVEHLVFASSSSVYGANTKMPYSHDNVDHPLSLYAASKKANELMAHTYSHLYQLPVTGLRFFTVYGP--------WGRPDMALFKFTRNILAGRPIDVYNYGHH--------QRDFTYIDDIVEGVIRTLDRLPTPNSNWNYNIGNHQPVELSDFIKTLEECLGCEAKKNLLPMQPGDVPATYADVDDLMRDVGFHPATPIEQGIARFVTWYKDYYK------- 13650068 ----RILVTGGAGFIGSAFIRWVLANTAASIVVDKLTYAADAENPRYAFEQVDICDETELRRVFADHRPTAVIHLAAETHVDRSIDGPMAFVQTNVVGTVTLLRVALDHWAFRFHHVSTDEVFGTGDDGRFSETTPYAPNSPYSASKAASDHFVRAWHETYGLPTVASNCSNNYGC--------WQFPEKLIPLMTLKALQGQPLP--------VYGSGLNVRDWLYVDDHASALWTILTTGRL---GESYNVGGDSERRNIDVVHAICDLVDRRNLITHVTDRPGHDHRYAIDATKLKAELGWTPAETFESGIRQTVAWYLDNRAWWER--- 289579855 -----IFVTGGAGFIGGHLAESFAAAGHDVTVLDNYEPYYDLGIKEGSCEIVDVTDADLLTSL--TNQTDVIYHQAAQAGVRKSVEEPDKVNEFNVTGTVNVLEAARTNDVDRVVYASSSSVYGKPEYLPYDEAHPNEPVSPYGVSKLSAEHYMRVYNEVYGLPTVSLRYFTVYGPRMRPNMAISNFVSRCM----------RGEPPVIYGD------GEQTRDFTYIADVVDANHRLL--TDDSADGEVMNIGSTDNIDIETLAEVVRDEIDPDLPLEYTEARDGDAEHTHADISKANELIGYEPSRDIREGVGEFIDWYETNREWYE---- 118587025 ----NILVTGGAGFIGSNFVHYMLKADYNLIDLDLLTYAGNLHNFHHIFIHGDIRNAQLVDYLFKTYDFDAVVNFAAESHVDRSILHPEIFVQTNVEGTVNLLQTARKYGIKKYLQVSTDEVYGLGKEGYFNEESPLAPNSPYSASKAAADLETRAFYETYGLNINITRTSNNYGP--------YQFPEKLIPLMVTNGMTG--------GNLPIYGDGENIRDWLYVED----HGRAIDLVLHQGKSGEIYNGGHNEKTNNQIVDLIVENLGSKDRIKYVKDRLGHDRRYAIDPSKIKRELGWEPTIMFDKGIIKTIDWYKEHEDWWK---- 136152053 ----KILITGGSGFIGSHVVEH-YQDIAEVVVLDNLRSGHRKNLLKCRFVEGSIMDKPLLDEVMQGV--DYIFHLAALISVPESMQRPDETVELNVRGLLNVLEAGRKHGVKKLCFASSAAVYGENPIQPKVESMTPDPRSPYAITKLDGEYYCSLYAREGWLQTACIRFFNVFGPRQD------PRSAYAAAVPIFLHRALSGEPITVYGD------GGQTRDFIFVKDIVSALAFAAETPHATG---VFNAGYGKSITILELVQEILRLTGSKSEIRFEPVRAGDIRHSTSDPSKLMAA-GWKPRFDVPAGLR------------------ 88812089 ----KFLVTGAAGFIGYHVAKYLLDRGREVVGLDNLNDYYDEDRDRFRFVRLDVAERDGMAALFRHERFDRVIHLAAQAGVRYSIEDPHAYVDSNVVGFMNVLEGCRHNAVGHLVYASTSSVYGANTQMPFAEHQNVHPLAIYAATKRANELMAHSYSHLFQLPTTGLRFFTVYGP----------WGRPDMALFKFTRAILEGRPIEVYNYGHHKRDFTYVDDIVHACDMVAWRSDHPNPATAHAPFRLYNIGNSRPVELLKYIELLEDCLGRKADKQLLPMQPGDVADTWADVSALSDEVGYQPNTPVEVGVERFVEWYQAYYQG------ 157693959 ---KKVLVTGGSGFIGSHTVEELINKEYEVIVLDNFSTGRKENILSVPCIEEDVTKPEVVE-IIKKIAPDYIIHLAAQVSVAVSVNDYIYDQEVNIKGSLHVIKAASEAGVKKVVFASSAAVYGDPVYLPVDTAHQLKPGSPYGLSKLTVERYLEMAKTLYDVDYCILRYSNVYGPRQDALGEG-------GVVSIFSDKFAKVEAPFIFGD------GEQTRDFIYVGDLAAANVAALTAQSN----VCLNISCGDSITVNELFQTMKRVTGSHLEPIYKAQRAGDIVHSTLSNEETKQVLSWEPVVSLQEGLARTISYYEQ---------- 114798701 ----KILVTGAAGFIGSEMALRLLKEGHSVTGVDCFTPYYDPQLKEDRAERIRIEDSEAMEAVFQRDTPEMVLHFAAQAGVRYSLDHPRDFIDANIVGSFNIIDLSRRHGTQHLVMASTSSAYGANQKFPFEEDSAPYPLTIYAATKLASELIAHSHAHLYGVPTTVLRFFSVYGP----------WGRPDMAFFLFTDKIFKGQPIDVFNHGDLLRDFTYIDDLVEAIRRLMDTPPVVGNHIIRGDSRLVNIGNASPVRLMDYIEAIEGAIGRKAEKNMIDMQPGDVKQTFADVRLLDALTGYTPDTDYRTGIARFVDWYRDYFK------- 118776382 -------------------VLELLENNYNVIAIDTFDNGERYPVKRFRFYDADVLSKETLLEIFKQHRIDSVMHFAGLKAVGESISKPLEYYWTNVGGALTLLRAMKEEHINNLIFSSSATVYGPPKQLPINEEQEIGCTNPYGRSKFFIEQILEDFHAANEWNIISLRYFNPVGAHPSGLIGEFQVCPNNLMPNISKAAAGKIPALRIFGNDYDTVDGTGVRDYIHVVDLAKGHVAALQKLHENAGYKVYNLGNGKGYSVLQLVEQFERSCGRKIPYVIENRRPGDLAEIYCDNTLARNELNWTPKYDLQQMCVDTWNWQQKNPDGY----- 223938792 ------LVTGGAGFIGSHVCERLLQSGHSVWALDDLNPFYSPAVKESNLREVELSDARIVGNVFKEVQFDQVIHLAARAGVRPSLDEPEFFQQVNVEGTVNILEAARRHGVKKVLIASSSSVYGVNRKIPFAESDPVFSISPYAASKLACEALGHVYHHVYGMDVSMLRFFTVYGPR--------QRPDLAIHKFAKLITTGKPIP--------VYGDGSTARDYTYISDIVDGVVACTERKFT---YEIFNLGGSETVNLSRLIEVLEQSLGKKAIIQRHPAQPGDVPLTYADITKSHQLLNYAPKVKIEQGIPLFVDWFRQ---------- 296118115 ------LVTGGAGFIGANFVR-LVEKQWQVTVIDKLTYAGNRDNLAGTFVHGDITDAALVDSLVA--QTDVIVHFAAESHNDNSLHDPSPFITTNIMGTYVLLEAARKHSVY-LHHVSTDEVFGDGAPTTFTETTAYAPSSPYSASKASSDHLVRAWARSFGLQVTVSNCSNNYGP----------YQHIEKFIPRQITNILDGRTPKLYGTG------EQVRDWIHVDDH---NLAVLEILAHGEFGETYNIGADQDINNREVIELICELMGIPGQYEHVADRPGHDQRYAMDASKLREQLGWQPQYTLRDGLKQTIAWYTEH--------- 255064650 -----IFVTGGAGFIGSNFVDWLTQSDEKVVNLDKLTYAGNLKNLRHIFIQGDIADTQLIDRILTQYQPRAIINLAAESHVDRSIHGPEDFIQTNILGTFRLLESVRTYWNFRFLHVSTDEVYGASNEPAFTESHRYQPNSPYSASKASSDHLVRAFYHTYGLPVLTTNCSNNYGP----------FQFPEKLIPLVIVNALAQKPLPIYGDGL------QIRDWLFVLDHCSAIRRILEAGT---PGETYNIGGWNEKPNIEIVKTICTILEEIYPITYVKDRPGHDRRYAINAIKIEKELSWKPAETFETGIRKTIHWYLNNQEWIAD--- 294102711 ---KAILVTGGCGFIGNNLVRYILNNDFTIINLDKLTYAGNVDSLCDKFVQGDIGDRNLVRKVLAKEKPWAILNLAAESHVDRSIDGPDDFVQTNIVGTFNLLEEVRYYWNFRFLHVSTDEVFGSLDDAAFTENHQYSPNSPYSASKAASDHLVRSYFRTYGLPTLTTHCSNNYGP---------YQFPEKLIPLMVLNCLSER-------ALPVYGDGQNIRDWLYVGDHCCALMTVL---LNGKAGQTYNIGGNCEKKNIEIVCLVCSILDEKKPITFVKDRPGHDLRYAMNTEKIRSELGWRAVESFETGLEKTIEWYLNN--------- 142045104 MKKKKYLVTGGAGFIGSSLIRNLMKEQCDVLNIDKLTYAGNESKSGYQFHQMDIVDKESLDKIIIDFEPDYIMHLAAESHVDRSIDDPSTFISTNIIGTYNLLESVRNYEKFRFHHISTDEVFGLGSEGFFTEETKYDPSSPYSSSKASSDHLVRAWNRTYDLPIVITNCSNNYGP--------YQFPEKLIPLMIINALDGKPLP--------IYGNGLQVRDWLYVDDHTDAL---IEVVLNGKIGETYNIGGRCEKTNIELVQLICEILDLKDQITFVDDRPGHDQRYAIDSSKISNELGWKPKESIESGLEKTINWYLENESWYQK--- 92116858 MSDYPILVTGAAGFIGFHVAGRLLKEGRRVVGIDSLNDYYDPALKDGRLEKADLADRAATAALFAENRRSVVLHLAAQAGVRYSLQNPDAYVDSNLTAFANVLEGCRHAECPHLLFASSSSVYGANTKLPFSVDNVDHPISLYAATKKSNELMAHAYSHLYRIPITGLRFFTVYGP--------WYRPDMALYKFADAIVGGQ--PIRLFNHGDMRRDFTYVDDVVEAVIRLIDHVPRGEANWSPAPWRIYNIGNNKPAELMSVVAFLEKALGRTAQKEMLPMQPGDVQATFADIDDLIRDVGFRPSTPLEDGIHRFAAWYCRYHR------- 71279518 ----KYLVTGAAGFIGSAVVERLCAAGHDVVGIDNINDYYDIALKQARFIKMDIADRNVMAELFTAQQFDKVVHLAAQAGVRYSIENPMAYADSNLIGHLNVLEGCRNNQVKHLIYASSSSVYGLNNKVPFTKDTVDHPVSLYAATKKSNELMAHSYSHLYNIPTTGLRFFTVYGS----------WGRPDMAPYIFTKKILNGDTIDINNNGDMWRDFTHVDDIVEGVIRIADVIPERDAEWKSAPYSVYNIGHGSPISLMDFVKAIEDELGIEAKKNFREMQPGDVYQTYADTQDLFKATNYVPKISVKEGVAELVVWFKDFYK------- 30250207 ----KVLITGSAGFIGSTLALRLLERGDTVIGIDNHNDYYDPKLKEDRHLRLDLADREGIKTCFETYKPQRVVNLAAQAGVRYSIENPLAYIDSNIVGFAHILEGCRHNDVEHLVYASSSSVYGANTMMPFSVHHNIHPLSLYAASKKSNELMAHTYSHLYNLPTTGLRFFTVYGP--------WGRPDMALFKFTRAMLAGEKIPVFNYGKH--------RRDFTYVDDIVEGVIRVLDQPARSNPARVYNIGNNSPVELMDYIAALEKALGKKAEMEMLPLQPGDVPDTYADVSDLVEQFDYKPATPVEQGIANFVTWYRNYFN------- 257865630 MEYKNVLVTGGAGFIGSNLCEALLDMGHKVRCLDNLSNGFYDNIKNFEFFNGTITDYEVCNN--ACNGVDYVLHQAAWGSVPRSIEMPVYYEEVNIKGTVNMMEAAVKNKVKKFVYASSSSVYGDSPILPKVEGNEGNVLSPYALTKKVDEEYGKIYSKIYGLETVGLRYFNVFGRRQN------PNGAYAAVIPKFIGSLIAQESVSIHGDG------NQSRDFTY-IDNVIEANLKAACSSNVTSGKAYNVAVGGRISLNMLFSRICELSLDKIQPIYVPERKGDVKHSMANIELAKKELGYSASISFEKGLRETIRWYCE---------- 295839165 ------MITGGAGFIGSHYVRSLLARPDRVTVVDLLTYAGNTDNLRLDFRKLDICEHDALLKVLPGH--DAVVHFAAETHVDRSLTNAAAFVRTNVLGTQSLLEASLACGVATFVHVSTDEVYGSITEGTWTENEPLLPNSPYAASKAGSDLVARSYWRTHGLDVRVTRCANNYGPR--------QHPEKLIPHFVTELLAGRSVPLYGGGAHV--------REWLHVDDHCRGVHAVL---TGGRAGQVYNIGGGTHLTNREMTARLLELCGADWRVRRVPDRKGHDLRYAVDDSKIRRELGYRPRWSLDEGLREVVDWYRARP-------- 256371533 ----RLLVTGGAGFIGSNYVHERVARDDRIVVLDAFTYAGCRESLRDRIVEGDIGDTELVSSLLDEERIEVIVNFAAESHNSLAIIDPERFFRTNVLGTVGLLEAARRHDGVRFHHVSTCEVYGDDEERAFTEDDPYRPRTPYNASKAGADHAVRAYHLTYGVPITITNCANNYGP--------YQFPEKVIPLFVTRALRDAPLP--------MYASKENRREWIHVRDHAAAIDRVLEAGT---VGETYHVGTGVERSIEQIATSVLDLLGKPRSIEVVPDRPSHDRRYVLDSTKLRTSLGWEPTVAFDEGLASTVAWYVEHPEWWE---- 142934181 ----KILITGAGGFIGSNLCEFFLQKNFKVVGLDNFSTGHQVNINRFTFIEGDIRDFKTC--LLATENIDFVLHQAALGSVPRSVNDPITSNEVNVTGFLNMLEASKQNYIKRFIYASSSSIYGDSEELPKVEDRLGRPLSPYAVTKYVNESYASVYNRSFGIGTIGLRYFNVFGKKQDPN-----GPYAAVIPKFISQVLNGENPT-------INGDGSYSRDFTYIDNVLKMNYLALTTENEKAFGQVFNTAVGNQISILKMTNEIIEGIKKEVKINFGPSRDGDVPHSHADISKAKKILGYKPSHSLRRG-------------------- 220917113 --GKRILITGGAGFIGSTIADLFVQAGWDVAVLDDLSSGKRENVPQGRFYPVDVRSAAAAEAV-RKERPQVICHHAAQIDVRRSMADPRFDADVNVGGLLNMQAAAAAGSVEHVLFASSGATYGDTAVIPTPETHPQAPVSHYGAAKAASELYLGVYRAALGIPVAALRYANVYGPRQDP-------HGEAGVVAIFCGRLLEGRPCTVYGDG------GQTRDYVFVGDVARANLLAAERRHD----GPLNVGTGVETDVNALYAHLARAAGVDRPAEHAPARPGEQRRSCIDPSLAARAIGWRPEVPLADGLARTLEWFRA-PRG------ 260892182 ----RLLVTGGAGFIGSNFIRYILQKDWEILNLDKLTYAGNESLPGYRFVKGDIADPELVGELMA-EGWDAVVNFAAETHVDRSIADSSPFVRTNVEGVRVLLEAARRHKIPLFLQVSTDEVYGSEEDPPFTESSPLLPNSPYAASKAAADLLCRAYHRTYGLPVIITRCSNNFGP--------YQFPEKLIPLAVTNLLEGKPVP--------VYGDGRNIRDWIYVEDHCRALELVL---LKGRPGEIYNIGGGQEMRNLDLLKEILRLLGKGEEYVFVPDRPGHDWRYALDSSKIERELGFERKHTFAEALSRTVKWYVENEWWWK---- 254384988 ---------------------HLLEAGHEVVVLDDLTTGFREGLPRGAFVHGRIQDAAR----YLDPSFDAVLHFAASSQVGESVADPGKYWDNNVGGTLALLTAMRAAGVGKLVFSSTAATYGDPGQGALTETSATAPTNPYGASKLAVDHMIAGECAAHGLAAVSLRYFNVAGA--YGELGERHDPETHLIPLVLQVALGQRDSVSVFGEDYPTPDGTCVRDYIHVADLARAHLCAL-RVATPGEHLVCNLGNGGGFSVREVIETVRKVTGREIPETLAPRRPGDPAVLVASARTARERLGWTPTRSLTGMVTDAWNFARRN--------- 147921182 ---KNVLVTGGCGFIGSNFIRHMLEKNINILNLDKLTYAGNPDNLRDGFVRGDICDASIVNNVMKNV--DTVVHFAAESHVDRSILDGDAFVTTNVLGTHTLLKAALEHKIKRFIHVSTDEVYGSIMQGSFKETDILEPSSPYSASKAGSDLLALAYHNTYKLPVIVTRCTNNYGP--------YQFPEKLIPLFVTNLMQGKRVP--------VYGTGKNIRDWLYVLDHCSAIDFILQ---NGTDGEIYNIGGGEEKTNLEITRMILRLLEKDEMIEYVRDRPGHDFRYSLDISKLKR-LGWSPSYRFEDALEATVKWYVDNRWWWEK--- 134944350 --KMKIVVTGGAGFIGTNTCEDLIGNGHHVICLDNFLTGKRENVKRFELVEGDIRDLEICRSAVRGA--DLVLHLAALGSVPRSIADPVTTNDININGSLNMLVATRDEGIKRFVYAASSSTYGDSESLPKVEHVIGKPLSPYAITKYVSELYADVFAKTYEMECIGLRYFNVFGRRQD------PKGAYAAVIPKFTALLLNHEGPIINGD------GSFSRDFTYIDNVIQINKLALFTKNRDALNQVYNVAFGESSTLNELFDEIKALSEYDDSIKHGPKRTGDIPHSLASIEKAKRLLNYTPEYSLKDGLKKAMQWYWEHKSTLE---- 294140265 ----KYLVTGVAGFIGSCVVERLTEQGHKVIGIDNVNDYYDTNLKNSRLKRADLADRAAMATLFTEHQFDRVIHLAAQAGVRYSIENPMAYADSNLIGHLNILEGCRNTKVKHLVYASSSSVYGLNSKVPFTSDTVDHPISLYAATKKSNELMAHSYSHLYDIPTTGLRFFTVYGP----------WGRPDMAPYIFTKKILSGETIDINNNGDMWRDFTYIDDIVEGVIRIVDVIPTRDDTWKVEDGSPYNIGHGSPINLMKFIEAIETELGIEAKKNFRGMQAGDVYQTYADTQDLFEVTGYKPKVGVAEGVAKLVSWYKDFYK------- 220935279 ----KVLVTGTAGFIGNTLAIRLLERGDEVIGVDNLNDYYDVNLKKARDVRADIADRAAMEKVFATHKPERVVNLAAQAGVRYSIENPHAYVDTNLVGFCNILEGCRHHGVEHLVYASSSSVYGANTSMPFSHDNVDHPMSLYAASKKANELMAHTYSSLYKLPTTGLRFFTVYGP----------WGRPDMALFMFTRNILAGKPIDVFNYGKHRRDFTYIDDIVEGVIRVDWTGAAPDSATSYAPYQLYNIGNNQPVELMHYIEVLEQCLGKKAEKNLLPLQPGDVPDTYADVQDLIKDVDYKPDTPVEQGITNFVNWYREFYN------- 136787741 ---QKVIVTGGAGFIGSAVIRHLIANTARVLNVDKLTYAGNLSTLDEVTQQADIGDAPVMEQAFNSFQPDAVMNLAAESHVDRSIDAPADFIETNIVGTYTLLQVARQYWTFRFHHISTDEVYGLGETGLFTEATAYDPRSPYSSSKAASDHLVKAWFHTYGLPVVVTNCSNNYGP------------YHFREKLIPLVILNALDEKPL----PIYGSGDNVRDWLYVDDHAEALVLAVEKGVI---GQTYNVGGNNEYTNLQVVEAICTVLDYKQLIKFVEDRAGHDKRYAIDATKITQHLGWRPRENFSSGIRKTVQWYLNNSQWWK---- 145219286 ----HLLVTGGAGFIGSHVIRHFLAHGDRVTNLDSLTYAGNEDDDRYRFVRGDITDGEFLMQLFAETRFDGVIHLAAESHVDRSIANPTAFVQTNVLGTVNLLNAAKASWGRLFYHVSTDEVYGPAGDGLFTEESPYDPHSPYSASKASSDHCVRAYHDTYGLPVVISNCSNNYGP--------FQFPEKLIPLFINNIQLG--KPLPLYGKGENVRDWLWVEDHARAIDTIYHHGKIGETYNIGGHNEWSNISLVRLLCRIMDERLGRDEGSSEKLISFVTDRAGHDLRYAIDASKLKRELGWVPSITFEEGIRETVDWYLSNGEWLEE--- 9055396 MKT---LVTGGAGFIGSHFVRSLLADGEAVTVLDALTYAGNLANLRSRFVQGDILDSARVAELVAGH--DAVVNLAAESHVDRSIANGAKFSSTNVLGTQTLLEAAVQAGGVTVVHVSTDEVYGSIPIGSWTEEEPLAPNSPYAAAKAGSDLLALAYHRTHGLDVRITRCSNNYGP--------YQFPEKIIPLFITRLLQGRKVPL--------YGDGSQIRDWLHVVDHCRAIRLVLEGGRA---GEIYNVGGGTELTNKHLTDRLLDACGADWDVEHVADRKGHDQRYSVDWSKIRDELGYEPRHTFDEGLASTVSWYRQNRDW------ 119469099 ----KYLVTGAAGFIGAATCQKLLAAGHVVIGIDNLNDYYDVNLKLARFIKLDISERAVMSELFVAQQFDKVIHLAAQAGVRYSIENPDAYADSNLVGHLNVLEGCRHNNVKHLVYASSSSVYGLNEKTPFTTDSVDHPVSFYAATKKANELMAHSYSHLYSLPTTGLRFFTVYGP----------WGRPDMAPYIFTKKILDGDTIDINNNGDMWRDFTYIDDIVEGVLRAADVIPNPDWRVESGPYAVYNIGHGSPINLMKFIEAIEGELGTEASKNFREMQAGDVYKTYADTQELFNATGYKAQVGVERGVSELIKWYKSFYK------- 254450981 ----KVLVTGSAGFIGYHLCKHLLAEGFDVIGLDAMTDYYDVRLKERRLANLDRLEADGLMDLVAAEKPDFIVHLAGQAGVRYSIDEPRSYIDANIIGTFNLLEAVRATPVKHLLLASTSSAYGANTQMPYAETDKADTMSFYAATKKSNEVMAHSYAHLYDIPTTMFRFFTVYGP--------WGRPDMALFKFTKAILNGD--------PIDVYNHGDMSRDFTYVTDLVRGIHLLLDAVPERAPHRIVNIGNGEPVQLMDFIDAIEEALGQPATKNFMDMQPGDVPATWADCALLQKLTGYTPKTDVVTGVKAFVDWYRDYY-------- 144182128 --TKRILVTGIAGFIGHACAMRLHHEGYEVYGIDNLTNYYDVALKQARLDQLDLADAGAVNGLMRHAEPNLILHLAAQAGVRYSLKDPMAYVNSNIIGHVNMLEACREMTPDHFVYASSSSVYGLNQIPFDEAHPADHPISLYGATKRADELMTHSYAHLFGIPSTGLRFFTVYGP----------WGRPDMAPFKFIKAAFEGQTVPLYNHGEMLRDFTYIDDIVEGIIRLLGHNQAMSPAESTAPHRIFNIGNDQPETLRDFVKAVESATGRSLSVDLQPLQPGDVLETRAEIQRLNQAVGFKPATSLKEGIKKTADWYRGFY-------- 260062600 ----KILVTGAAGFIGFFATRLLAEKGHHVVGLDNINDYYDPELKFGRFVRLNLEDREELRALFERHSFDAVCHLAAQAGVRYSLENPEAYIDSNIVGFLNILENCRHCGIGHLVYASSSSVYGQNEKIPFETTDRDHPISLYAATKKSNELMAHTYSHLYGFATTGLRFFTVYGP----------WGRPDMALFLFTRAILEGRPIQVFNNG------EQERDFTYIDDIAEGVVRVLERRDHREKYKLYNIGNGSPVKLMDFIEAIEKHTGKTAIREMLPAQPGDVTRTWADTGGLQKDYGYRAGSDLDDGIGKFVDWYVNYY-------- 29346010 LENKVVLVTGAAGFIGANLVKRLLNESVKVIGIDSITEYYDVRLKYERLQELSIAKKEIVESIFTNYHPQVVVNLAAQAGVRYSITNPDAYIESNLIGFYNILESCRHHSVEHLVYASSSSVYGSNKKVPYSTDDKDNPVSLYAATKKSNELMAHAYSKLYNIPSTGLRFFTVYGP----------CGRPDMAYFGFTNKLREGKTIQIFN------YGNCKRDFTYVDDIVEGIVCIMQHAPEKKNGEDYNIGNNSPENLLDFVTILQEEYDFETHKELVPMQPGDVSVTYADTTSLEQDFGFKPSISLRKGLRAFAQWYSKYYK------- 145300225 ----KYLVTGAAGFIGFHVARRLCEAGHQVVGLDNLNDYYEVSLKHFHFEQRELADRDAMANLFTSGQFERVIHLGAQAGVRHSLENPFAYADSNLTGMLTVLEGCRQHGINHLIYASSSSVYGLSDLMPFCEQRVDHPVSLYAATKKSGELMAHAYSALYGLPTTGLRFFTVYGP----------WGRPDMAIAKFTRAILAGEPIDVYNQGLLSRDFTYIDDIVDGPPRANPHWRASQGSLAEAPYRLLNIGNGQPVRLLDFIEALEQALDKPAIKRMLPMQAGDMHATWADSEPLHTLTGTHPATPLRQGVAEFVRWYLDYYR------- 167628897 ----KLLVTGGAGFIGSHVVERCIARGDEVLVVDDLSTGKRENIPEAAFFHLDVAD-DEIKGVIAREAPEAIIHLAAQVDVQVSLRDPLHDARTNILGTLNLLEACRQSGVKRMIVASSAAVYGDPLRLPVDEEHRLAPANPYGISKHTPEHYLQLYRELYGITGVALRFANVYGPRQDA------AGEGGVVAIFTERLLRGIAP-------VIYGDGEQTRDFVYVDDVVDAMLLVLEAETEQLRHSVYNVSTGRGTSVKALFALIRERVGVDLAAQMAPARPGDILHSYLDNRRLKDAVGWTPKTALPQGLDQTVRWSRSWQ-------- 134389193 ----NILVTGVAGFIGMHVAKKLIKENHYVVGIDNLNNYYDVNLKKSRLKQIDLINKNKLSNIFKKERFNCVINLAAQAGVRYSIENPESYIETNIKGFLNILECCRDNSINHLVYASSSSVYGINENYPFSENHTDHPLALYGATKKSNELMAHAYSHLYSIPSTGLRFFTVYGP----------WGRPDMALFIFTKSILLNKPIKLFNKGDMIRDFTYIDDIVEGIIRILFNKKKPLTSISSAPYRVFNIGNNKPVTLEEFIIAIENALGKKAIKEFLPMQSGDVYKTISNTEKLERWIDFVPNTNVEEGILNFVNWYKEYY-------- 124004204 ------LITGGAGFIGSNLIEYLLKYNAEVRVLDNLSTGNYDNIQPFEKHQGDIRDIETCQKACKGV--DYISHQAALGSVPRSINDPATTNAVNISGFLNMMIAAKDNHIKGMVYASSSSVYGDSKLLPKQEDKIGHPLSPYAVTKLVNEQYARVFGQVYGLKLIGLRYFNVFGPRQS------PKGAYAAVIPLFIQALMDNQPPTIFGDG------EQTRDFTFIENVVQANIKAMFATKEAAWGEAYNIGVGGRTSLNELFNILKEKSGKHFSPAYSKPRVGDVRDSLADISKSNNLLGYAPQITIQEGLQLTLDWFKQN--------- 186684366 --SRRLLVTGGAGFIGANFVHHWCQPDDRVVVLDALTYAGNRLNLNFRFVQGDICDRTIVDNLLSTENIDTIAHFAAESHVDRSILGPAAFVQTNVVGTFTLLEAFRQYWDYRFLHVSTDEVYGGPDDAAFSETTPYAPNSPYSASKAGSDHLVRAYYHTYKLPTIITNCSNNYGP--------YQFPEKLIPLMCINTLIGKPLP--------VYGDGKNVRDWLYVVD----HCRALDVVINHGPGETYNIGGNNEVENLNLVQLLCQMMDAKELITFVKDRQGHDRRYAINANKIKTQLGWTPSVTIAEGLRLTVEWYLNHRDWWE---- 297622044 ME-KSLLITGAAGFIGFHLARAAKMRGDRVVGLDNFNSYYDPKLKEMRVIHGDICDEALLEKTAKSHAVTHIAHLAAQAGVRYSLENPKAYVKSNIEGFLNVLEVCRRQN-LKLVYASSSSVYGMNEKVPFSEIDRVDQASLYGATKKSNELFAATYHHLFNISATGLRYFTVYGP----------WGRPDMAYYSFTQKILNGDPIKVFNHGKML------RDFTYIDDIVRG---TLAAIDKECACEVFNLGNHRPTELRKFIEIIEKAVGKKAKMEFLPMQPGDVPATFADISHSQKSLGFEPKTSLETGIPLFVEWF------------ 227832079 ---RRLLVTGGAGFIGANFVRLVAQQRPEVTVLDKLTYAGNRANLDGVDAEGDVADEGVVDKLVS--QADTVVHFAAESHNDNSLRDPSPFLHTNIMGTFVLLEACRRHDV-RLHHVSTDEVFGDGADTYFTEETPYNPSSPYSATKAGSDHLVRAWVRSFGLRATISNCSNNYGP----------YQHIEKFIPRQITNLLLGQPAKLYGT------GEQVRDWIHVDDHNEAVLAILDRGRL---GETYNIGADQETNNRQVIEMICELMGATDNFEHVADRPGHDQRYAMDASKLRRELGWAPRYDLREGLAATIEWYRAHEEW------ 153806446 LNGKTILVTGAAGFIGSNLAKRLINKDIKVIGLDTMTDYYDVNIKKWSFVEESIANKEVIDKIFTKNRISVVVNLAAQAGVRYSITNPDAYIQSNLIGFYNILEACRHHEVDHLVYASSSSVYGSNKKVPYTEDKVDNPVSLYAATKKSNELMAHAYSKLYNIPSTGLRFFTVYGP----------AGRPDMAYFGFTNKLREGKTIQIFN------YGNCKRDFTYVDDIVEGVLRVMQHAPEKANGEDYNIGNNSPENLLDFVTILQEEYDFDAHKELVPMQPGDVPVTFADTTPLEDDFGYKPNTPLREGLRKFSEWYKQYY-------- 296130727 ------LVTGGAGYIGAHVVRALQEAGERPVVLDDLSSGHAEFVPDDPFVRASVVDTAAVRTALAEHGVTGVVHLAGFKYAGVSVQRPLHTYTQNVTGTAHLLEAMADAGVDRIVFSSSAAVYGTPDVDVVTEATPTAPESPYGESKLVGEWLLRDQGVATGLRHTSLRYFNVVGSGAPDLYDSSPHNLFPLVLD----ALTSGRTPRVNGTDYPTPDGTCVRDYVHVADLAVSHVAAARALAAGRALDPVYNGSGDGLSVAQVMATVARVTGTDFTPEVAPRRPGDPARIVASGEAAARDLDWVMRHTPEEMVASAWAAHQ----------- 242309178 ----KILVTGTAGFIGSFLALRLLERGDEVIGLDCINDYYDVKIKYGRLKNAGISDRENLFALFKNEKFDKVCNLAAQAGVRYSLVNPYAYIDSNIVGFVNILEACRHHNIKHLAYASSSSVYGLNEGMPFTSDNVDHPISLYAASKKSNELMAHTYSYLFNLPTTGLRFFTVYGP----------WGRPDMALFLFTKAILEDKAIDVFNNGEMLRDFTYIDDIVEGVVRVQWNGKNPDPHSSKAPYKIYNIGNNNPVKLMDFIEAIEKEVGKTAQKNMLPLQPGDVPATYANVNDLVSELNYKPNTSIQTGIKNFVKWYREF--------- 56459673 ----KVLVTGTAGFIGFYTALKLLKQGHTVVGLDSINDYYDVNLKYGRFVQLKLEDKPALDALFEQEQFDAVCNLAAQAGVRYSLENPNAYIDSNIVGFMNVLEACRHNGVKNLSYASSSSVYGLNEQMPFSTSHSVHPVSLYAATKKSNELMAHTYAHLYGMQCTGLRFFTVYGP----------WGRPDMAPFIFTKAALKGDTIKVFNNGKMKRDFTYIDDIVEGVVRVEWSGKQPDPSSSSAPYKIYNIGNSQPIELMTFIEAVENAAGVEIPKDFQPIQPGDVVATYADVSELESDMGYRPSTPVTEGMKRTVDWYRNFY-------- 136440594 -----ILVTGGAGFIGGNFVLDWLKANEGVVNLDKLTYAGNLATLRHIFIHGDIGDQNLVAKLCKEYQPRAIINFAAESHVDRSIHGPAEFVSTNIVGTFNLLECAREYWAFRFHHVSTDEVYGSATDPAFTEANSYEPNSPYSASKAASDHLVRAWFHTYGFPVITTNCSNNYGP--------YHFPEKLIPLVILNALDGKPLPIYGDGQ--------QIRDWLYVGDHCSAIREVL---ASGKLGETYNIGGWNEKANIDVVKTICAILDYVEQITYVKDRPGHDRRYAIDASKVERELGWRPAETFDTGIRKTVQWYLDNSAWVD---- 254526965 ----RILVTGAAGFIGFHLCKKLLELNKEVIGFDNVNDYYERNLKYSRFYEKNLEDNIALKEVFKKHEPDIVFNLAGQAGVRYSIENPSSYIQSNLVGFGNILESCKESKVKNLIYASSSSVYGGNRSYPFSEDSVDHPVSLYAATKKANELMAHSYSHIYKIPTIGLRFFTVYGP----------WGRPDMALFSFTKSIYEKKPIRIFNHGQMIRDFTYIDDIIECKPNPKYDHLKVESSSSWAPYKIFNIGNSNPVNLMTYIEEIEKATGIKAKKIFTEMQPGDVKKTFACTDKLDSWIGFTPKTKIKEGIYEFVKWYKEFY-------- 142460124 ------------------------------------------------FVEGDICDQVVLRNIFTEYEIDAVMHFAGLKSVDESVKQSLSYYDTNVTGSIMLFKVMAEFGCKRLVFSSSATVYGEPASLPIREDFPLSAANPYGSSKLMIENILHDYRSDYGWVIYILRYFNPIGAHESGLIGEDPNGPNNLIPFLSQVAVGRREKLFVFGNDYNTPDGTGIRDYIHVVDLAKGHLSALKKLKEPQGIFVVNLGTGQGYSVLQMVKAFEKASGRVIAYEVVARRLGDVAQCFANPSYAKEVLGWQAEFGIDKMCDDSWRWQNRNPKGYN---- 158313931 ------LVTGAAGFIGSNFVRYWLGTGDRVIALDALTYAGCRENLADTFVHGDIRDRELIESTLREHRVDVVVNFAAESHNSLAIIRPGEFFSTNVMGTQTLLEAARTVGVARFHQISTCEVYGDDDPGAFTEDSPYLPRTPYNAAKAGSDHAVRSYGFTYGLPVTITNCSNNYGP--------FQFPEKVIPLFVTRALQGQSLPL--------YASTKNRREWLHVVDHCRAIEAVLERGT---VGETYHVGSGIEADIETIADLILGELGPASLKTIVPDRPSHDRRYLLDSGKLRTTLGWEPRISFADGMKATIGWYRDNEAW------ 284052273 ---RRVLVTGGAGFIGSNFVHHWCNAEDRIVVLDALTYAGNRQNLQFKFVEGNICDRTLIDKLLEEEAIDTIAHFAAESHVDRSILGPDAFIQTNVVGTLTLLEAFRHYWNHRFLHVSTDEVYGGPDDPAFTETTPYAPNSPYSASKAGSDHLVRAYYHTYNLPTIITNCSNNYGP--------YHYPEKLIPLMCINILLGKPLPIYGDGQ--------NVRDWLYVLDHCRALDVVIHR---GKPGETYNIGGNNEVANIDLVKMLCRFMDSMDLITFVKDRPGHDRRYAINSSKLKTQLGWAPLVTVEEGLRQTVGWYLTHRHWLSQEYQ 123966604 MEEK-ILVTGCAGFIGFHVCKQLIKKGLFVIGLDNLNNYYDISLKRARFIKADLKDEKILKNISKVHLPKKVIHLAAQAGVRHSIENPRAYINSNLVGFGNVLEFCKDNQVDHLIYASSSSIYGGNKKIPFSEKDFVDPVSLYAATKKSNELMAHSYSHLFKLPSTGIRLFTVYGP----------WGRPDMAPMIFTKSILSSKPIKIFNNGEMFRDFTYIDDPKYLNDDKNLNSSELPELT---PHRIINIGSSNPINLLEFIDILESEINIKAIRVFEKMQLGDVKKTYADTSYIEDLINYKPNTSLKNGIREFVKWYKNFYKLFS---- 297824577 ----TVLVTGAAGFVGTHVSAALKRRGDGVIGLDNFNDYYDPSLKRARQVEGDINDVELLRKLFKIVSFTHVMHLAAQAGVRYAMENPSSYVHSNIAGFVNLLEICKSVNPQAIVWASSSSVYGLNTKVPFSEKDKTDPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGP--------WGRPDMAYFFFTKDILKG-----KSISIFESANHGTVARDFTYIDDIVKGCLAALDTAEKSTGSGVFNLGNTSPVPVSDLVRILERQLKVKAKKNIKMPRNGDVPFTHANISLAQRELGYKPTTDLQTGLKKFVRWYLSYYSG------ 170729448 ------LVTGGAGFIGGNFVLQAISLGHRVINLDALTYGHLETLAEHLFVHGDIGDRALVSCLLDTHRPDAVLNFAAESHVDRSIDAPAAFIQTNVVGALLLLEAVCGYWTFRFLHVSTDEVYGLGETGAFTERTAYAPNSPYSASKAASDHLVRAFHHTYGLPVLTTHCSNNYGP--------YHFPEKLIPLVITKALAGEPLP--------VYGDGRHVRDWLFVGD----HCTALCAVLAGGRGETYNIGGQAERENLEVVRMICCLLDERRQIVHVADRPGHDRRYAIDTSKLVNQLGWAPVYRFEQGLALTVDWYLQHQDWV----- 268680411 ----KILVTGTAGFIGYHLAKKLLERGDEVVGVDNINDYYDVNLKYHQFIKVNLDDSETINKLFESEKFDAVCNLAAQAGVRYSLENPHAYIQSNVVGFLNILEACRNYGVKNLCYASSSSVYGLNKSQPFTSDHTDHPISLYAATKKSNEMMAHTYAHLYNISCTGLRFFTVYG----------EMGRPDMAPMLFADAIFNDRPIKVFNHGNMSRDFTYIGDIVDGIVKVIDNPNNPDSSISNAPYRIYNIGNNSPVQLLDFIKTLEIAIGKEAVQNFMDMQDGDVVSTYADVSDLINDFGYKPDTSLEVGIERFVKWYREFY-------- 143351057 ----KIFITGGTGYIGSHCAVRFAEEGHHVALYDNLHNSDARVVDRIRFYHADVGDEKTLERSLQKEQADIILHCAGLKSISESIAMPDRYRSVNLGGLRSLLRAMHACEVKKIIFSGSASIYGRPDSLPITEDATLDPQSPYAQTKLESEQMLQTWCQEHDSSAVVLRYFNPVGAHASTRIGENPKGPTNLMPILCQVASQVRPRLDIYGHDYATPDGTAIRDYIHIEDLAQAHVDAINFLASTLGFHVFNIGTSRGASVLELMKCFEKVNSIDIPHQFLPRRAGDSEALYTSFEHAHKKLGWYPQRDLASMCASAWQFHQ----------- 257469392 ----KILVTGAAGFIGSHLIEELLKEKHKVRVIDNFNEYYSLDILKDREEKIDIRDNEKIEEIFEKEKPDFVINLAGLGGVRPSLEKPLEYEAVNVRGTMNLLESCKNLGIKKFIQASSSSVYGNNKKAPFKETDIVDAISPYAATKKSCEVMGHVYHKLYGIDMFQLRFFTVYGER--------QRPDLAIYKFTKMIMEGREIPFYGEGNT--------FRDYTYIKDIVQGIKKSIDYLENNSNIYEILNGESNTVSLKEMVTTIENVLEIKAKINKLPIQMGDVDKTYADIRKARELIGYNPTTEFEDGIRKFVKWFKEN--------- 160902404 -----ILVTGVAGFIGSNFVYYLRKHKDKKIILDNLSKLNEEEKNRFTFIKGDINDIELLEKIYKENEIEGIINFAAESHVDRSIHDPQIFLKTNILGTQTLLHVFKKYYDERFLQISTDEVYGLGPTGYFTEKTPLDPHSPYSASKASADLIVKAYHDTYGLNTNITRCSNNYGP---------YQFPEKLIPLMINNALNHK-------ELPVYGDGKQIRDWLYVEDHCKAIELVFEKGRS---GEVYNIGGHNEKENIEIVKIIIEYLQEKTKIKHVKDRLGHDRRYAIDPTKIKEELGWEPETKFEEGIKRTIDWYLENKEWMEK--- 78184211 -TRQRILVTGGAGFIGGAVVRRLLRESDAVVNLDKMGYASDEHQQRHQLQPIDLCDADAVEKAVQQANPDLVMHLAAESHVDRSISGPGVFIESNVTGTYNLLQSVRSHFQFRLHHISTDEVFGLGREGRFSETTPYDPRSPYSASKAASDHLVQAWHHTFGLPVVLTNCSNNYGP--------WQFPEKLIPVVALKAAAGDSIPL--------YGDGLNIRDWLYVEDHVDAL---LLAACRGTSGRSYCVGGHGEKTNQEVVNAICHQFDQERPIQRVKDRPGHDRRYAIDPSRISTELGWQPRHNVEEGLAETVAWYLSHQDW------ 259503869 ----NILVTGGAGFIGSNFIHYMLEKDDNLINLDLLTYAGNIHNLHYHFVKGNIVNRELVTHLVHEFNIDHIVNFAAESHVDRSILHPEVFVETNVQGTLALLDVAKREGVEKFLQVSTDEVYGTGATGYFTEESPLQPNSPYSASKASADMMVRAYYETYGLNVNITRCSNNYGP--------YQFPEKLIPLMTSNGMEGKDLP--------IYGNGKNIRDWLYVSDHCQAIDLVLR---DGKPGEIYNVGGHNERTNNEIVHLIVDNLGSEDHIKYVKDRLGHDKRYAIDPTKIETELGWKPEYTFDTGIVKTIDWYKNNEDW------ 218280965 ----KILVTGGAGFIGGNFVHYMVNKEDMIVNLDKLTYAGNEDKPNYKFIKGDIADREFIMDLFEKEKFDVVVNFAAESHVDRSIEDPEIFVKTNVMGTTTLLDACVKYGIQRYHQVSTDEVYGDRPDLFFTENTPLHTSSPYSSAKASADLFVLAYHRTYGLPVTISRCSNNYGP--------YHFPEKLIPLIISRALNDETIP--------VYGTGENVRDWLHVYD----HCVAIDLIVRKGRGEVYNVGGHNERTNLEVVKTVLHALNKPESITFVTDRKGHDMRYAIDPTKLETELGWKPKYTFDTGIPMTIQWYLDNKEWWEN--- 33151864 ----KLLITGGAGFIGSAVIRYIINHDDEVINVDKLTYAGNSQHKRYTFEQVDINDSGRIAEIFYHYQPDKVMHLAAESHVDRSIEGSAPFIQTNIIGTYTLLETARSYWQFLFHHISTDEVFGDLAHQPFTEQSAYMPSSPYSASKAASDHLVQAWYRTYGLPTVISHCSNNYGP--------YHFPEKLIPLTILNALSGKPLP--------VYGDGLQVRDWLFVEDHARALYKVIKQGR---VGESYNIGASNERTNIEVVQAICQLLDELVPITHVADRPGHDLRYAIDASKITQQLGWQPQESFATGLRKTVEWYLAHKNW------ 110833799 --------------------------------LDNLTNSSEESLRRVQFIKGDIRDADCLDRLFADHDIDSVIHFAGLKAVGESVEQPLQYYDCNVVGTVRLLEAMERAGVRTLVFSSSATVYGDPASVPIKENFPLSATNPYGASKLHIEDMLRDYSSDNRWQVALLRYFNPVGAHESGEIGEDPNGPNNLMPFIAQVAIGKRAQLSVFGGDYATPDGTGVRDYIHVMDLAQGHLAALQALEGDRGLLTVNLGTGRGYSVLEMIAAFSKASGRDVPYQIVERRSGDVATCYADPAHAEEVIGWKAARGIDDMCCDHWRWQESNPEGYGER-- 144157081 ----RVLITGSAGFIGYHLAQELLDAGCTVHGFDAISDYYDPNLKRRRHAEAALETPGALGDTAARFAPDVIIHLAAQAGVRYSLEAPRAYLEANITGSFEVLEAARELSVKHLLMASTSSVYGANTAMPYREADKADPMSFYAATKKANEAMAHAYAHLHGLPITLFRFFTVYGP--------WGRPDMALFKFTRAILEGR--------PIDVYNHGNMARDFTYVTDLVRGIRLLVDAVPTRAPCRTVNIGNGQPVQLMEFITAIEQATGKPAEKRFLPMQKGDVPATWADAALLERLTGYRPQTRLEEGVRAFVEWYRGYY-------- 254474410 ----HILVTGGAGYIGSHACKALRAAGFVPVTYDNLVTGWEDAVKFGPFEKGDLNDRARLDEVFATYEPVAVMHFAALSQVGEAMSEPGRYWSNNVGGSLTLIEAAVAAGCLDFVFSSTCATYGEHDNVVLDESTPQHPLNAYGASKRAVEDILRDFGASHGLRSVIFRYFNVAGADPEAEVGEFHRPETHLVPLVLDAIDGKRDALTIFGTDYDTPDGTCVRDYVHVCDLVDAHVLGLKWLQDGKDSQDFQSGDGHRVF------------------------------------------------------------------------- 126662747 ---KTILVTGGAGFIGANFVPYFIEKNYHLVNLDLLTYAGNENHPRYTFVKGDICDRSFVEDLFQKFQFHDVIHFAAESHVDNSISGPEAFIKTNVLGTFNLLDSARKLWMSRFHHVSTDEVYGTGETGLFEETTPYAPNSPYSASKAGSDMIVRSYFHTYGMNVVTSNCSNNYGP--------KQHNEKLIPTIIRKAISGENIP--------IYGDGKNVRDWLYVLD----HCKGIELAFKKGKSETYNIGGRNERNNLYIVDVVCSILKYQDQITFVKDRPGHDLRYAIDATKIENELGWKADENFESGIKKTIEWYLK---------- 260436535 ---RTVLVTGAAGFIGAALSTRLLQRGDRVVGLDSLNDYYDPSLKQARLRQIELEDGDALMALFAAEKPEVVVNLAAQAGVRYSLENPAAYIQSNLVGFGYLLEGCRHHGTKNLVYASSSSVYGGNRNLPFHEQQPVHPVSLYAASKKANELMAHTYSHLYGLPATGLRFFTVYGP----------WGRPDMAPMLFARAILAGEPIKVFNHGKMQRDFTYIDDIVECCDNAAFDPLHPDPATAAAPHRVFNIGNSQPTELLRFIAVMEQAFGREAIKDFQPMQPGDVVATAADTNALEHWVGFKPSTSIETGVDAFARWYRDY--------- 227527735 LENKTILVTGATGFIGANLVLKLL---HDVVGIDNMNDYYDVGIKEWRLEEGDIADKEVVDKIFSQYHPDIVVNLAAQAGVRYSITNPDAYIRSNLIGFYNILEACRHKKVEHLVYASSSSVYGGNKKVPFSTDDKDNPVSLYAATKKSNELMAHAYSKLYNIPSTGLRFFTVYGP----------AGRPDMAYFGFTNKLLKGETIKIFN------YGNCKRDFTYIDDIVEGIYRVMQGAPDQKNGEDYNIGGGRPENLLDFVDILQQEYDFEAHKELVPMQPGDVPVTYADTTALERDYGYRPSVDLRTGLRNFAEWYKEFY-------- 197105546 MKNKNILVAGGAGFLGSHLCERLAAEGAHVVCLDNFQTGRRENLQRFQVVEADIVD--ALPSRLAKMRFDRVYNLACAASPPLYQADPEHTLMTSVIGTRQLLKLA-EASGARFLQASTSEIYGDPASHPQPESNCTGPRACYDEGKRAAETLCFDYDRLGKAEVRVVRIFNTYGPRLDASDGRV--------VSNVVSQALAGEDITVFGDG------SQTRSFCYVDDQIDGLVRLMEYDGAQPGPVNI--GNPAERTILELVDLVLAMTGSTSEVVHRPLPVDDPRRRRPDISKAERLLGWTPKTPLEQGLRATIAWF------------ 296445693 ----KVLVTGVAGFIGFHCAAFLLERGDEVVGIDNINDYYDTSLKLSRLEKLDFADRAAIKELFATNRFDRVCHLGAQAGVRYSLENPAAYVDSNLVGFGNILEGCRHGEVPHLTYASSSSVYGANTRTPFSVRSVDHPVSLYAATKKANELMAHSYSHLYRLPTTGLRFFTVYGP----------WGRPDMSPLIFTRKIIAGEPIDVFNNGDHARDFTYIDDIVEAEPDPEWSSDDPDPSSSSAPWRVYNIGNSRPVELLDFIGEIEKAVGRSAIKVMRPKQPGDVDRTCADVSALEAAVGFQPATPIDVGIGKTVAWFKKYY-------- 150395913 ----RYLITGTAGFIGFHVAKRLIDEGHFVVGFDGMTPYYDVTLKERRHVRAMLEDRAALDRAAELAEPEVIIHLAAQAGVRYSLENPKAYVDSNLVGSWNMLELAKAIAPKHLMLASTSSIYGANEKIPFAEADRDEPMTLYAATKKSMELMAHSYAHLYEVPTTAFRFFTVYGP--------WGRPDMALFKFVDAIHNGR--PIDIYGEGRMSRDFTYIDDLVESIVRLSHIAPAEENRVRHAPFRVVNTGGGQPVELMTFVETVEKAVGRPAIHNMLPMQQGDVPRTFASPDLLEALTGFKPSVSVEEGVARFVEWYEQNYR------- 135762651 --SKNILITGGAGFIGSHVVRYFLNTNYMIINLDNLTYAGNLKNLTDKFIKENICDFKNINKILIENKITHIIHLAAESHVDRSISDPFSFAKTNVMGTLTLLQAAKEYWGSNFYHISTDEVYGLDKSGLFTEKTNYDPHSPYSASKASSDHFVRAYGDTYNLPIVISNCSNNYGP---------YQFPEKLIPLFINNIINKK-------SLPVYGKGNNVRDWLYVEDHVKAIDLVFHK---GNKFETYNIGGFNEWKNIDLIKVIIKVTDRESLIKFVKDRAGHDFRYAIDSSKIKNELGWEPSLQFEEGIEKTVSWYLNN--------- 256830647 ----HLLVTGGCGFIGSNFIQHMLASHEDVVILDKLTYAGNRRNLRYHFVHGDICDQELVPELMKRFVFDAVLNFAAESHVDRSISDPFPFVTTNVLGTQNLLEAARRAEIPRFVHISTDEVYGTGPTGQFTEDTPLAPNSPYSASKASSDLLARAYFHTYGMPVMVTRCSNNYGP--------YQFPEKLIPLVYLKASRSEPIP--------VYGDGQNVRDWIYVMDHCRGVEATL---FKGQPGAVYNFGGDAERTNLEVVRLILRLTGKEDLITHVTDRPGHDRRYAMAFARSTADLGWTPQHSFEDGMARTLAWYKANEAWLDE--- 283822517 ------------------MVKRLCEEGESVIVLDNLSTGFRDAVVGGEFIFGDFGSRALLSDIFRTHDIDTVMHFASFIQVGESVTAPAKYYLNNVSNTLQLLDLMVEHDIKQFVFSSTAAVYGNTGSEPITEAHACAPINPYGRTKRMVEEALEDFNRAYGLNFFSLRYFNAAGADPMARIGERHYPETHLIPLVLQAASGRRDAITVFGRDYPTPDGTCVRDYVHVDDLCEAHLLAVRALRKGHKGGFFNLGNSRGYSVAEVIEAAQRVTGRPITVWDAERRAGDPPVLVADASAAVDALSWVATKPLDVIVEDAWRWERK---------- 157155425 -KPKTWLITGVAGFIGSNLLETLLRLNQTVVGLDNFATGHPEAWQKFTMIEGDIRDYETCMKAVDNVN--YVLHQAALGSVPRSINDPITTNEVNVSGFLNMLQAAKCRDVESFVFAASSSTYGDHTALPKIEHTIGKPLSPYAITKYVNELYAEVFAKMYGFKSIGLRYFNVFGKRQDPNGAYAAVIPKWISAMLMDKDI------------YINGDGETSRDFSFIENTVQMNILAATA-DDSAKGEVYNVAYGERTSLNELVEFIKNDLGSAGNIIYRDFRKGDVRHSLADISKAREMLGYQPQFDIKTGLRQAMPWYIN---------- 222087847 ---KTAMVTGGAGFIGSAVCRYLIDEDYDVVNLDLLTYAGDKSNPRFSTIQGDIGDSALVGEILQRHRPSVILHLAAESHVDRSIDGAAPFIETNIVGTFRLLEAVRAYWSFRFLHVSTDEVYGTGDEGLFSETTPYSPNSPYSASKAASDHLVNAWHHTYGLPIVISNCSNNYGP--------FQFPEKLIPRMITTALE--------HGSLPVYGAGNNVRDWLHVDDHASALWLVATKGRL---GEKYNIGGRNERRNVDVVRTICSLLDYESLITYVTDRLGHDHRYAIDATKIETELGWKAKYDFDHGLRATILWYLSNEWW------ 237751036 ---KSILITGGAGFIGSNFVLYFLKKNYHIVNLDLLTYAGSLENLKGTFMQGDICDESLVNEIFTKYEIESVIHFAAESHVDNSIANPNAFIKTNVNGTFNLLHTAYLHWNCVFHHISTDEVFGLGESGYFTESTPYAPNSPYSASKASSDMLVRSYIHTYGLKAFITNCSNNYGP----------KQHDEKLIPTIIRNALQGETIPIYGDGKNVRDWLYVEDHCRAIDVVFHSQCYGETFNVGGNCERVNIEIAKHICLLDEIAPRADKKSYQSQIAFVQDRAGHDRRYAIDSSKIAKILGWKPQESFASGLEKTLRYYVKYER------- 8133009 ----RVLITGGAGFIGSHYVRSLLARPSRVTVVDLLTYAGDTGNLRLDFRRLDIRDLDALLTVVPGH--DAVVHFAAETHVDRSLSEPAEFVRTNVLGTQSLLEASLRGGVGTFVHVSTDEVYGSIAQGTWTEEAPLLPNSPYAASKAGSDLVARSYWRTHGLDVRTTRCANNYGPR----------QHPEKLIPLFVTELLAGRPVPLYGD------GGNVREWLHVDDHCRAVHAVL---TGGRPGEIYNIGGGTHLTNREMTAKLLALCGTDWRVRQVPDRKGHDLRYAVDDTKIREELGYRPLRSLDDGLREVVDWYRD---------- 45358653 ----KILVTGGAGFIGSHIVDMLIENGHDVSILDNLSTGNEKNLNSAKFINGDILDKN-----LDLTGFECVIHEAAQINVRTSVENPSLDANINILGTVNILEKMKEYGVKKIIFSSSGAVYGEPEYLPVDEKHSLKPLSPYGLSKFCAEEYIKLYNMLYDIEYCILRYSNVYGERQDPL-------------GEAGVISIFIDKMKKGEVPVIYGDGNQTRDFVNVKDVARANLMALNW-----KNEIVNIGSGKETSVNELFKIISSEIGFDKDPIYKKEREGEVYKIYIDYSKAKS-LGWMPEVELDDGIKK----------------- 242372200 ----KALITGGAGFIGSHISERLTNEGVEVHVIDNLSTGRLENIHFIPKEHFDITDVTFVSELIKKEQFDYIFHLAAMVSVVETIEKPVISNETNINATINLLEATRKWQIKKFIFASSAAVYGDLPDLPKTINSKVAPLSPYAIQKFSGEQYAHIYHHLYNVPTVCLRFFNVYGP------KQNPNSDYSGVLSILNAKFINKEQFTFYGD------GEQTRDFVYIDDLVSAIWTVLYSKDTNG--GIFNVGAGSETSLNTVFKSFEKVFGYTIPHIYKECRIGDIKHSYADITPLKR-LGYSPQFNIHSGINAYVS-YSKN--------- 195953829 ---KTILVTGAAGFIGWKVSTLLLEEGYNIVGVDNINDYYDVKVKLWRFYPIDIENKQALEVIFQDNHIDAIINEAARAGVRYSLENPFVYLSTNTLGVLNLLELAKNFGTRKFVQASTSSLYAGQKMPFVEELPVNTPISPYAASKKGAEAMLYSYHYLYGIDVSILRYFTVYGP----------AGRPDMSIFRFIKWIYQEEPIELFGDG------SQSRDFTYIDDIAKGTIKALKPL----GYEIINLGNNKPDKLIYAIELIESYLGKKAKINYKEFHKADMMATWADITKAKNLLEWSPTVSLEEGIKNAVEWTLKNWDWIKD--- 28377974 ----KALVTGGAGFIGSHLVDHLVSEGLDVVVVDNLSMGDLHNIKYQDEVTIDVRNEKFMQQLLQEERPDYIYFLAAVASVADSIERPAETHSVNQTAVFNMLEYIRKTNIKQFLFTSSAAVYGNLPELPKKEDSRVDPLSPYAIDKYATERFVLAYGELYDLPTVCVRFFNVYGPGQN------PSSPYSGVLSILTDCLNNKKPFTLYGD------GSQTRDFVYVEDVIQALWLI---TKSDTEHEVFNIANGNEASLNAIIETYEKVAETSLQIKKAPGREGEVKRSVANIGKLIK-LGYTTKWSLEAGLSKYWE-------------- 289633558 LTRKRILVTGGAGFLGSHLCERLVTQGHDVLCVDNFYTGTKDNIA----HLLDCANFELMRHDVTYVEVDEIYNLACPASPIHYQHDPVQTTKTSVHGAINMLGLAKRVKAKIFQ-ASTSEVYGDALVHPQKEDYWIGPRSCYDEGKRCAETLFMDYRRQHGLSIRIARIFNTYGPRM--------HPADGRVVSNFMMQALRGEPLTLYGD------GSQTRSFCYVDDMIDAFIRLMNCADDPGGP--VNLGNPHEVSMREIAERIVAITGSNSPLELHPLPTDDPWHRQPDISRARELLGWQPQTSLDEGLEQTARYFRA---------- 254500114 ----RVIVTGGAGFIGSAVVRHLVRNGAEVLTIDKLTYAGNREVDNAPNHKFDICAGPEMIQAVAEFQPDYIMHLAAESHVDRSITGAAEFVQTNVVGTMAMLEAARAYWNFRFLHVSTDEVYGLGDEGLFKETTPYDPSSPYSASKAASDHLAIAWHRTYGLPVVLSNCSNNYGP--------YHFPEKLIPLMILNALDGQPLP--------IYGTGMNVRDWLYVDD----HAKALYTIVSKGRGEKYNVGGRNERTNLEVVKTICAILDKDRLITYVEDRPGHDARYAIDATKLETELGWRAEENFDTGIEKTIKWYLEN--------- 254819876 ----RALVTGAAGFIGSTLVDRLLGDGHTVVGLDNFASGRASNLEHHVFVEADIV-TADLEAILDEHRPEVVFHLAAQIDVRHSVADPQFDASVNVIGTVRLAEAARRAGVRKVVHTSSGSIYGVPPRYPTPETVPTDPASPYAAGKVAGEIYLNTFRHLYGLDCSHIAPANVYGPRQDP-------HGEAGVVAIFAQALLSGKPTKVFGDGGNTRDYVFV---------DDVVDAFVKASGDAGGGRRFNIGTGVETSDRQLHTAVAAAVGGPDDPEFAPPRLGDLKRSCLDIGSAAEVLGWRPKVELTEGVRRTVDYFRR---------- 206890353 ----KVLVTGGAGFIGSDFVRLAARKGWKVTVVDKLTYAGDMERLKPIQDRVDILDKEELRKIFEKQKPEAVLHFAAETNIDRSVIEPSVFMETNIIGTIYLLELAKELGVEKFINITSYEEYGDIKEGERDEDCPLNPRSPYAVSKASADMLGQVYWRALKLPVITVRLCSIYGP--------WQNPERLIPMTILKALRNEMIPIHGTGDII--------REWLYLCDCIRAVFALLEK---GKPGEVYNVGSGERFKVIDIVKQILKILDKPENIKFVSDRPGHEKRFAISSEKIKSTTGWSPTTKFESGLKSTIEWNLNNRTWL----- 134926654 -----IFITGGMGFIGSSVARLAVSRGYSVVNIDCLTYASIADNKNYYFEQVDILDREALRALFLKYEPDAVMHLAAETHVDRSIDEPTNFVKTNVLGTLNLLESSREYWNFRFHHISTDEVFGSLPSDPFTEATPYSPRSPYSASKASSDHLVRSWHETFDLPVVLTNCSNNYGP--------YQFPDKLIPVVILNALAGKPLP--------IYGDGGNVRDWLFVEDHADALLLVLDR---GKVGRSYNIGGENECTNLNLVEMLCSILDRSISITFVSDRPGHDARYAIDPCRMRDELSWQPATTLEEGLEETVQWYLCNEDW------ 88808092 -QSRPILVTGAAGFIGAALVQRLLKRGDRVIGIDNLNSYYDPSLKQWRFERLALEDGTALMELFAAERPRVVVNLAAQAGVRYSLENPAAYIQSNLVGFGHILEGCRHHGTEHLVYASSSSVYGGNRNLPFHEQQPVHPVSLYAASKKANELMAHTYSHLYQLPATGLRFFTVYGP----------WGRPDMAPMLFAKAILAGKPIRVFNHGKMQRDFTYIDDIVECCDKPASANPDFDPATAAAPHRVFNIGNNEPTPLMRFIEVMEQALGTTAIKDFQPMQPGDVVATAADTTALEAWVGFKPYTPIETGVECFARWYRQYY-------- 307717767 ----RFLVTGSAGFVGFHLVDFLLRKGHEVVGIDNLSPYYDVGLKKARLAEHDVRDRVFLETLLRRHGVERVIHLAAQAGVRYSLTHPEVYLQSNIEGFWAVLEASRRCGVERLVYASTSSVYGLNEKVPFSEDGVDHPVSLYAATKRSNELFAHVYSHIYGLPTIGLRFFTVYGP----------WGRPDMAYFSFTERILKGEPIEVFNHGHMERDFTYVEDVVEGVARVAEHPLPERRDWDPGDPRPYNIGHGSPVGLMDFIRAIEEALGREARIVYREMQPGDVVATHASTKSLEEAVGYRPSTPLSEGIRRFVAWYCSYY-------- 225873480 -----IFVTGGAGFIGSNFILWLSTSSEKVLNFDLLTYAGNAQNLRYTLVRGDLCDGDLLRELLQTHRPRAILHFAAESHVDRSITGPSAFIRTNIEGTFQLLEQARAYWDFRFLHVSTDEVYGGPDDPAFSEETPYAPNSPYAASKAGSDHLARAWFHTYGMPVLTTNCSNNYGP--------YQFPEKLIPLMILNALEGKPLP--------VYGDGQNVRDWLYVTDHCDAIRVVLER---GKLGETYNVGGNSERKNLDIVHQICDLLDRRELIRYVTDRPGHDRRYAINASKLRRELGWSPTIQVEQGLRRTVEWYLAHMDWVES--- 289704884 ----HLLVTGGAGFIGSNFVHHVVREGHDVVVLDKLTYAGNRENLAGLPEDGDICDTALVDRLVA--ESDAVVHYAAESHNDNSLNDPSPFIQTNIVGTFVLLEAVRKHG-KRFHHVSTDEVYGDDDPAKFTETTPYNPSSPYSASKAGSDHLVRAWVRSFGVQATLSNCSNNYGP----------YQHIEKFIPRQITNLIDGVRPRLYGQGV------NVRDWIHTEDHSSAVLRILERGEI---GRTYLIGSDGERNNREIVEILLELFDRADDYDLVADRPGHDLRYAIDNTALRTELGWEPQTDIRAGLADTVRWYRENEAW------ 127514632 LAGRNILITGGAGFIGSALIRHLIALGCRVVNYDKLTYAGNESIAQAPNYQADINDGDTLGGALRQYQIDLVIHLAAETHVDRSIEGPRAFIGTNIVGTFELLQQCLDYYRFRLHHVSTDEVFGDDEAGYFSEQSPYAPSSPYSASKAAADHLVRAWHRTYGLPVVLSNCSNNYGP--------YQYPEKLIPVTLLNALQGKLIP--------VYGDGKQIRDWLYVDD----HAHALCQVAARGEGESYNIGGMNEMTNLEVVSLICDLLNQKQLIGFVKDRPGHDTRYAIDASKLSRTLGWQPSESFASGLEKTVDWYLTHLDW------ 134605162 --NMKILITGGSGFIGSALIRYILKENYHILNIDKLTYASNDSLNQFDHKKVDITESASLKRIFADFKPDSVMHLAAESHVDNSIKNPSKFIETNITGTFNLLNISLDYNFYRFHHISTDEVYGDLEDPQFTESTPYNPSSPYSASKASSDHLVRSWARTYGLPTVISNCSNNYGP----------YQNDEKLIPTIIQSALNHKSIPIYGDG------SQIRDWLYVEDHVTALHKIL---IEGKDNETYNIGGVNEVKNIDVALKVCAILDDIYPIKFVDDRPGHDKRYAIDCSKIKRELNWCPNEDFDSGMLKTVKWYLTNY-------- 144122117 LSAERVLVTGAAGFIGFHFAKRLLDDGVEVVGLDNLNAYYDPALKHARFHEGDLAEREDVAALFARVRPTIVVHLAAQAGVRYSVENPFAYADSNLAGFLAVLEGCRKVGVKHLVYASSSSVYGGNMRLPFTENRVDHPVSLYAATKKANELMAHSYSHLYSIPSTGLRFFTVYG--------RYGRPDMAYWKFTEAIHDGRPIDINNHG--------DMSRDFTHVDDIVEAMPEHPRAATSWAPHRVYNIGAHRPESLLDMVAIIEGHLGRTAEKRMRPMPPGDVKATFADVADLEAAIGFTPKVRLEHGLGDFVAWYRDW--------- 91773971 ---KRILITGGAGQVGSYLVDRFHEEN-EVTILDNYSSPTRKDVPEGVSVKADIRD----DISEHMSNTDVIIHTAAQISVVRSMNEPFFDAQNNIMGTLNLLEEARHANIERFVYFSSAATYGNPLKVPIGETHPQEPLSPYGASKLAGEKYCIMYNKAYGLPTTCIRPFNIYSPRQD------PSNPYSGVISKFIDKVSGGASPTIFGD------GEQTRDFIYVRDIVDLVDLMISKRTAIGES--FNAATGRSTTINELAEIIIDLFGKELKADYKDPLEGDIKHSVADISKAEK-LGFVPKVDLRKGLETFLE-------------- 225454018 -----VLVTGAAGFVGTHVSAALKRRGDGVVGLDNFNDYYDPSLKRARQVEGDINDSELLKKLFDVVTFTHVMHLAAQAGVRYAMENPSSYVHSNIAGLVNLLEVCKSANPQAIVWASSSSVYGLNTKVPFSERDRTDPASLYAATKKAGEEMAHTYNHIYGLSLTGLRFFTVYGP----------WGRPDMAYFFFTRDILKEKSIPIF---EAPNHGTVARDFTYIDDIVKGCVAALDTAEKSTGSGVFNLGNTSPVPVTDLVNILERLLKKAKRKMIKMPRNGDVQFTHANISLAQRELGYKPTTDLQTGLKKFVKWYLNYY-------- 297157769 ---------------------HLLEAGHRVTVLDDLSTGHRAGVPEGEFIEGRIQDAGKWLDP----SFDGVLHFAAFSQVGESVVNPEKYWVNNVGGTTDLLAAMREAGVRKLVFSSTAATYGEPEQVPITEDAPTAPTNPYGATKLAVDHMISGECAAHGLAAVSLRYFNVAGA--YGAYGERHDPESHLIPLVLQVAQGRREAISVYGDDYPTPDGTCVRDYIHVADLADAHLLALGA-ATEGEHLICNLGNGNGFSVREVIETVRQVTGHPIPEVNAPRRGGDPAVLVASAERAKERLGWRPSRDLAGIVADAWRF------------- 145343940 ------LVTGAAGFVGSNVATALKRRGAGVVGLDNVNDYYPRGLKRSRMVEADLNDAVTVRKILETCEVTTVVHLAAQAGVRYAVKNPGAYVHSNVAGFVTMEEIVHMKRMPKVIFASSSSVYGLNTKVPFSETDVTDPASLYAATKKADELLAHTYNHIHGVALTALRFFTVYGP----------YGRPDMAYFSFANNIMQDKPIKIFKGPDG---GELARDFTYIDDVVRGTIAACDTSEKSGKNRVYNLGNTKPVTVSDFVSSLEKALGKTAKRNYVPMPKGDVPFTHADISAAKRDLGYNPTVGLDEGLQNFVRWYTKYYENGAHRED 136469124 ---KNILLTGGAGYIGSSVAHLLLDKGCKVTIVDNLVTGNKKLVPKKANLFIDIADKKKITKIIKKNNFDIVMHFAGLIKVDESIKHPKKYNIYNYKKSKIFFDTCFNNNLDKFIFSSTASVYGNAGNKKVSEKNKLKPLNPYAKSKLKIEKYLIKQSKIKKINYLILRYFNVAGADKKLRTGLISKSSTNLIKVLCEVANGIRSKFIINGKNHNTKDGTTIRDFIDVTDLSEMHYLAAKYLIKKNKSDIFNCGYGKGYSILEVLNTFNKFLPKKIPATFGKKRPKDIKFSVSDIRKFKKSMNWKPKFDLENILKSSYLWEKK---------- 78213551 ---RRVLVTGGAGFIGGAVVRRLLRETVTVFNLDKMGYASDEKVLRHRLQQVDLTDATAVEAAVQEADPDLVMHLAAESHVDRSISGPGVFIESNVNGTYNLLQAVRSHYSFRMHHISTDEVFGLGAEGRFSETTPYDPRSPYSASKAASDHLVQAWHHTFGLPVVLTNCSNNYGP--------WQFPEKLIPVVTLKA--AGCESIPLYGDGL------NVRDWLYVEDHVDAL---LLAACKGESGHSYCVGGHGERTNKEVVNAICQQMDHADLITPVTDRPGHDRRYAIDPSRISAELGWSPRHDVEQGLAETVNWYLANQDW------ 167461464 ----RLLVTGGAGFIGSHFIRYILHRYYEVINLDSLTYGNLERLLRYTFIHGNVAAKRDVWQAF-TLKPDAIVHFAAESHVDRSIADPDLFVRTNMLGTQVLLEAAREHGIQKYIQISTDEVYGTGETGLFTEETPLAPNSPYSASKASADLLARSYYETYGLPVTITRCSNNYGSHQYP----------EKLIPLMIFRALKDQPLPIYGDGFHI------RDWLYVEDHCRAVDLVLH---GGRDGEVYNIGGRNERTNLELVRLILKELGKPDSIRFVPDRPGHDRRYGIDAGKIRHELGWYPDHTLEEGLVQTIRWYVK---------- 297200269 --------------------QHLLEAGHEVVVLDNLSTGFREGVPTGAFIEGDIRDAAKWLD----SSFDGVLHFAASSQVGESVVKPEKYWDNNVGGTMALLGAMREAGVKKLVFSSTAATYGEPEEVPIVESAPTRPTNPYGASKLAVDFMITSEANAHGLGAVSLRYFNVAGA--YGKQGERHDPESHLIPLILQVAQGRREAINVFGEDYPTPDGTCVRDYIHVADLAEAHLLALKA-AEPGEHLICNLGNGEGFSVRQVIETVRQVTGHPIPEVVAPRRGGDPATLVASAATAREKLGWNPRTDLAGIVADAWEF------------- 255082316 -----VLVTGSAGFVGFHTALALKDRGWGVLGLDNVNDYYPTSLKRARMVEADLNDRGVVRDALDACKFTHILHLAAQAGVRYAVKNPGSYVHSNVAGMVNMEEIIRTSPMPKVVFASSSSVYGLNTEVPFKESDVTDPASLYAATKKADELLAHTYNHIHGVAITALRFFTVYGP----------YGRPDMAYFSFANNIVRGKPITIF---KGENDAELARDFTYIDDVVQGVIASLETSEASGKFRVYNLGNKHPVTVSDFVTTLEKHMGKKAKREYVPMPKGDVPFTHADVSRAARDLGYSPRTNLDDGLKKFVDWYKEFCKG------ 260170809 MSKRNIIITGGAGFIGSHVVRLFVNKDYHIINLDKLTYAGNENKPNYTFVKADICDFEMMLKIFKQYHVDGVIHLAAESHVDRSIRDPFTFARTNVLGTLSLLQAARLTWEYRFYHISTDEVYGALEDEFFKETTKYNPHSPYSASKAGSDHFVRAFHDTYGMPTIVTNCSNNYGP----------YQFPEKLIPLFINNIRHRKPLPVYGKG------ENVRDWLYVVDHARAIDVIFH---NGKIADTYNIGGFNEWKNIDIIHVIIKTVDREGLITYVMDRMGHDLRYAIDSTKLKNELGWEPSLQFEEGIEKTVQWYLDNQEWMDN--- 142640943 MANENALVTGGAGFIGSHLVDELLNSGRRVIVVDDLSSGQLKNINSNCVFHGDIT-SDALDSIFEKEKPSLVFHLAAQSSVAISSDKPLLDASTNILGTLKIAENCNKHGVKKLIYSSTGAIYGEPPELPVDESTAPRPISNYGVSKFQGEQFIELYHKLHNVNYCILRYANVYGPRQDGNGEAGVIP-------IFATLIQDGKQPTIFGT------GEQKRDFISVHDVVRANMLAI----SNGKNSTYNIGSSVMYSVNQIYDLIKDHYGFTKEALTGAPRTGDVFEISLDYAKAKKELEWEPEIDFENGLQETLQY------------- 256397293 ----RILVTGGAGFIGSEYVRQLLSRPDSVTVLDALTYSGVEANLEPVFRRGDIRDAEVVDAVMPGH--DAVVHFAAESHVDRSILGAGPFVTTNVVGTQVLLDAARKHGVGRFVHVSTDEVYGSIDEGSWTEEWPLAPNSAYSASKAGSDLLVLSYHRTHKMDVVVTRCSNNYG--------YYQFPEKMIPRSVTNLIDG--------GMVDLYGDGANIRDWLHVSDHCRGIDLALRQGRA---GEVYNIGGGTELTNKELVQLMLDGTGKDWSVRTVEDRKGHDRRYSLSIDKIQRELGYEPRVRFEDGMGQTIDWYKNNRSWWE---- 120555542 -----ILVTGGCGFIGSNFIRLWLAETDEPIVMDALTYGNAENLERYFFEQIDIRDAAAVAGVLERYHPRAILHFAAESHVDRSIKEPEAFLQTNVMGTFNLLNSALGIWNFRFLHVSTDEVYGGRTDPAFSEKHPYQPNSPYSASKAASDHLVRAWHHTYGLPVLTTNCSNNYGP--------YQFPEKLIPLMIERALAGE--------TLPVYGDGSNVRDWLYVEDHCRAIMTVLDR---GEPGETYNIGGNEERTNLEVVDALTNVLGYRELVRFVDDRPGHDKRYAINATHIADTLGWRPEVDFREGLIRTVEWYLENRQWVE---- 218681556 -----ILVTGGAGFIGANFVLDWLALQDEPVVLDVLTYGNLENLPRHLFVKGSIADYDLVEELLRAHRPRAILNFAAESHVDRSIHGPEEFIQTNIVGTFRLLEATRGFLAFRFLHVSTDEVYGAPTEAAFSEERKYEPNSPYSASKAASDHLVRAYHHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLVIHNALSGKQIP--------IYGDGMQVRDWLFVKDHCSAIRRVLE---NGQVGETYNVGGRNELTNLSVVNTLCEILDYKSQIAFVRDRPGHDRRYAIDAAKIERELNWRPGETFNTGIRKTVEWYLANEAWVRN--- 300113190 ----KIMVTGSAGFIGAALTEKLLKRGDEVIGVDNLNDYYDVDLKRARLARFQLENREALQAIFAKYQPQRVVNLAAQAGVRYSLENPYAYMDSNLYGFLNILENCRHYQVEHLVFASSSSVYGANTKMPYVQDNVDHPLSLYAASKKANELMAHTYSHLYRLPTTGLRFFTVYGP--------WGRPDMALFKFTRNILAGKPIEIYNYGRH--------QRDFTYIDDIVNWNGATPEPNTSSAPYRIYNIGNHQPVELGKFIKILEECLGREAKKNLLPLQPGDVPATYADVDDLIQDMEFHPATPIEEGIARFVAWYKNYHK------- 308801108 --SETYLVTGAAGFVGSHVATALKKRGSGVVGLDNVNDYYPRGLNRTRMVEADLNDASTVRKILDTCRVTTVVHLAAQAGVRYAVKNPGAYVHSNVAGFVTLEEITRTTPMPKVIFASSSSVYGLNTKVPFSEKDVTDPASLYAATKKADELLAHTYNHIHGLALTALRFFTVYGP----------YGRPDMAYFSFANNIMKDKPVKIFKGPDG---GELARDFTYIDDVVKGTIAACDTSEKSGKGRVYNLGNTQPVTVSDFVSKLERALGKTANRNYVPMPKGDVPFTHADISAAKKDLGYNPSISLDEGLDSFVRWYSKYYAG------ 308445197 ----RLLVTGAAGFIGSHYVRQILAEDVHVTVVDRLTYAGRRDNLRLDFVRGDICDRGLLDRVLPGH--DAIVHFAAESHVDRSLTGPGEFVRTNVMGTQQLLDAALHTGVDRVLHVSTDEVYGSVDSGTWTEDSPLLPNSPYAASKASSDLIARAYHRTHGLDVRVTRCSNDYGPR--------QHPEKLIPHFVTRLLTGRKVPL--------YGDGRNVREWLHVDDHCRALHLVLTKGRA---GEIYNIGGGSGMSNREMTARLLDLLGADWMVRHVEDRLGHDFRYAIDDSKIRDELGYAPRWSIESGLGAVVDWYRDHPE------- 21673069 ----KILVTGAAGFIGFHLCERLASRGDDVVGIDNINDYYDQRVKYGRFVKLNLEDKEGIDNLFKAEKFDALCNLAAQAGVRYSLTNPASYVSSNIVGFVNLLEAARHNSLGNFCYASSSSVYGLNERQPFSHDNVDHPVSLYAASKKSNELMAHTYSHLFGIPTTGLRFFTVYGP----------WGRPDMALFLFTKAALEGRPIDVFNYGNMQRDFTYIDDIVEGVVRVLDHPAQPDPGTSSAPYRVYNIGNNKTVKLMDYIEALENALGVTIEKNLLPIQPGDVPSTWANVSDLVKDFDYKPETTVQEGVNRFIAWYREFFK------- 23013800 ----TVLVTGGAGFIGSAVVRHLIETGWSVVNLDRLSYAASPAALRHRFVHGDIRDRDLVSSLLAEHRPCAVLHLAAETHVDRSIDGPEAFMEHNLMGSFHLLEAVRAYWQFRYVQVSTDEVFGGPDDAAFNLDTQYQPRSPYSASKAGGDHLARAWAHTWGLPVMVTNCTNNYGP--------YQFPEKLIPLMILRGLRGEPLP--------VYGTGANRRDWLHVEDHARGLCLALERGQPGG---TYLFGGGTECSNLEVVDAICRILDRLKPIQMVADRPGHDFRYAMDASSTLDGLGWSPAIGFDEGIARTVQWYLDH--------- 307320268 ----NILVTGGAGFIGSALCRYLVADGNRVINLDKLTYAGNEALPNYRFVRGDICDEAAAADVLAAERIDRIMHLAAETHVDRSIDGPGTFIETNIVGTFRLLQAATKYWRFRFHHVSTDEVFGDLDDNSFREETPYAPSSPYSASKAASDHLVRAWHHTFGLPVVITNCSNNYGP--------FHFPEKLVPLIILNALEETPLP--------VYGNGTNVRDWLYVDDHARALDLVA---TNGVTGESYNIGGGSERSNLAVVETICDILDHKQPIAFVDDRPGHDRRYAMDTSKIERELGWKPSESFETGLSRTIDWYLAN--------- 86130450 ----KILVTGGAGFIGYHLCEQLLKEGHTVVALDNVNDYYDPNLKYDRFVRMNLEDREALPELFKKESFDLVCNLAAQAGVRYSIENPEVYIDTNVVGFLNILESCRNNNIKKLVYASSSSVYGNSLDVPFTEQSVDEPISIYAATKKSNELMAHTYAHLFGINAVGLRFFTVYGP----------WGRPDMAMFLFTDAIINNKPIKVFNE------GNLSRDFTYISDIIAGVTAVIQNEVQSGNTI-LNIGNSKPVKLLDFIEALELELGKKAKKEMLPMQDGDVNQTWASVEAMREHYKYEPSVSVEDGIAAFVKWYNSYYK------- 183221421 MEFKNVLVTGSAGFIGSNFVPYFLETHPHVHVLDNLSSIISE--KRHTFVNGDICDAELVGSLFETYKFDLVVHFAAESHVDNSIINPKIFLETNVIGTFQLLQTAYSTWAAKFIHISTDEVFGLGESGFFTEDSPYQPNSPYSASKASSDHIVRSYYHTYGLPMIITNCSNNYGPKQHN----------EKLIPTIIRNALEDKNIPIYGTGM------NIRDWLFVMDHVKGIEKVILY---GKLGETYNIGGNNELTNNQVVNVICNHLDKVIPIMYVSDRPGHDKRYAIDATKMKKDLNWIPEETFETGIVKTIDWYLKKYKN------ 29420389 ----KILITGGAGFIGSAVIRYIINHNDSVVNVDKLTYAGNEKNTRYKFECADICDSAKMQEIFTNHQPDAVMHLAAESHVDRSINGPFEFIQTNIIGTFILLEIAKSYWKFIFHHVSTDEVYGDLAHPLFTEKNGYAPSSPYSASKASSDHLVRAWYRTWGLPVIVTNCSNNYGP--------YHFPEKLIPLTILNALQGQPLP--------VYGTGDQIRDWLYVEDHARALHLVI---SSKRVGETYNIGGYNEMKNIDVVQKVCEILDYKKLINFVKDRPGHDRRYAIDATKITEHLGWQPIETFESGIRKTVHWYLQNKAWVES--- 300731872 -----ILVTGGAGFIGSNFVLDWFAQPAQAINLDKLTYANLESLRGNPFVQGDINDAALVAKLFAEHQPKAIVHFAAESHVDRSIVGPEAFLKTNIDGTFTLLQAAKNYYAFRFLHVSTDEVYGTPEDPAFHEKTPYAPNSPYAASKAASDHLVRAWFHTYGLPTIITNCSNNYGP--------YHFPEKLIPLMITHALEGKPLPIYGDGQ--------QIRDWLYVRDHCSALRTVL---ATGRVGETYNVGGGNQRSNLQVVNRLCEILDHAQLIRYVTDRPGHDRRYAIDARKLESELNWHAQESFETGLRKTVEWYLANSPWVDN--- 135138604 LHNMNILITGGAGFIGSHVIRQFVQHNDHIINLDALTYAGNEDAPNYSFVKADIQDLEQMRSLFKERDIHGVVHLAAESHVDRSITDPLIFIKTNVMGTANLLQATMEHWNKLFYHISTDEVYGLGAEGLFTETTPYDPRSPYSASKAGSDHLVRAWGHTYGMPVVLSNCSNNYGP----------YQFPEKLIPLFINNIVSKKPLPVYGQGV------NVRDWLYVGDHAAAIHLI---YRKGKHGETYNIGGHNEWRNIDLIHLMCRLMDSAELITFVKDRAGHDMRYAIDAGKIERELGWTPSVTFEEGLSQTIDWYLSHQEWMDR--- 303238677 ----NIIVTGGAGFIGSNFIYYMLNKDYRIICVDCLTYAEALKNPKFRFCKVDIRDRKAIYNLFEEEHPDMVVNFAAESHVDRSIENPKVFLDTSINGTAVMMDACRKYGIKRYHQVSTDEVYGDRPDLFFTEETPLYTSSPYSSSKAGADLLVLSYHRTYGLPVTISRCSNNYGP--------YHFPEKLIPLMIANALADKPLP--------VYGKGENVRDWLYVEDHCNAIDLIIH---NGRVGEVYNIGGHNEMKNIDIVKTICKELGKEKLITYVTDRKGHDMRYAIDPTKIHNELGWLPKTKFTEGIKKTIQWYLDNREWWE---- 28492997 ----HILVTGGAGFIGSNFVRRAIENKYTVTVLDALTYSGNINNLRLRFIHGDIRDEDLLSEIMPNVN--YVVHFAAETHVDRSIKNASAFVETNVLGTGRLLEAAARNNIDRFIHISTDEVYGSIESGSWDENQPLLPNSPYSASKAASDLLVRSYHKTYDLPVCITRCSNNYGP--------YQFPEKVIPLFVTNLIEDKEVPL--------YGDGTNVRDWLHVDDHCRGIYIVLTKGRS---GEIYNIGGGLELSNADLSQIILEVMEKSWMVKRVKDRPGHDKRYSVSINKI-STLGYRPEVSFEQGLAETINWYKDN--------- 120602748 ----RLLVTGGCGFIGTNFIRHVLAVRPEVVNFDKLTYAGNPANLRYRFEHGDIADAGRVRDILERHAIDAIVNFAAETHVDRSINDSTPFITTNVLGTQVLLQAALDRGIPRFVHISTDEVYGTGPDGLFREDTPLAPNSPYSASKAGADLMVRAFHETYGLDTVTTRCSNNYGP--------YQFPEKLIPLMYRKATAGEPLP--------VYGDGGNVRDWIHVEDHCRGVLLALEKGRPGG---IYNFGGASERTNLEVVRLILKLTGREELIRFVKDRPGHDRRYAMDFTLAARELGYAPEHSFENGLAATIDWYRANDAWLAS--- 163781591 ----RVLITGAAGFIGSHLCDRFLREGHEVIGLDNFLTGSPDNVSHFRFFKYDVTNFIYLEG-----ELDLILHFACPASPVDYMNHPIHTMKVDSMGTLHTLGLAKLKGA-RYVFASTSEVYGNPEVHPQPETYWIGPRSVYDEAKRFSEALTMAYHREHGIDTRIARIFNTYGPRM-------RVNDGRVVPNFIYQAITGKP-------LTVYGDGSQTRSFCYIDDLVEGIYRLAIEEGLSGE--VFNLGNPTEHTILDLAKLIIDIAGSPSEIVFTDRPVDDPDRRKPDITKAKKVIGWEPETSIEEGLKRTVNWFRE---------- 288797829 ------LVTGTAGFIGFHTAKKLLERGDSVVGFDSVNDYYDVDIKEARFVRANLADQEAVKQCFAEHDFGRVIHLAAQAGVRYSLMNPHAYVESNIVATTNILEACRHARTPHLTYASTSSVYGANTRMPFSEHGVDHPLQFYAATKRANELMAHSYSHLYGLPTTGLRFFTVYGP----------WGRPDMALFLFTKNILAGEPIQVFNHGNHTRDFTFVDDIVEGVIRADWDSDNPDPATSCAPFRIFNIGNNSPVKLGEYIAAIEDAVGKKAIKEMLPLQAGDVPDTFADVSELEKSVQYRPATPVREGVQRFVQWYREFYR------- 237751175 ----KILFTGGCGYIGSHCALHFLQHTEEIIIVDNLSTGFLKNYEYLKHQFGDRVDLANCEEVFKQYKIDTVLHFGAFISVAQSVEDPILYYTNNTSKTLQLLSYCAKYKVKNFIFSSTAAVYGEPNEEPVTESCPLKPINPYGWSKLMVETMLQDIAESAKINYVILRYFNVAGANMLNDYTADRKNATHLIKVACECAVGKREGMSIYGTNYHTQDGTCIRDYIHVDDLSMAHIEAYKLLQNAVGGEIFNVGYGMGFSVKEVIECVKEVSKNNFKVYTTGRRAGDPAILIADNAKILKNTEWNPQYNLAKIVESAYKWEL----------- 94498205 ----TILVTGAAGFIGMHVADRLMGQGHAVVGIDNLNDYYPVALKQARFHELDFADMAAVQAALADQVIEAIVHLGAQAGVRYSLVNPHAYVRSNLSGHVNMLELARERHVRHLVYASSSSVYGGNESLPFVEDRVDHPVSLYAATKRADELMSETYAHLFRIPMTGLRFFTVYGP----------WGRPDMAMWIFTSRILAGEPIPVFNHGRMQRDFTYIDDIVDGVIGCLDHPPTDDGALKAGGSRAYNIGNNRPEELMHLIAVLEDAIGMKAQVDFQPMQPGDVHATFADISAIVQDIGFFPRTAIETGVPRFVNWYRRY--------- 283851959 ----RLLVTGGCGFIGSNFIRDMLARGLTIVNLDALTYAGNRQSLRYVFARGDIANSELALHLFEEHNIEAVVNFAAETHVDRSISDASPFVRTNVAGTQSLLDAARLYGIRRFVHVSTDEVYGTGPDGKFSEETPLAPNSPYSASKAGADMLVRAAHETYGMDTVITRCSNNYGP--------FQFPEKLIPLMFSKAV--AEEPLPVYGDGL------NVRDWIYVIDHCRGVELTL---LKGRPGEVYNFGGDAEKPNIEVVRTILAALGKPESIRFVTDRPGHDRRYAMDFTKATRELGFRPEYDFVRGIRETMDWYRQNGAWLES--- 144178084 ----KVLVTGAAGFIGSHVVDRLLAAGHAVAVLDDLSTGSRDNLPPGPLHLATIVDTAAVAEVFAAERPDAVCHQAAQMSVSRSVREPLFDAQVNCLGLLNVLDAAVATGCERFVFASSGGVLYGEAVEPAAESHPADPVSPYGITKWVGERYLKFYAAEHGLAAVALRYSNVYGPRQNP-------HGEAGVVAIFCKKLLAGEPATINGD------GRYVRDYVFGEDANLAALTATRPTVEPGRLVSLNIGTGVGTDVNVLEEKIRSAIGLKRGVDHGPPRPGDLRSNLVDPSLAEELLDWRPTVALDEGLRQTAAWF------------ 84685369 ----RIFITGTAGFIGYHLARLLLDEGHTVHGFDGMTDYYDVTLKQRRHAEAMLEDLAAVDTAIDGFVPEIIVHLAGQAGVRYSLENPRAYVDANVVGTFNIMEAARRHEVRHLMMASTSSVYGANTEMPFKETDKADPMTIYAATKKATEAMGHSYAHLYDLPTTMFRFFTVYGP--------WGRPDMALFKFVRATLGGEA--------IDVYNHGEMFRDFTYVEDLVRAIRLLMDSLSPVAPYRVVNIGNSQSVRLLDFVDAIEDALGMKTRRNYMDIQPGDVPATWADAGLLERLTGYRPQTDVRDGVRAFVDWYRDYYE------- 206895772 --KKRALVTGGAGFIGSHLVKRLVAEGAEVVVIDDLSMGDASKVDSGAQIALDVRSLEA-SRVIKDFKPDVVFHLAAQINLRRSLQQPLEDASINILGSINVMESAEDISKVKFVFSSTGAIYGDVDILPTPETVEPNPLSPYGVAKFSVEKYLYYYHVVHGLPYVALRYSNVYGPGQST-------------KGEAGVVAIFLEKMLAGETPVINGDGTQTRDFVFVEDVVDANI----KAACSDAVGVFNIGTGRESSVLDIFRLLKQYVGKDFPKVHGPAIPGELQRSCLDYGKAKDVLGWEPRVDLEEGLEITA-------QAFAEEHK 296446644 MESRRILVTGGAGFLGSHLCERLLDDGHEVLCVDNFFTGRRRNIERFDDRKFELLRHDVTFPLF--VEVDEIYNLACPASPIHYQFDPVQTTKTSVIGAINMLGLAKRLRVKVFQ-ASTSEVYGDPTVHPQPESYWLGPRACYDEGKRCAETLFFDYHRQHRLKIKVARIFNTYGPRM--------HPKDGRVVSNFIVQALQNQPITVYGEGQQT------RSFCYVDDLIRGFVALMDAP--DAVTGPINIGNPTEFTIRQLAETVIDLTGSRSPLVFEPLPADDPKQRRPDISAAERLLGWRPTIALREGLVRTIAYF------------ 143029715 --NMKILVTGVAGFIGYHVALKLLKKNISVVGLDNLNNYYDVNLKKKRLENLDLNNFKSLNQIFKNNKFKKVIHLAAQAGVRYSLKNPRSYIENNIVCFFNVIELSKIYKIKNFVYASSSSVYGANKNLPFVETKIDHPIQLYAASKRSNELIAHAYSALYRLPTVGMRFFTAYGP----------WGRPDQALFIFTKNIIENKKIDLFNYGNHSRDFTYVEDIAEAIFKATIRISKKNMKWNAKKPEPYNIGANKRVNLKYYVKILEKHLNKNAKINYKPLQKGDVVHVASSIKKIKSHLNYKPKVSVEKGVKNFVDWFKEYYE------- 142217158 ----RVLVTGSAGFIGSTVSHRLLDRGDTVVGIDNYNDYYDPSLKEARGEKLSVEDKAAMEAAFDAHSIDRVVHLAAQAGVRYSIENPHAYVDANLVGFMNLLECCRHQRVEHLVYASSSSVYGANESLPFVEDSVDHPVSLYAATKKANELMAHTYSHLFHLPTTGLRFFTVYGP----------WGRPDMALFKFTRAILTGETVSLFNGGNHRRDFTFVTDIVDGVDQVAAPDPEYDPINPNPGTSNVPWGSDRPVDLRRYLELIEEACGRTATIENLPMQAGDVVATHADVSALKAAIGYAPQVTVEEGIPQFVDWFRTYYQ------- 28378727 ----KALVTGGAGFIGSHLVDHLVESGFEVVVVDDLSMGNIKHWEQITIYVADVCDDKFMQQLLADERPDYIYFLAAIASVADSIERPAETHAVNHTAVFNLLEHIRQIPIKQFLFTSSAAVYGNLPELPKREDSRVAPVSPYAIDKYATERFVLAYGELYDLPTVCVRFFNVYGPRQN------PSSPYSGVLSILTDCLKTQRPFTLFGD------GTQTRDFVYVSDVIKALWLITEHQVQH---EVINIANGLETSLNGIIQMYQEIAGQQLEIKRAEQRGGEVDHSVASIGKLAR-LNYESEWPLRRGLTKYWEGECEHARS------ 251799454 ---KTYCVTGGAGFIGSHLCEQLLAQGHRVVNIDNFNDAKLQAVVESDDYRLDIRSMDELEAVFASERIDAVIHLAAMAGVRPSIEDPLLYEDVNVKGTLHILEVMRKHGVRKWLCASSSSVYGNNRKVPFSEEDVVDSISPYAATKKACEVLGHTYHHLHHIDTIMLRFFTVYGERQRPDLAIH----------KFAGMLDKDEELTMYGDGSSRRDYTYIGDIIAGILGALSYVERMENLY-----EVVNLGTNRTITLRDLITSLEQEFGKRALIRTLPNQPGDVEQTYADVSKANQLFGYHPQTDFAEGIHKFVTWYRGNRHG------ 163852961 -----ILVTGAAGFIGFHVACRLLRAGRPVVGVDSLTPYYDEELPGFTSVRADLADAEATREIFARYAPEQVVHLAAQPGVRRSIVEPSPYVTSNVVAFLNVLEGCRHGGVKHLAYASSSSVYGGNGKLPFAEDGVDHPVNLYAATKKANELMAHAYSHLYDLPATGLRFFTVYGP--------WGRPDMAVYTFTDAIARGRPIEVANGGRVW--------RDFTYVDDVAEGVVRVLDRPARPAPHRVYNIGNDRPEELNRLVALIEGALGRRAERVDRPLPPGDILETRADVSDLRRDVGFAPATPLEIGVERFVAWYCSY--------- 284046756 ----RAIVTGGAGFIGSNLVDGLLAAGHAVAVVDDLSTGRRENLADARLHELDVLDTAALNAAFAHERPEVVFHLAAQIDVRRSVEDPAQDLRVNVEGTVNVLNAARAAGARRVVFSSTGAIYGDADVLPTGEDAPLRPLAPYGASKHAAEGYLGVFSALHGLSTIALRYSNVYGPRQDPLGEG-------GVVAIFCGALATGATPTIFGD------GEQTRDYVFVGDVVRANLLAASSEL----GGAFNIGSGVETSVLDLVDHLNGVGGGAGTPTHSPARQGEVARSCLDPSRARSQLGWGPEVDLDEGLRRTLA-------------- 146296609 -----VLVTGGAGFIGSHIVDKLIEKNYDVCVVDNLSAGNLKNIPKAKFYKLDIRD--NLEDIFKENKIEYCIHQAAQVSVTKSMEDPILDCSVNILGTLNLLSFCAKYEIKKFIYASSAAVYGEPQYLPIDESHPKNPMSFYGISKLTAEKYIERFAQSHGFEYVIFRYSNVYGPRQDPFGEG-------GVISIFCERMQNNKDVTIFGD------GNQTRDFIFVEDVAEANYLALQNPIK----GTFNLSTNTRVSINELFGIISGLTGYQKSAVYTQKRPGDIQHSTLDNSLLKSLLSWSPKYSLKEGLTKTIEYFKN---------- 81250692 ----RLLVTGAAGFIGSAYVRMLLAPGPHVTVLDKLTYAGRIDNLDVTHVQGDICDAALVDRLMS--RADQVVHFAAESHVDRSIAGADAFVRTNVTGTQTLLDAALRRGVGRFVHVSTDEVYGSVAAGRFTEEDTLGPTSPYAASKAGSDLVALSYHRTHGLDVRVTRCSNNYGPR--------QFPEKIIPLFVCRLLTGAEVPLYGDGEHV--------REWLHVEDHCRGVDLV---RTGGRPGEVYNLGGGTELTNRELTELLLKACGGTWQVRHVADRKGHDRRYILDV-KARSELGYRPRRDFESGLAETVAWYRDHRSWWE---- 126464833 ---RRILVTGTAGFIGFHLARLLLAEGFRVHGYDGMTDYYDVALKRRRHAEGMLEDQALFDRVADEFAPEVIVHLAAQAGVRYSVENPRAYLDANVIGSFSVMEAARRLKVAHLMMASTSSVYGANEEMPFRETDKDRQLTIYAATKKANESMGHAWAHVHGLPITMFRFFTVYGP--------WGRPDLALFRFVEAILEDRPIEIYNHGE--------MWRDFTYVDDLVRAIRLLIEAVPGGPETRIVNIGNSDKVRLLDFVEAIEESLGRRAIRSYLPMQVGDVPATWADAGLLQRLTGYRPQTDIRDGIAQFVSWYRDY--------- 227491027 MKERKLLVTGGAGFIGSHLVDLLVENGHEVVVLDNFSHGRMENLVDAQVVEDDIR-TVDFPALFKEHKPEVIFSLAAQIDVRKSVAEPLTDADSNISAVIRMATAAKDCGVRRIVHTSSGAIYGEPDSFPVSEKTPVDPKSPYAVSKVAGELYLKSFSYLYGLETAFIAPANVYGPRQD------PHGEAGVVAIFSQKLLEGAETF-------AFGKGDNTRDYVFVKDVARAFILAAQQGV---DGTRYNIGTGRETSDLALHQLIAAEVGVAAEPTMLPARLGDLPRSSLDSSLAGAELGWKPDFELEQGLAETVSYFRA---------- 305679753 ----KVLITGGAGYIGSTIAACCTDNGITPVILDDYSKGLREFARPYANYEGDIADTTLIRRILSEHDIDAVIHCAAKIVVPESVSAPLAYYENNVAKSITLLRELSALGVRRFILSSTASMYEAGDDYMVDESAAIAPQSPYSASKWMLERILRDFAATGNMNVIALRYFNPIGADP-AMRSGLQDPKPTHALGKMIEAYQSEGVFTVTGIDWPTRDGSGLRDYVHVWDLARAHVAALQNFDKIDGFDIINLGTGTGTTVFELVDAFKDATGKPLDVQTAPPRLGDVAGCATLTAKAERLLDWRAELSIADGVKDSLKWAEKLPSILAREQ- 295835361 ------LVTGAAGFIGSHFTRYWLEKHPEDVAFDALTYAGADVADRITFVRGDITDGPLVARTLAEHRVEVVVNFAAESHNSLAVLAPDRFFRTNVLGTQTLLEACRRLGVARFHHVSTCEVYGDDAEEAFTEESPYQPRTPYSASKAGADHAVRAYHETYGLPVTLTNSANNYGSH--------QFPEKVLPLFTTRALDGLDLP--------VYASRDHRREWIHVRDHVRAIAAVLYAGRI---GETYHVGTGTELSVGDLAERVLGELGLPLSMRTVPDRPGHDRRYLLDSSKLRAELGWRPRVDLATGLGETVRWYADHRAWWE---- 119775377 ----KILVTGGCGFIGSAVIRHLISHTHSVVNVDKLTYAEAAESARYALERVDICDRQALGAVFARHQPDVVMHLAAETHVDRSITGADAFIQTNIVGTYTLLEVAREYWAFRFHHVSTDEVFGDLADQPFTESSAYAPSSPYSASKASSDHLVRAWHRTYGLPIVVSNCSNNYGP--------FQYPEKLIPLTIQNALAGKALP--------VYGQGNQSRDWLYVEDHARAL---LAVMTRGRVGETYNIGGNSERQNLEVVKSICAILDYESLITFVADRPGHDVRYAIDASKITEQLGWKPQETFESGLRKTVEWYVLNGEW------ 257456601 MRNQNILITGGAGFIGSNFIRTLLKKDPRIINLDALTYGGDTAAPRYIFIHGNICDKELVGSIFEKYAIDAVVHFAAESHVDRSILGPEVFLQTNILGTFTLLEAAKKAWQTKFHHISTDEVYGLGAEGYFQETTPYDPRSPYSASKASSDHLVKAYFHTYGLPITVSNCSNNYGP--------FQFPEKLIPLMILNMLEGKPLP--------VYGDGMQIRDWIHVEDHNRAVQLIMQKGR---VGETYNIGGENEWANIKLLYKLIDIVSKKAGITHVTDRLGHDRRYAIDCTKLKTELGWKRTFDFETGLAHTVDWYLQNKGWVDN--- 198275011 --KRNILITGGAGFIGSHVVRLFVNKEYRIINLDKLTYAGNENQPNYVFVKADICDYEKMQELMQQYQIDGIIHLAAESHVDRSIKDPFTFARTNVMGTLSLLQAAKVYWGKRFYHISTDEVYGALEKDFFYEDTKYNPHSPYSASKASSDHFVRAFHDTYGMPTIVTNCSNNYGP----------YQFPEKLIPLFINNIRHRKPLPVYGKGENVRDWLYVVDHARAIDVIFHNGKIAETYNIGGFNEWKNIDIIKVVINTVDRLLGRKEGEDMDLITYVTDRLGHDMRYAIDSTKLQKELGWEPSLQFEEGIEKTVRWYLDNQEWMDN--- 91214608 ----RFLVTGGAGFIGSNIVEYLLKFGAHVRVLDNLSNGYRTNIKEFESEEGDIRKIEDCEQ--AVEDIDIVLHQAALGSVPRSIDDPILSNSVNVSGFLNMLVACKNKTVKRFVYAASSSTYGDSPTLPKVENTIGKPLSPYAVTKYVNELYADVFAKTYGLEVIGLRYFNVFGPKQS------PEGAYAAVIPLFMQSLKDQNSPTMNGDGEQTRDFT----FVENAVQANIKASFADK---KATNEVYNVACGDRISLNLLWSALEKASGSSLKAIYGPPRQGDVKDSLADINKAKELIGYEPKFNVEEGLKITWD-------------- 135743958 --------TGGAGFIGSHVLRLFVNKDYQIFNLDSLTYAGNENASNYTFLKADITDSEIVNRLFKIHKFNKVIHLAAESHVDRSIADPLQFVKTNVLGTVNLLNAAKELWKDLFYHVSTDEVYGTGETGLFTETTSYDPNSPYSASKASSDHFVRAYGETYNLPYVISNCSNNYGPN--------QFPEKLIPLFINNIINNKALP--------VYGDGKYTRDWLFVLDHAITIDLIFHKGQQQ---ETYNIGGFNEWKNIDLVKVLCKQMDKKKLINYVKDRPGHDLRYAIDATKIKKELGWSPSVTFEEGLAITIDWYLNNEKWLNN--- 290962695 ----NLLVTGAAGFIGSAYVRMLLQRGSQVTVLDSLTYGSLDNLDLGDFVRGDICDAPLVDKLMR--QADQMVHFAAESHVDRSIASADAFVRTNVTGTQTLLDAALRHGLDRFVHVSTDEVYGSVESGSRTERDPLDPNSPYAASKAASDLLALSYHRTHGLDVRVTRCSNNYGPR--------QFPEKIIPLFLTTLLDG--------GDVPLYGDGLNRRDWLHVED----HCAAVELVRAGGAGRVYNISGGIELSNRELTARLLAAVGATWRVRQVPDRKGHDRRYAIDCTRLRTELGYRPRRDFESGLAETFAWYRDNRDWWE---- 255020601 ---KRLLVTGGAGFIGANFCHYWLQQHPRAVVLDALTYAGNRHSLAGRFVQGDINDEALVVRLLRDHRLNVVVHFAAESHVDRSIHDPDAFIRSNILGTHSLLRAAKTVWPHRFHHISTDEVYGSPGDAPFREDTPYAPNSPYAASKAGSDHLVRAYHHTYGLQTTTSNCSNNYGP--------FHFPEKLIPLVILNILHGK--------TLPIYGDGRQIRDWLYVEDHCRGIAQILERGT---VGESYNIGGCNEWSNLDIVELICAEIDSAELIQHVRDRPGHDRRYAIDASKIRKELGFAPQYTFAEGIRQTIRWYLEHREW------ 289644533 ----TLLVTGAAGFIGSNFVRYWRERHDQVVALDALTYAGADVLAEITFVHGDIRDRGLVESLLREHKVDVVVNFAAESHNSLAIIRPGDFFSTNVIGTQTLLEAARTVGVARFHQISTCEVYGDDDPGAFTEDSPYLPRTPYNAAKAGGDHAVRAYGLTYDLPVTITNCSNNYGP--------YQFPEKVIPLFVTRALQGENLPL--------YASVRNRREWLHVADHCRAIDAVLERGRL---GETYHVGSGVEADIETIANTILAELGPESLKTIVPDRPSHDRRYLLDSTRLRTELGWAPEIGFADGMRATVAWYRDNEAW------ 209964145 ----KLIVTGGAGFIGSCVIRTLIRTTDVSICVDKLTYAASPEALRYRFERLDICDGPGLAALFEREQPDAVMHLAAESHVDRSIDDPAAFIMTNLVGTYTLLAASTAYWRFRYHHVSTDEVYGLGDVGAFTETTPYAPNSPYSASKAGSDHLARAWFHTYGLPVLVSNCSNNYGP--------FQFPEKLIPLMILNGIAGRPLP--------VYGRGMNVRDWLHVEDHARALWQVLTRGQ---PGESYNIGGNAERRNIDVVHAICDLLDRRRLITHVSDRPGHDLRYAIDASRIRRDLGWVPQETFETGLRATVEWYLANEAW------ 299133413 -----ILVTGGAGFIGSNFIRVWLAREVAPVILDKLTYGNLENNQRHEFVHGDIGDIVLLKKLLARVRPRAIVNFAAESHVDRSIHGPGDFIATNVVGTFHLLECAREYWLHRFLHVSTDEVYGTADDPAFSETNAYLPNSPYAASKAASDHLVRAWYHTYGLPVITTNCSNNYGP--------YQFPEKLIPLMIHNAITGKPLP--------VYGDGLQIRDWLHVEDHCEAIRCVLTAGQL---GETYNIGGNSEKANIDVVHTLCDILDAEHPIAFVKDRPGHDRRYAIDATRIKNELGWTPKETFESGLLKTVRWYLAN--------- 143069127 ---KNILVTGGAGFIGSNFILNFLKKNTNIINIDNLTYAANENAANYKFIECDISDFNKISSIFTEEKIDCVVNFAAESHVDRSIDNPNIFLKTNVIGTANLLNASLLVFENNFHHISTDEVYGSFEDKPFKETNQYLPNSPYSASKASSDHLVRAWNHTYGLPTTLSNCSNNYGP----------FQHPEKLIPFVIKQIITDKPITLYGDGL------NIRDWLYVEDHCRAIQKII---FNGEIGSVYNIGGINEKSNVDLVHTICDEVDKKELITFIKDRPGHDRRYAIDNTKIQEELNWQPKETFNSGIIKTVSWYLKNQDWL----- 308180148 ----KALVTGGAGFIGSHLVDHLVAEGLDVVVVDNLSMGDLHNIKHQDEVTVDVRNEKFMQQLLQEEQPDYIYFLAAVASVADSIERPAETHSVNQTAVFNMLEYIRKTPIKQFLFTSSAAVYGNLPELPKKEDSRVDPLSPYAIDKYATERFVLAYGELYDLPTVCVRFFNVYGPGQN------PSSPYSGVLSILTDCLKNDKAFTLYGD------GSQTRDFVYVEDVIQALWLITKSDTSH---EVFNIANGNEASLTSIIETYETVTGQKLQVKMAPGREGEVKRSVANIGKLVK-LGYTTKWSLEAGLSKYWE-------------- 304399847 ---KNVFVTGAAGFIGSNLVTKLFGDGVCIIGLDNMNDYYDVSLKEYRFYKGDLADKALITKIFEEHKPEIVVNLAAQAGVRYSIENPDAYINSNMIGFYNILEACRHNPVEHLVYASSSSVYGGNKKVPFSTDDMDHPVSLYAATKKSNELFAHAYSKLYNIPTTGLRFFTVYGP----------AGRPDMAYFGFTNKLVNGETIKIFN------YGNCKRDFTFVDDIVEGIVRVMQKAPEKKNGEDYNIGGGTPENLLDFVHILSEEYDFDAHKELVPMQPGDVPVTYADSTALERDFGFKPTIDLRTGLRRFAEWYKNFY-------- 87310747 -----ILITGGAGFIGSHLIERLLQSSDDLICLDNFNDYYDPALKRANQIEADFCDSNAMESLFTQHQIKSVVHLGAYAGVRVSVAQPQLYQQTNVGGTLNLLETVRRHPVQRFLLASSSTVYGRGAAIPFAEDAPHGPASPYGATKRAAELLGLTYAELHQTPVVCLRPFSVYGPRLR--------PDLALTIFAKAIHTGATIPL--------FGDGTIRRDFTHVSDICDGLIAALTAENVIGET--INLGHSEPIEMRGLIALLENAFGKKANIERLPERPEDLPVTFANLQKAQRLLNYEPQVPIEVGIRDYVAWFQSWY-------- 144158418 -----ILVTGGAGFIGGEFVRQWIEESAPVINLDKLTYGNLESLPRHIFVQGDIGDPDCIRGLLQTHRPRAIVNFAAESHVDRSIDGPAAFVETNVLGTFRLLEETRAYWKFRFLHVSTDEVYGLGPDGKFVETTPYAPNSPYSASKASSDHFVRAYRHTYGLPTLTTNCSNNYGP--------FQFPEKLIPLMILNCLEGKPLP--------VYGDGAQVRDWLYVGDHCRAIRVVLDRGR---VGEVYNIGGDSELKNIEVVHAICNAVDCVSLITSVQDRPGHDRRYAIDFSKLRSEIGWLPERTFAEGITQTVTWYLENPTWVKD--- 258636652 ----KFLVTGAAGFIGFHVSQRLLAAGHQVVGIDNLNDYYDVSLKQARLDQIDLADRQAISSLFEQHGFDRVIHLGAQAGVRYSIENPHAYADANLIGHLNILEGCRHHKIGHLLYASSSSVYGLNRKMPFTDDSVDHPVSLYAATKKANELMSHTYSHLYQLPTTGLRFFTVYGP----------WGRPDMALFKFTRAMLAGERIDVYNNGQMKRDFTYIDDIAEAIVRLQDVIPQPDEHWTSAPYRVYNIGNSQPVSLMDYIKALENALGIEANKNLMPMQPGDVLETSADTQPLYDAINFRPQTSVADGVQEFVNWYREYPQ------- 134962988 ---KSILITGGAGFIGTHACLLLLQKGFEIIVIDSFINSHPVFIKKVIEYHGDLRNINSIEKVFSFADIKAVLHLAGLKSVKESIDEPMQYWQANFIGTFNLVNVMNKYHCHTLVHSSSACVYGNSRKNNLNELDEVNPTNPYGKTKLAIENFLKDVQNHYNWRIANLRYFNPVGAHPSGLIGDSPRGEFNNFPLLIKVASKEASHLKIFGRNWPTRDGTCIRDYVHIMDLVEGHISVIEFLENDPQNITINLGRGEGISLLELVNKFCEVNEVVIPLEFCAKREGDVARLVTNNALANEILNWSPK-------------------------- 257869641 ----KYFVSGGAGFIGSTLVNRLIEEGNEVIVVDDLSMGNVNNLIDNKFFKADIRDIELVREIFKNNSFSYIFHLAAVASVADSIERPFETHQVNMEATLDLLELAKETQKNRFVFASSAAVYGDDQVLPKSEISRIKPLSPYAIDKYSSEQYVLLYNTLYGLPTSAVRFFNVYGPNQ-NPSSPYSGVLSIITNHFKKIRNNEKDVFTIFGD------GSQTRDFVYVEDVLQALKLVSEKEEALGE--VFNVGTGAPSSINDVLGIYESEMNIKPIIQFEESRKGDIKDSVADISKLKKI-GFSPNYSLDEGIAEYLKEEIQY--------- 300723405 ----KFLVTGSAGFIGFHVSQRLLNMGYEVVGIDNLNDYYDVKLKQARFEKLDLADRVATPELFAKHQFQRVIHLGAQAGVRYSIQNPMAYIDANIIGHINILEGCRHHHVEHLLYASSSSVYGLNKKQPFTADSVDHPISLYAATKKADELMSHSYSHLYQLPTTGLRFFTVYGP----------WGRPDMALFKFTKAMSEGESIDVYNHGNMVRDFTYIDDIVESIIRLQNIIPIRNENWSVEDGQIYNIGNGQPTKLGAFIEAIEVSLGVEAKKNFMEIQDGDVLSTCADSSALYDKIGFSPNTPVKEGVKRFVDWYLDFYQ------- 144125512 --DRPILVTGAAGFVGFHVARRLLHEGAAVVGFDNLNGYYDPGLKRARFVVGDLADRGAVERLFSEMRPTLVVHLAAQAGVRFSLDNPSAYVDSNLVGFLSILEGCRSADVAHLLYASSSSVYGGNTKLPFTADPVDHPVSLYAATKKANELMAHAYCHLYGFPATGLRFFTVYG--------RYGRPDMAYWKFSEAIQDGR--PIDVFNDGDMGRDFTHVDDVVESIIRPDFDPMRPNPATSWAPHRVFNIGARRPEPLMRMIAILERALGREAEKRFLPMQPGDVKETFADVADLEAAVDYAPRIDLETGLTDFAEWHKAW--------- 143568781 -TNMNFLLTGGAGYIGSHAALSLLDAGHSVHIIDDLSTGNESLIPKALFTKCNINNEEVISKLIQLNNFDVLMHFAGFIQVEESVKYPQKYFDNNTENATKLFETCKSNGLNKIVFSSTAAAYGVNENKLIDENTNLNPQNPYAESKIKTENFL--FENKNDYKFIILRYFNVAGADKKLRAGQISKRSTHLIKILSEVVVGKRDHIEIYGNDYDTPDGTAIRDYIHVSDLADIHLEVAKYLIENSESNLFNCGYGNGFSVLDVINTANKITQNKINYKFTKRRDGDVEKLIAETSKILKHINWKPKYDLSEIINSSIKWEEK---------- 465550 ----KYLVTGAAGFIGFHVSKRLLEAGHQVVGIDNLNDYYDVSLKQARFHKIDLADREGMTDLFASGHFERVFISPHRLAVRYSLENPHAYADSNLTGFLNILEGCRHNKIQHLLYASSSSVYGLNRKMPFTDDSVDHPVSLYAATKKANELMAHTYSHLYGLPATGLRFFTVYGP----------WGRPDMALFKFTKAMLEGKSIDVYNYGKMKRDFTYIDDIAEAIIRLQDVIPHADTQWTIAPYRVYNIGNSSPVELMDYIQALEDALGIEAKKNMLPLQPGDVLETSADTKALYEVIGFTPETTVKDGVKNFVNWYRDFYK------- 142551993 --SMKVLVTGSAGFIGSALTLRLLERGDKVVGIDNHNDYYDPALKEARHVRMNIEDREAMEKVFEEHQFQGVVNLAAQAGVRYSIENPSAYINTNLVGFGHVLEGCRHNNVGHLVYASSSSVYGSNTKMPFSHDNVDHPLSLYAASKKANELMAHTYSHLYNLPTTGLRFFTVYGP--------WGRPDMALFKFTKAILAGEKIQVFNYGNH--------RRDFTYVDDIVEGVIRVLDKPAQANTNRLYNIGNNSPVNLMDYIEAIESALEINAEKELLPLQPGDVPDTYADVEDLVREFDYKPSMSVKLGVKSFIDWYKEY--------- 218960972 ----KVLVTGADGFIGSHLTEALLKEGYKVRAL----SQYNSFNYWGWLEDGDVRDPNFCREISK--DIDVIFHLAALIAIPYSYIAPESYIETNVKGTLNICQAAKDNGVKRILVTSTSEVYGTAQYVPIDEKHPLQPQSPYSASKIGADAIAMSFYNSFKLPLTIVRPFNTYGPRQS---------ARAVIPTIITQIASGVKEIKL-------GDASPTRDFNYVEDICRGFILLVQCDKAIGETVNI--GSNYEISIKETFELIKDIMHSDVKLITDEQRISEVFRLWCDNRKIKALTGYEPKYSIKEGLEKTVEWFI-NPQNLAK--- 206895226 ---KRVLVTGGAGFIGSHLCERLLNEGNEVFCMDNLETGSIRNIPLFHFIQQDVIEPIELR-------VDEIFNFACPASPPRYQKDPVHTLKTSVLGALNLLELATNTGA-KIMQASTSEVYGDPAISPQPETYWIGPRSCYDEGKRCAETLFFDYGRQFGTKIKVIRIFNTYGPRMD--------PEDGRVVSNFIAQALKNEPLTVYGD------GSQTRSFCYIDDLIEGIMSMMQT--DESFSGPVNLGNPEEVTVLEVAKLVLELTCSKSEIEFRPLPQDDPKRRKPDITLARQTLGWEPTVKLKEGLITTIQYFRE---------- 148652060 ---KTWLITGVAGFIGSNLLETLLKLNQTVVGLDNFATGYSEQWKRFSFIKGDIRNFVDCQK--ACTGVDYVLHQAALGSVPRSLADPITTNEVNISGFLNMLTAARDAEVKSFTYAASSSTYGDHPGLPKVEDKIGKPLSPYAVTKYVNELYADVFARSYGFKSVGLRYFNVFGRRQD--------PDGAYAAVVPKWTAAMIKNDTVF----INGDGDTSRDFCYIENTVQANILAATTQSNEATNQVYNVAVGDRTTLNELFNAIKSALAYEQEPTYRDFRPGDVRHSQANVSKAKNLLGYEPKFNISQGIDEAMAWYVNN--------- 256815902 ------LITGGAGYIGAHVVRAMTAAGERAVVYDDLSTGLPGRVPDGPLVTGSTLDGERVVRALADHEVTGIVHLAAKKQVAESVSLPLYYYRENVEGLRVLLDAGASAGVRSFVFSSSAAVYGMPDVNLVTEETPCLPMSPYGETKLAGEWLVRAAGAAAGLATACLRYFNVAGAAR----PDLADTGVYNLIPMIFEKLTDGLPPRVFGDDYPTPDGTCVRDYIHVLDAEAHVAAARALRSAPGRNLTLNIGRGEGVSVREMIDRVNALTGHDRRPTVSPRRPGDPARVIASADRAAVELNWKARHDIDAMITSAWSWLRLHP-------- 92116637 ----TIFVTGGAGFIGSAVIRHLLHNTHRVVNIDKCTYAANLDSLPGTNENLNICDGTGLRHLFERYRPDAVMNLAAESHVDRSIDGPGEFIQTNIVGTFTLLQETLRHWTFRFLHVSTDEVFGLGDDGFFTETTAYAPNSPYSASKASSDHLVRAWRETYELPTLVTNCSNNYGP--------YHFPEKLIPHMIIKGLAGEPLP--------VYGDGKNIRDWLYVEDHAKALTLVLEHGL---VGETYNVGGRNERTNLHVVESICNLLDRRELITFVADRPGHDRRYAIDASKLERELGWRAEENFESGIEKTVRWYVD---------- 85375481 MMTKRILVTGAAGFIGAALCVRLASEGHRVFGIDNLNSYYDPQLKRDRFSQCDFADRASFANCLADLDISRIAHLGAQPGVRYSLENPHAYIEANIVGHLNMLEFARERQVEHLVYASSSSVYGGNEKVPFSVDDRDHPFSLYAATKKADELMSETYAHLYRIPQTGLRFFTVYGP----------WGRPDMMPWIFTAKILRGEPIPVFNHGKMQRDFTFIDDIVSGIVACLGSPPADDGTLKPGGSTIYNIGNNRPEQLLDVIAIIERACGRKAEIEMLPMQKGDVPRTYADIEAMERDHGYSPTTPVDVGFPRFVEWFKSY--------- 227882249 ----RILVTGGAGFIGSNFVHYVIEQDDEVVTLDALTYAGSKENLEGEFIEGDIRDEELVRDLVA--DVDTVVNFAAESHVDRSIEGAKPFVETNVQGTQTLLDAAKDSGIERFLQISTDEVYGQILDGKFSEDDPLNPRNPYSATKASADHLAKSFETTHDLPVLITRTCNNFGPR--------QHPEKLIPKFIKNASEGKSLP--------VYGDGSNVREWIYAEDNCR----ALDTVLREGEGEIYNIGSHAEKTNLEVTEAILDAVGADKAIEFVEDRAGHDQRYALETEKIES-LGWEPAYTFEEGLEETVEYYLE---------- 212694337 --KRNIIITGGAGFIGSHVVRLFVNKEYRIINLDKLTYAGNEDKPNYIFVKADICDFGTVQELLVRYQVDGIIHLAAESHVDRSIKDPFTFAQTNVMGTLALLQAAKLYWGKRFYHISTDEVYGEFDGTFFTEETKYQPHSPYSASKASSDHFVRAFHDTYGMPTVVTNCSNNYGP--------YQFPEKLIPLFINNIRHGKPLP--------VYGRGENVRDWLYVVDHARAIDLIFHQGRTAD---TYNIGGFNEWKNIDLIKVIIKTVDRECLITYVTDRKGHDLRYAIDSNKLKHELGWEPSLQFEEGIERTVRWYLDNQEWMD---- 135426027 ----NVLLTGGAGYIGSHVALSLLDSGHKVHIVDNLSTGNKILVPRANFTNCNINDQRIISDLIQSNNFDLLMHFAGYIQVEESVQNPKKYFANNTDNSIKLFNICKKNGLGNIVFSSTAAAYGVNENKLVDENTNLNPQNPYAESKIKTENFLIE--NQDEFNFIILRYFNVAGADKNLRSGQISKKSSHLIKILSEVVVGKRDHIKIFGNDYNTPDGTAIRDYIHVSDLADIHLEIAKKLLVNLDSNLFNCGYGNGFSVLDVVNTANKLYHNKINYKFSNRRDGDVEKLIAKTTKIMKYIDWKPKYDLKKIIDSSIKWEEK---------- 33865180 -SRRRVLVTGGAGFIGGAVVRRLLKESEIVFNLDKMGYASDEAVLRHQLQRVDLADAKAVREAVKAADPDLVMHLAAESHVDRSIAGPGVFIESNVTGTYNLLQAVREHENFRLHHISTDEVFGLGAEGRFSETTPYDPRSPYSSSKAASDHLVSAWHHTYGLPVVLTNCSNNYGP--------WQFPEKLIPVVTLKA--AAREPIPLYGDGL------NVRDWLYVEDHVDAL---LLAACKGASGRSYCVGGYGERTNREVVECICSHLDHARLITRVTDRPGHDRRYAIDPTRIETELGWKPRHDFDEAIAKTVQWYIAHY-------- 255534487 ---KNILITGGAGFIGSNLCDHFIAKGYKVTCLDSFITGHRRNLIQLMEHEGDIREIETCRK--ACEGMDYVLHQAALGSVPRSINDPITSNDVNVGGFLNMLVAARDAKVKRFVYAASSSTYGDSASLPKVEDVIGKPLSPYAVTKYVNELYADVFSKTYGIECIGLRYFNVFGRRQDPNGAYAAVIPKFVIQLMKHQ------------SPTINGAGDYSRDFTYIDNVIQMNERAMLTDNHDAVNTVYNTAVGDRTTIREMADLLKEYLSANIEILHGPVRTGDVPHSKASIEKAMNLLNYSPSHVFKHGLKEAVDWYWEYPR------- 46487618 ----KILVTGGAGFIGSAVVRHIINNTQDVINVDKLTYAGNENNERYKFEHADICDSVAIANIFAHHQPDAIMHLAAESHVDRSITGPADFIETNIVGTYILLEEARKYWAFRFHHISTDEVYGDLPHPLFTEQTSYSPSSPYSASKASSDHLVRAWRRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLIILNAIAGKLLP--------VYGNGEQIRDWLYVEDHARALY---EVVTKGVPGETYNIGGHNERKNIDVVKTICRILDEEQLIRYVSDRPGHDLRYAIDASKIKQDLGWVPQETFETGITKTIHWYLNNKEW------ 89896062 ----KILVTGGAGFIGSNFIFYELAKHDSIICVDLLTYGNLETLPAFKFIKADIADQQAIYTIFESEKPDIVVNFAAESHVDRSIENPALFLTTNVMGIQVLLDACRIFGIGRFHQVSTDEVYGDRPDLLFTEETQIHASSPYSASKASADLLTLAYSRTFKVPVSISRCSNNYGP---------YQFPEKLIPLMIANALNNKP-------LPVYGKGENVRDWLYVEDHCSAIDLIIRH---GKEGDIYNIGGNNERTNLEVVQTIIHELGRGR-IEFVKDRAGHDLRYAIDPSKIHRDLGWLPSTAFDEGIRMTIRWYLDNQRIISGEYQ 135331191 FKTKNFLITGGAGYIGSHVANLLLDKGHKVTIIDNLITGNKKLVPKRAKLYVDIADKKEVSKILMEQKFDIIMHFAGLISVEESLKKPKKYMTFNYEKAKKFIKICLNYNLNKIIFSSSASVYGNI-NKIASEKNELKPINPYAKSKKKFENFLISLSKNKKIKFVILRYFNVAGADANKRCGSTNSSSKNLIKLVCEAALNRKKKIILNGDNYKTPDGSPIRDFIHVTDLAEMHYISSKFIFDNKKSQIFNCGYGKGTSVKKVISSMEKIIKRKIPIAIGPRRKNDIVFSVSNVSKFNRFFKWKPKNSITKILINALAWEK----------- 142291012 MKKEKILVTGAAGFIGMHVCKALLNKKYEVVGIDNLNDYYDTDLKFFDFYKIDINHSKKLEKLFKTNNFNKIVHLAAQAGVRYSLINPFSYVESNINGFLNLLEMVKNSEIEHLVYASSSSVYGSNSLKPFNEDHNDHSLSLYAATKKANELMAHSYSHLFSIPMTGLRFFTVYGP----------WGRPDMALFIFCNAISNNLPINIYNHGNMQRGFTYIDDVVEGVIKVLEKPATPDSNFKGDNSRIFNIGNSKSENLMDYVFCLEKALDKKAQKNFMEIQPGDVISTHADTSKLQEWVGFKPSTDIETGIKEFVKWYKSYYE------- 144188243 ---KTILVTGGSGFIGSHFVRLLLQQDRRVVNVDKLSYGDLEGHPGYTFHQLDLGDEEALDAIFAKHLPDAVVHLAAESHVDRSIDGPDAFIRSNLNGTAHLLQAALAYWDFRFLHVSTDEVYGSPDQPACLEGSPYAPNSPYAASKAGADHLVRAWQQTYGLPTLLTHATNNYGP------------AQFPEKLIPTALLNGLRNEPI----PLYGDGQQCRNWLFVRDHCRALLRILE---NGQTGESYHTGGRDELANRELVVRVCRLLGYASLITRVADRPGHDRRYALDDTKIRQTLGWESETRLDEGLRETILWYLDHRSWWE---- 260174460 LENKVVLVTGAAGFIGANLVKRLLAESIKVIGIDSITEYYDVRLKYERLEELNIAKKEIVESAFTNYRPQVVVNLAAQAGVRYSITNPDAYIESNLIGFYNILEACRHYGVEHLVYASSSSVYGSNKKVPYSTDDKDNPVSLYAATKKSNELMAHAYSKLYNIPSTGLRFFTVYGP----------CGRPDMAYFSFTNKLLKGETIQVFN------YGNCKRDFTYVDDIVEGVVRIMQHAPEKKNGDDYNIGNNSPENLLDFVTILQDEYDFESHKKLVSMQPGDVPVTYADTTPLEQDFGFKPSTSLRVGLRKFAEWYAKYY-------- 140902583 ---------------------------------------------WGPLEIGDLQDRERIAAVLQEHRPEGVIHFASFAYVGESVTDPGKYWRNNVSGAINLLDALAAGCAPPVVFSSSCAVYGMSDADYLSETLPLSPINPYGNTKRAIEIMLSDYGAATGMRQMILRYFNAAGCDSDGEIGEDHAPETHLIPLALAAAANDRDQLSIFGTDYPTPDGTCVRDYIHVTDLADAHVRAVRQLLDGESSNVFNLGAGQGYSVREVVDAVGQAVGAAVPVQDADRRTGDPARLVADISHARDFLGWNPEHSLENIVTTAWNWYRSRRKNV----- 299139012 MASQTILVTGAAGFLGSHLCDALLAEGNDVLGVDNLCTGNPANLEHFRFEELDIC------KPFDLGRVDFVFNFASPASPVDYMRLGIETLLVGSAGTLNTLELAKKYGAGYL-HASTSECYGDPEVHPQVESYWIGPRSVYDEAKRFSEAAVAAYHRYHGVNTHLVRIFNTYGPRLQANDGR--------VISNLMMQALRGEALTIYGD------GSQTRSFCYCSDLIEGIV----RLAKSDEHLPTNIGNPVEWTILECAKEIQALVGSHCEIAFQPLPQDDPKQRKPDITKARTLLGWEPKVPLREGLAKSLDYFKA---------- 116071583 --TRTVLVTGAAGFIGAALSQRLLQQGDRVVGVDNLNTYYDPALKQARLRQIELEDGEALMELFSAEQPQVVVNLAAQAGVRYSLENPAAYIQSNLVGFGHILEGCRHHGVGNLVYASSSSVYGGNRNLPFDERQPVHPVSLYAASKKANELMAHTYSHLYGLSATGLRFFTVYGP----------WGRPDMAPMLFARAILAGEPIKVFNHGKMQRDFTYIDDIVEGVMRCDFDPLQPDPATAAAPHRVFNIGNSQPTELLRFIEVMEQALGCEAIKNFQPMQLGDVVATAANTSALEDWVGFRPSMPIEVGVDRFAQWYRSFY-------- 303230666 ----NIIVTGGAGFIGGNFMHYMVNREDTIICVDALTYAGNLSTLEYRFHHVDITDRISMRNIFEQYHPDIVVNFAAESHVDRSITEPDIFIKTNVLGTQVLLDLCKEFGTKRFHQVSTDEVYGDEPELFFTEETPIHASSPYSASKASADLLVLAYFRTFDLPITISRCSNNYGP--------YHFPEKLIPVMIINALHDKQLP--------VYGNGSNVRDWLYVLD----HCKAIDLIIRKGTGEVYNVGGHNERDNLTVVKTILSILGKPESISYVTDRPGHDLRYAIDPTKITTELGWVPEMSFEEGIAQTIQWFLNHEDW------ 114046905 ----RILVTGGSGFIGSALVRLLIQANCHVLNIDKLTYASHPDALIGQFVKADICDGARLDILFEQFKPNIVMHLAAETHVDRSIEGPAAFIQNNILGTFTLLEAARRYWTFRFHHVSTDEVFGLADTGLFSETSAYDPSSPYSASKASTDHLVRAWHRTYGLPIVITNCSNNYGP--------FQYPEKLIPLMVNHALQGKSLPIYGNGQ--------QVRDWLYVDDHVKALYLVATRGQL---GQTYNIGGCCERTNLAVVQQICLLLGFAALIEHVVDRPGHDIRYAIDSSKIQHELGWQPLESFESGLRRAVEW------------- 288793065 ----RILVTGGAGFIGSEYVRMLLAAGVPVTVLDKLTYSGNLANLRLRFVRGDICDPALVDEVVPGH--DVIVHFAAESHVDRSITGAAPFVTTNVLGTQTLLDAALRHGISRFVHVSTDEVYGSIDSGSWTEDWPLAPNSPYSASKAGSDLLALSYHRTHGLDVVVTRCSNNYGP--------YQFPEKVVPLFVTNLLDG--------GTVPLYGDGGNVRDWLHVHDHCRGVAMVQEKGRA---GEVYHIGGGTELTNRQLTERLLAACGAGWRVVPVTDRKGHDRRYSLDIGKISGELGYAPSIDLDRGLAETVRWYRDNRAWWE---- 136353122 MKNEKVLVTGGAGFIGFHLVKRLINDGIEVIAIDNLNSYYSVELKNSRLYEGDIEDYNFLEDIFVKYQPGIVVNLAAQAGVRYSIDNPNIFLKSNIVGFGNLLEISRKNKIKHLIYASSSSVYGGNHQLPYSENNVDHPVSIYAASKKSNELMAHAYSHLYSLPTTGLRFFTVYGP----------WGRPDMSYFLFTKSILEEKPIDVFNYGNMKRDFTYIDDIIESLIRVNFDRENPDISSSWAPHRIFNIGNSNAVQLKDFIEIIEEIIGKKALKNFLPMPKGDVEFTLADTNLLENYINFKPNTSLRYGLNKFYIWYKKFY-------- 136867964 -------VTGAAGFIASQVSKQLLDQDDQVIGVDNLNDYYDVRLKNWRLEQLDIEDQAELDDLFKAEGPDAVLNLAARAGVRYSMENPHVYLSTNAEGTLNLLECMRAQGCKKLVLASTSS-LYAGQKMPFTEDGVNEPLSPYAASKKAGELMAYSYHKLYQMDVSVVRFFTVFGP----------AGRPDMSPYRFIKWITEEETIQMFGDG------SQSRDFTYVDDIARG---TIAAIQDVGYEIINLGGGRNPVSLNTIISRLEELLGKKAKVDHKPFHVADLMETWADISKAKRLLDWEPLVSLNEGLEQSVQWYNDNRNWLKE--- 289549805 ----KALITGGAGFIGSHVAEKFSKEGIEVFVIDNLSSGFLDNIPFIDKEHIDVTDFNFVTELIKVYQFDYVIHLAAMVSVVETVEKPIESNQVNIDSTINLLEACRKWNSNKFIFASSAAVYGDLPELPKSVSSYICPLSPYAIQKFSGEQYVKIYNSLYNVPTSCLRFFNIYGPKQNPTSDYSGVLSILNNKFSHNQ------------TFTFYGDGKQTRDFVYIDDLVAALWMVLNHSCTNG--LIYNVGTGHQTTLNDVFKAFENSYGYSIPVRYEPPRVGDIKHSLADIKPLQQ-LGYTPQYTISTGIAAYLDYNKQH--------- 296114235 ----RVLVTGSAGFIGFHVARRLLTEGHEVIGIDGMTPYYDVALKRRRHHEIMLEDRAALARVVEGARISVIIHLAAQAGVRYGAHRPDTYIDSNVVGTSNLIDLARGMALDHFVLASTSSVYGPATDLPFIETERCDPLSLYAATKKATEGLAHSFSHLSGTPVTALRFFTVYGT--------WGRPDMALFLFVDAILRGHA--------IDVYGNGQMERDFTYIDDVVESVLRVLPCIPVRGDYRVVNVGNGQPVPLMDFVHAIETCLGRTARTNFMPMQPGDMQRTWADASLLEHLTGFRPKTSLRVGVARFVAWYRDYY-------- 212722178 ------------------------------------SRSRCAACPSRGVFQGDLRNTDDLEKVFAARRYDAVIHFAGLKAVGESVAHPEMYYENNLIGTINLYKSMKEHGCKKLVFSSSATVYGWPEVIPCVEDSKLQAANPYGRTKLILEDMARDYHRADEWSIVLLRYFNPIGAHSSGEIGEDPKGPNNLLPYIQQVAVGRLPELNVYGHDYPTRDGTAIRDYIHVVDLADGHIAALKKLFDSPDIGCYNLGTGRGTSVLEMVAAFKKASGKEIPTKLCPRRPGDATEVYASTEKAERELAWRAQYGIEEMCRDQWNWAKKNPYGY----- 280957499 ----KILVTGAAGFIGFHLSRALIAQGHEVVGLDNLNDYYDVNLKKARHVNISLEHDQPMSELFRAERFTHVVNLAAQAGVRYSIENPKSYIDSNVVGFLNILEGCRHNGVEHLVYASSSSVYGMNTRMPLPHEGVDHPMSLYAATKKANEMMAHSYSSLYALPTTGLRFFTVYGP----------WGRPDMALFLFTKNILEDKPINVFNYGKMRRDFTYIDDIVEGVVRVDWDGDKPDPCSSTVPFRVYNIGNNQVVELSRYIEVIEEVVGKKAIYNYLPMQPGDVPATEADVSDLVRDVDFKPNTTIEVGIRNFIDWYRDYY-------- 268325713 ----KAIITGGAGFIGSNLAEVL-SRDNEVIIVDDLSTGNEANIRGLEIEKGSVTDLDLLRKIFKGA--DYVFHQAAIPSVPRSIKDPVSTNEANVTGTLNVLIAARDCSVKKVIFASSSSAYGDTPELPKREDMNPNPLSPYAVTKLIGEYYCNVFDEVYDLKTVALRYFNVYGPKQD------PHSDYAAVIPKFIKRIQEGKPPIIYGD------GTQTRDFTSVDDVVSANILAAESDAK----GVYNVATGKRITINELANVIMAIMGRDLDPIHEKQREGDVLHSLGDITKAKKDFGYAPGDKLEENLKETVKWFRE---------- 254385129 ----RVLVTGAAGFIGSHFVRQLLSADAHVLSLDKLTYGNTENLPRHTFLHGDICDRPTVTQALR--GIDLVVHFAAESHVDRSITDSAAFITTNVLGTHTLLRSALEAGVSRFVHVSTDEVYGSIPEGSSAETDPLDPNSPYAASKASSDLLALAFHRTHGLDVRVTRCSNNYGPH--------QHPEKVVPLFVTHLLEGLRLPL--------YGDGLHRRDWLHVDDHCRGIAMVAGRGRA---GEVYNIGGGTELSNVDLTRRLLGVFGADWSVVDVPDRAAHDRRYCVDTRKITEELGWAPRVAFERGLAETVDWYRDN--------- 206601948 ME--RWLVTGGAGFIGSNFILAAREKRAEILNLDRLTYANLEELHSDPAYRGDIADRDLVRKTLDGFSPTAVFHFAAESHVDRSIEGPAIFLRTNVEGTFSLLEESRRYFNFRFVHVSTDEVFGSPSDPPFNEKTPYAPNSPYAASKAASDHFVRAWFHTYGLPVLTTNCSNNYGP--------FQFPEKLVPTAILSALKGRDVP--------VYGDGMNVRDWLFVEDHCHALFRVRERGV---PGETYNVGGGNEKTNLQMVSALLTLLDKKRPIRFVPDRPGHDRRYAIDGSRLRRELSWTPAHAFDQALEKTVDWYLDNEKALESRHE 134838847 -----VLVTGAGGFIGFHLTRKLINNGIDVIGFDNLNNYYDVKLKKDHFFKGNLSYYNDLKIIFEKYRPNIIINLAAQAGVRFSIENPSSYIESNLVGFNNILECCRHYSVNHLIYASSSSVYGGNTLLPFSEKHVDHPVSLYAATKKSNELMAHSYSHLFNIPTTGLRFFTVYGP----------WGRPDMALYLFTKAILSNKPIKVFNHGNMIRDFTYIDDFLLMPMYPSKNNYFDTNKPNPSESRILNIGNSKPIPLMEYINEIQISLDKKALIEYQDMQPGDVAVTSADTSLLENLINYKPTTSVKEGVKKFVQWFRDYY-------- 294780100 -----ILVLGGAGYIGSHAVDQLISKGYAVVVVDNLLTGHRSAVHEATFYEGDIRDKAFLRSVFEKESIEGVLHFAANSLVGESVEKPLMYFNNNVHGTQIALEVMQEFGVKHIVFSSTAATYGEPKAMPITEETPTNPKNPYGESKLMMEKIMKWCDNAYGMKYVALRYFNVAGAKKDASIGEDHTPETHIVPIILQVALGQRAELSIFGDDYDTPDGTCIRDYVYIEDLIAAHILALEYLKNGGESDVF---------------------------------------------------------------------------------- 260428727 ---RKVLVTGSAGFIGLHLCQRLIADGFEVIGLDAMTDYYDVTLKRAVHERVET--PGVLMDLFASETPDVVIHLAAQAGVRYSIEEPRSYLESNITGTFELLEAARAHPPQHMLLASTSSAYGANTEMPYRETVKADHMSFYAATKKATENMAHSYAHLYGLPITMFRFFTVYGP----------WGRPDMALFKFTKAILEDRPIDVYNHGDMRRDFTYVTDLVAAIDAVEAPVGEMDSLSPVAPHRVVNIGNSEPVQLTDFIDAIEAALGRKASRNLMPMQPGDVPATWADAELLKALTGYRPETRVREGVQAFVDWYLDY--------- 143244157 ---RNILVTGGAGYIGSNITEMLLSQKFNVIIVDNLSKGYRKLIKKAKFYKTDINKSEKIKKIINQNKIDSVIHLAALLDVNESEKKPKKYFKNNVLGTENILKVCKNTSVKNFIFSSTAAVYKDG-VYKVKENSPTKPKSIYGKAKLKAEKKIIQFLKNSKINYAILRYFNVCGASKSNRYGQI-NSYDLLFKNLAKAALKKKPIVNIYGNDYKTKDGTCIRDFIHVADITDIHIKVLKRINHLNKSVLLNCGYGKGLTVMQVVKEFKKVSKKNMKINIQSRRKADLGKIVADNRRLRKFIKWKPKYNLQFMVKSSLMWEKK---------- 239831366 ----KAIVTGAAGFIGFHTAQRLLDEGWQVVGIDNVNDYYPVALKEFRFAKADISDAAALTAAIGSDRDADVIHLAAQAGVRYSIENPSAYISANVQGQVTVFEALRLEKRPPVVYASSSSVYGANEKVPFSESDPDHPVSIYAATKRSGELLAYSYRHVHKLHSAGLRFFTVYGP----------YGRPDMAPWLFTSAILKGEPIRVFNNG------EMQRDFTFVDDIVSGVVGAVRRIIDKPEDTVYNLGNNRPVMLNDFIAAIEKATGKQAVRKLEPMPAADVPRTYADITLAARDLGFSPKTTLDQGIPLFVEWFRGY--------- 143732975 ---KNILVTGGAGYLGSHIVEILIKKKFKVFIVDNLITGHRRLIKKAKFFNLDINNLKKLRKIILENNIDSIIHLAALLDVNESQKKPKKYLFNNVNGSKKVLDACKNTLVKNFIFSSTAAVYKDG-VYKVKENSPLKPKSIYGKTKLKAENLIKKNLKKSNITYAILRYFNVCGASTSKKIGQI-NSYDLLFKNLSSAVLKKNPKINIYGNDYKTKDGTCIRDFIHVSDISEIHVKILNKINDLKKSIILNCGYGVGKSVLEVVKSFEKYTKKKIKVIYKPRRRADLSQIISNNKKLKKYLNWKPKHNLSLMVKSSIKWEQR---------- 32469256 ----RVLVTGGAGFIGSHYVRTMLAGGYRITVVDKLSYAGNRDNLPADHVQGDVCDLPLLLDVFPGH--DGLVHFAAESHVDRSVESAAEFVRTNVGGTQTVLDACVETGIERVVHVSTDEVYGSIETGSWTESRPLLPNSPYAASKAGADLVARSYWRTHRLDLSITRCSNNYGS---------HQHPEKLVPLFVTNLLEGLP-------LPLYGDGRNVREWLHVDDHCRAVQLVL---AHGGAGEIYNVGGGNEITNLDLTRRLVELCGADESIQPVADRKGHDLRYALDDGKIREQLGYEPLVPFDRGLADTVAWYRENPQWWK---- 45478498 ----KILVTGGAGFIGSAVVRHIIRYTRDVVNLDKLTYAADPASLRYVFEQVDICNRVEVDRVFTTHQPDAVMHLAAESHVDRSITGPADFIQTNIVGTYMLLEAARAYWAFRFHHVSTDEVYGDPDEPFFTETTSYAPSSPYSAAKASSDHLVRAWHRTYGLPVLVTNCSNNYGP----------WQNAEKLIPQTIAHALAGKPIPLYGRGD------QIRDWLYVEDHVRALLLVLTKGR---VGETYNIGGHNEKRNLEVVQTICDLLDYRDQITHVADRPGHDRRYAIDAGKIATELKWLPQESFESGLYKTVQWYLD---------- 228474127 ---KHILITGGAGFIGANFVVYFANKDYHLVNLDKLTYAGDEKASNYTFVQGDICDRALVESLFEKYHFQGVIHFAAESHVDNSIANPDAFITTNIHGTFTLLDVARHHWMERFHHISTDEVYGTGETGLFTETTPYAPNSPYSASKASSDMIVRAYFHTYGMNVTTSNCSNNYGP--------KQHKEKLIPTIIRKALQGEAIP--------IYGDGKNVRDWLYVLD----HCIGIDLVFHQGKGETYNIGGRNERNNLFIAGHICEILDQKRPISFVQDRAGHDRRYAIDATKIETQLGWRAQENFETGIVKTIDWYLSYFKDL----- 170747108 -----VLITGVAGFIGNQLALRLLEAGRPVVGLDSVNAYYDVRLKEARFARLDLADRDGLDALFRRHAFRTVIHLAAQAGVRYSLTDPHAYAASNLVGFLNILEACRHGGVGHLLYASSSSVYGGVTAMPFSVHNVDHPLSLYAATKKANELMAHSYSHLYGLPTTGLRFFTVYGP----------WGRPDMALYLFTRAILAGEPIRVFNEGRMLRDFTYIDDIVAGIQALAWSGAVPDPGTSSAPYRIYNIGNNEPVALLEMITLLEDALGRKAEKILLPMQPGDVPATYADIDDLVRDAGFRPATPLKTGIGHFVDWYRTY--------- 89096331 MHQKKIFITGCAGFIGFHLSKKLLEKGLPVLGLDTINDYYDQDLKYSRFTKGSLEDQRLLESLFTQHEPRIVVHLAAQAGVRYSLLNPHAYIQSNVTGFMNILECCRKYKIDHLLYASSSSVYGNNKTIPFSEDRTDEPVSLYAATKKANELMAYTYSHLYKVPATGLRFFTVYGP--------WGRPDMAYFRFAEKIVKKEPIEVYNYG--------NMKRDFTYVDDVTESIWRLMERRPEKSPFSIYNIGNSQPVELKEFIRVLEDKLGIPAIKIFKPMQPGDVQETYAKVDDLEKLINYKPVTTIDEGLEKFADWFKEFY-------- 46200742 MSPKKILVTGADGFIGSHLTEALVRRGHDVRAMVCYNSFNSWGWLDHSEPEGDIRDPFGVREAVKGC--DAVLHLAALIAIPFSYHSPATYVDTNVTGTLNVLQAVRDLGVGRLVHTSTSEVYGTARFVPITEDHPLVGQSPYSASKIGADQMAEAFWRSFATPVTILRPFNTFGPRQS---------ARAVIPTIITQLLAGRRKIKL-------GAVHPTRDFNYVADTVRAFIAAMEAEGIEGET--INAASNFEISIGDTARAIARAVGCDVEIECEEARKSEVERLWGDNAKAARLLGWSPRYGFDRALAETVEWFRD---------- 89095724 ------------------------EAGHSVIIADNLCNSKRETVKEITFFEIDVTDEQAVDEVFNKNKIDGVIHFAGLKAVGESVDIPLTYYYNNIVSTMILVKACQKYCVNRFVFSSSSTVYGDNTVPFVETMDLLSTTNPYGETKAISERILSDIAKANKFSVALLRYFNPIGAHESGLIGEAPNGPNNLMPYVTQVAKGKLAKLRVFGNDYPTIDGTGVRDYIHVLDLAEGHVAALDNLKE--GAHVYNLGTGQGTSVLELVKAFKEANSIEVPYEIVERRPGDIASCYADASKAKRELGWIAKRDIVAMCRDAWRFEKNY--------- 297196517 ----RILVTGGAGFIGSHYVRTLLDPDVTVTVLDALTYAGNRDNPRFTFVHGDICDAGLVDTLMPRH--DQVVHFAAESHVDRSIAGAADFVRTNVAGTQTLLDAALRHGPTTFVHISTDEVYGSIDIGSWPETDPLAPNSPYSAAKASSDLIALAHHRTHGLDVRITRCSNNYGPH--------QYPEKVVPLFITRLLTGRRVPL--------YGDGGNVRDWLHVDDHVRGIELVRTKGRS---GEVYNIGGGTELSNKELTALLLDACDADWSVDHVADRKGHDRRYSVDCRKITRELGYEPRTDFTDGLARTVAWYRDNRAW------ 160879360 --TKIYLVTGTAGFIGFHLAKMLLDQGATVVGYDNINDYYDEKYNNFTFMKGDLADKQAIDKLFIECKPQIVVNLAAQAGVRYSIEKPETYINSNIIGFFNILEACRHYGVEHLIFASSSSVYGANQKVPFSTYDKTDPVSLYAATKKSNELMAYPYSHLYGIPTTGLRFFTVYGP----------YGRPDMAYFSFTKSIMESKPIKIFNNGDMYRDFTYIDDIVKGIEQILCNPP--EQDENKIKYKIYNIGNNKPVKLMDCIELLEKYIGKKAIKEYLPMQLGEVYQTYADLCDLEEDFHFIPSTSIETGLSNFVEWYKK---------- 226314917 LRGKKILVTGADGFIGSHLVEELVRQGYDVCAFVNYNSFNSWGWLDHSPHEGDVRDPYGVKKAMTGY--DVVLHLASLIAIPYSYHSPDTYVDTNIKGTLNVLQAARELNIEKVVHTSTSEVYGTAKFVPITEEHPLQGQSPYSASKIGADQLALSFYNSFNLPVSIIRPFNTYGPRQS---------ARAVIPTIITQIASGEKAIKL-------GSIHPTRDFNYVKDTVNGFISVAKSEKSIGEVINI--GSNYEISIGETANLIAELMSVNIEILTEDQRKSEVERLWADNTKAKELLGWYPTYGFKRGLKETIDWFLKNYK------- 143407502 MQNNSILITGAAGFIGSFLVKKFLGSGYQVIGIDNINSYYDKNLKLKRLENINIEDKNHMRQIFLDSKPQIVVNLAAQAGVRYSIVNPSSYVKSNLLGFSNILELSQTHDVRHLVYASSSSVYGASKEYPFSEEQKVDPLSFYAATKISNEMMAHAYSNIYNLPITGLRFFTVYGP----------WGRPDMAPMIFADNILQHKPITVFNHGNMSRDFTFISDIIEGTYLCCLKVPSPNDDYKLGKHRIFNIGNGNPVKLLDFVELLEEALSIKATKVFENIQPGDVESTYASTQNLEDWIGYRPLVTIKEGVNKFANWYLDYYQ------- 217975412 ----KYLVTGAAGFIGAKVSERLCLLGHEVIGIDNLNDYYDVNLKLAHFIKLDLADREGIAALFARHAFQRVIHLAAQAGVRYSLDNPLAYADSNLIGHLTILEGCRHHKIEHLVYASSSSVYGLNQKMPFTEDSIDHPISLYAATKKANELMSHTYSHLYQLPTTGLRFFTVYGP----------WGRPDMALFKFTKAILAGEVIDVYNHGDLSRDFTYIDDIVEGIIRVQAKPPRPNTDWTSAPYRVFNIGNGSPVQLLDFITALEDALGIKANKNFLPMQPGDVHSTWADTSDLFDAVGYKPLMDINTGVAQFVDWYRQFYN------- 33240764 -----VLITGAAGFIGAALVKKLLSEGERVIGIDNINEYYDEYLKNWVFHKLGIENIDEIKEIFTKESPRIVVNLAAQAGVRYSLDNPHAYVQSNLVGFCNLLEMCRHYEVENLIYASSSSVYGGNTNLPFNETQAVHPVSFYAATKKSNELMAHTYSHLYDLPATGLRFFTVYGP----------WGRPDMAPMKFAKAILEGDPIQVFNFGRMKRDFTYIDDVVESIFRCNFDKSNPDPCTSFAPHRIFNVGNSQPTELDIFINLLEKSLSTKAIRDLLPMQPGDVVATAADTQKLKDWTGFSPSTSLEDGIQCFAEWYLSFYQS------ 154175190 ----KILVTGTAGFIGFHLANALAARGDEVVGFDNINDYYDVNLKFARFVKGDLQEARLLKRLFGEHKFDAVVNLAAQAGVRYSLINPQAYIDANVTGFLNILECCRHNATPNLVYASSSSVYGLNENMPFTHEGVNHPISLYAATKKSNEMMAHTYSHLFGVPTTGLRFFTVYGP----------------WGRPDMALFLFVKAALSGGTIDVFNYGKMKRDFTYIDDIVKGVMKCVDNPARSAPFKIYNIGNNSPVELMDYIKAIELKIGREINKNFLPLQAGDVPATYADVSDLIADFDYKPNTSVNEGVARFIEWYSEFY-------- 83309207 MSG-RILVTGGAGFIGSHLVDLLVSQGQAVTVLDDFSTGEAANLAEARVLTGTILDRDAVAA--AMEGCDRVFHLAVQ-CVRKSLGQPIENHDVNATGTLYLLEEARKRQVSRFVYCSSSEVYGNGRDSLLNEDTVCEPVTVYGAAKLAGELYAKAYHRTYGLPTVVVRPFNSYGPR------EHYKGQRAEVIPRFLIRVLNGLPPTIFGD------GSAGRDFTYVTETARGLAMAAQCDALVGREINI--AYGRMVTVKEVAESITRLCQRPDIPSYGPGRPGDVKALHADTALARSLLGFKAEIGFEQGLETYIDWFTRH--------- 189502421 ---KNILVTGGAGFIGANFIPYFLNKEYEIVNLDKLTYAGNLNNLRYHFVQGDITNRELVSSLFRQFDFQGIIHLAAESHVDRSIQDPTLFIKTNIEGTFVLLEAARLHWMQRFLHVSTDEVYGLGPAGFFTEETPYAPNNPYSATKAGSDLLVRSYVHTYGFNAITTHASNNYGP----------KQYPEKLIPIIIQRALAQQPIPIHGKGNAV------RDWIYVLDHCKGIDLTFHYGQI---GEHYNFGGNHEQNNLQIAYQVCALLDKLAPITFVTDRPGNDQRYALATQKAEKTLGWKAEEPFETGLQKTVQWYLKN--------- 136552941 ---KRIFITGIAGFIGFHLARALKKRGDHVIGCDHFNTYYNPLLKKKRAELLDIADSTLLEEVLRREAISDFVHLAAQAGVRYAVTHPESYVHSNLYGFTRILELVRRFEGISLIYASSSSVYGLNAKVPFSEDTTDRPANFYGATKKANEMMAHAYHHLYHIPCTGLRFFTVYGP----------WGRPDMAYYSFAKAIEEARPITVYGDGAM------RRDFTYIDDIIKGAVAAIDL---RSGCEIFNLGNHKPKSVLELIAILEKILGKKAEIRFEAASRGEVDTTFADIRKSEQLLKFQPTTTLEEGLARFVDWFQVHSK------- 126645607 ----KFLVTGTAGFIGFHVAKSLLERGDEVVGLDVINDYYDIDLKYARFVKEDLTEKDELLELFKTEKFDVVIHLAAQAGVRHSLTHPEAYIQSNIIAFLNILEACRFYPVKHLVYASSSSVYGSNEKMPFTSDSVDHPISLYAASKKSNELMAHTYSHLFEIPTTGLRFFTAYGP----------WGRPDMALFLFTEAIMKDEPIQVFNYGNMKRDFTYIDDIVEAQPNADFDPQNPDPGSGVAPYKVYNIGNSAPVLLMDYIHAIEKGLGKKAKMNLLPLQPGDVPASHAEVSDLIRDTGYKPETSVEDGVRAFTEWYQEYYK------- 307942001 LTSRKILITGVAGFIGFHLARHMLNAGWVVAGIDGLTDYYDVNLKRKRFHHNMLEDADKVQQVFEDFRPDVVVHLAAQAGVRYSLENPRAYIDANLVGTFNVMEGVRELKPQHFLAASTSSVYGANTVMPFTENQRTDHVTLYAATKKANESMLHSYAHLWKLPTTVFRFFTVYGP----------WGRPDMALFKFVKATLADEPIDVYGEG------NMQRDFTYIDDLVESIARLVDAVPQAGQPRVVNIGGGRPVGLMEFIEHMETELGKPAIRNMMPMQKGDVRETVASAELLEALTGYCPRTDVATGVRSFVKWYRDFYQ------- 22001238 ----RLLVTGGAGFIGSHFVRQLLAGAYEVIVLDSLTYAGNRANLRLRFVHGDIRDADLLARELRGV--DAVVHFAAESHVDRSIAGASVFTGTNVQGTQTLLQCALDAGVGRVVHVSTDEVYGSIDSGSWNESSPLEPNSPYAASKAGSDLVARAYHRTYGLDVRITRCCNNYGP--------YQHPEKLIPLFVTNLLDG--------GTLPLYGDGANVREWVHTDDHCRGIALVL---AGGRAGEVYHIGGGRELTNRELTGILLDSLGADWSVRRVADRQGHDLRYSLDGGKIERELGYRPQIAFADGLARTIRWYRENRDWWE---- 143275967 ----NILVTGGLGYIGSHLSLALDKKGYRVKIIDKETDNKKKFSSNITIHYCNIEHFDELDNFFNHNNIDFIFHFAGLKSVPESHKFPEKYYETNVRGTENLLNAFKNKNLKRFIFSSSASVYGKPRYLPLDENHSLQPLSPYGESKALAEEILKTFKRKDSWSFLCLRYFNPVGDKLNYFYKEKKPAYKNLFENISDVIEGKKEKLKIYGNDYETPDKTCIRDFIHIDDLISGHISAMTYMLKKNSPLWFNLGTGTGYSVLETIKTFENIFSTSIQYEYFGRRKGDIAISYSNPLKAKDILKWKSLYGLEEMVKS----------------- 254439602 ----KALVTGSAGFIGYHLCKHLLAAGFDVIGFDAMTDYYDVRLKERRQANLDRLEADGLMDLVAAEQPDFVVHLAGQAGVRYSIVEPRSYIDANIIGTFNLLEAVRATPVKHLLLASTSSAYGANTKMPYSETDKADTMSFYAATKKSNEVMAHSYAHLYDIPTTMFRFFTVYGP----------WGRPDMALFKFTKAILNGDPIDVYNHGDMSRDFTYVTDLVRGDDVPAPQVFDGDSLSPVAPHRIVNIGNGEPVQLMAFIEAIEGALGQPAAKNFMDMQPGDVPATWADGALLQKLTGYTPKTDVTTGVKAFVDWYRDYY-------- 157413786 MENNKVLITGGAGFIGGALIRKLLKENYNIFNLDNLSYSSDNTQKRYKFIKGDISNKETVENVIQETKPELIFHLAAETHVDKSIIYPENFILSNILGTFNLLESSLNYYKFKFIHISTDEVFGLPQNGFFNENTKYDPRSPYSASKASSDHLVKAWFHTYDLPCIITNCSNNYGP--------WQYPEKLIPNIISKAIQQKNIS--------IYGSGKNIRDWLYVEDHIDAILLIADKGL---PGKNYCIGGNNEKTNLDVAEKICNYLDHKSLIKFVKDRAGHDFRYAIDASLIKQELNWSPKHNFEDGLKNTIDWYLNNKSWL----- 251792406 -----ILITGGAGFIGSALIRYLIQTTDRVVNVDKLTYAANSDNPRYIFEQLDICDSNALARLFMQYQPDCVVHLAAESHVDRSIDGPSAFIQSNIVGTYSLLEAARHYYAFRFLHVSTDEVYGDGETTLSTETSPYAPSSPYSASKASADHLVRAWHRTYGLPTMITHCANNYGP----------YQYKEKLIPLMIANALDGKPLPIYGNGQ------QIRDWLYVDDHVRALYLVL---TQGRVGESYNISAHCEKTNLDIVHTICDLLEYRDLIVHVTDRPGHDIRYAIDAGKIQSELGWQPLEKFPSAMMKTVQWYLNN--------- 254421605 ----RILVTGGAGFIGSHLIDRLMSANHEVICLDNFYTGHKRNILRWMDIRHDITEPIRLE-------VDQIYHLACPASPVHYQYNPVKTVKTNVVGTLNMLGLAKRVKA-RFFLASTSEVYGDPEVHPQPEENPIGIRSCYDEGKRMAETLSFDYHRQNDVDIRVVRIFNTYGPRM--------LENDGRVVSNFIVQALSGQPLTVYGD------GSQTRSFCYVSDLVEGFIRLM----NSEHTGPINIGNPGEYTILQLAQTIQKMVNPDVEVQYRPLPQDDPKRRKPDITKAEKLLGWQPTVDLEAGLEKTIA-------------- 135832981 ----NILITGGAGYVGSHITEELVKKKDNIIIIDNLDTGYKCLIKRATFIKGDIRDKNLLNKIMLNHQIDTIFHIAANSNINEAENDKPKYHSNNIDGTKNLILATKNSTVKYFIFSSTCSIYGNVEGS-VSERKKPNPQNFYAYTKFKCEELIKKYSKEYGYKYGILRYFNVAGASDTGKIGEIEKSHGHLIKNIAVQSLKKRPIIDIFGCDYPTKDGTCVRDYIHVNDLSNIHIKGLNYLKNHDKSFVLNCGYGKGYSVLEIVDVFKKI-KNNLTVRHQDRRKGDVAQVYSNSNKLRKLFQWRPKHN------------------------ 136585049 -----------AGFLGSHMAALCLEKQVDVVVIDNLSNSDLSNLQKIPFFNIDIRDQDKLDQFFKDHQFGAVIHFAGLKSVAESVAHPDLYHENNVTGSQHLIDCIKEQSIKKVIFSSSATVYGNPKYLPIDESHPVQPFNPYGETKAQVEQLFINDEYFKTASATLLRYFNPVGSYKGIIGEKPNGIPNNLMPYILGVATGKYEHLNIYGDDYETEDGTGVRDYIHVMDLIEAHWAAL--LDKSKGCQVFNIGTGQGVSVKSMVIAFEKVNNVNISYQIQPRRAGDIATCYAAVDKIKNHLHWSAQFNLEDMCRDALK-------------- 213966020 ----RALVTGGAGFIGSTLVDRLLAEGYAVTALDDLSHGKLENLQQAEFITADVLEV-DWDELLERSRPEVIFHLAAQIDVRISVADPVRDATLNIIGTIKLADAARKHGVRKVVFTSSGSIYGTPEELPVSESVPVNPMSPYAASKATGELYLNMFRNLYGLECSHIAPANVYGPRQDP-------HGEAGVVAIFAQRLLAGEPTKVFGDGGNTRDYVYV---------DDVVDAFYRASGEVGGGMRFNIGTGVETSDRQLHSLVAQAADAEDNPEFAPPRTGDVARSALDPTRAREVLGWEPAMSIHEGVARTVEYFRN---------- 260430725 ---KRVLVTGTAGFIGFHLARLLLSEGFQVHGYDGMTDYYDVTLKQRRHQMLDLLNHDRLMQVAEEFRPDIIVHLAAQAGVRYSLENPRSYVESNVLGTFNVMEVARTHEVEHLLMASTSSVYGANEDMPFSEDKADHQLTIYAATKKANEAMGHSYAHLWDLPTTMFRFFTVYG--------NWGRPDLAYFKFTDAILHDRPIDIYNNGEMY--------RDFTHVDDLVRGIRLLIDAVPERPASRVVNIGNSDKVRLMDFIEAIEEAVGKKAIRNYMPMQMGDVPATWADTSLLQRLTGFKPQTDIRDGMKSFVAWYRDYY-------- 282891209 -TNKNIIVTGAAGFIGFHTTLALAQRGDHVIGYDNFNDYYSPQLKRDRCEEGDICDTSKLKQCVRANQATHFVNLAAQAGVRYSLTNPQSYVKSNLEGFVSVLEICKDHPGISLVYASSSSVYGLNRKIPFSEDRTDQQASLYGATKKANELFAQTYHHLYRIPVTGLRFFTVYGP--------WGRPDMAYSLFTKAILSGEPIEIYNYG--------KMQRDFTYVDDIVSGILAAIDR---EAQCDLFNLGHHEPVELLEFIRTLEEYLGRTATKIFKELQPGDVPETFADIRESTRHLNFVPKVGMREGLAKFLDWYRDYY-------- 120431552 ----RILVTGGAGFIGSHYVRSVLAADALVTVVDKLTYGNTDNLPIGDFVKGDICDVPLLRELLPGH--DAVVHFAAESHVDRSLVSAGEFAVTNVLGTQSLLDCCVLAGIGRVVHVSTDEVHGSIDTGSWDEAAPVDPRSPYAASKAASDHFALAYHHTHRLPVMITRCSNNYGP----------YQFPEKVIPLFVTNLLAGRPVPLFGDGL------HRRQWLHVSDHCDAVQRVLE---DGAPGEVYNIGGGAELTNRELTERLVALCGGPDSVRHVADRKGHDRRYALDDTKIRTSLGYRPRVDFETGLAEVVRWYRDNVEWWEPRR- 189500817 ----HILITGGAGFIGSHVVRYFVDTEYSITNLDKLTYAGNEDRSNYRFVRGDITDGAVMLELFEKELFDGVIHLAAESHVDRSIANPTGFVMTNVLGTVNLLNAARALWQDLFYHVSTDEVYGLGGEGMFTEETSYDPHSPYSASKASSDHFVRAYHDTYGLPVVISNCSNNYGP----------CQFPEKLIPLFINNIRNNKPLPVYGKG------ENVRDWLWVIDHARAIDMI---YHKGKQGETYNIGGNNEWTNIALIRLLCSIMDRKKLITCVTDRAGHDFRYAIDSSKLQRELGWTPSLQFEEGLEKTVDWYLENSTWLD---- 135004988 ----RVLVTGGAGFIGSAVVRRLLHQDLEVFNLDKIGYASDLTSIGDHPRHVDLACAEETDAAVRQADPDLVMHLAAESHVDRSIDGPGLFIESNILGTFNLLQSVRRHFRFRFHHISTDEVFGLGDQGRFSESTAYDPRSPYSASKAASDHLVNAWHHTFGLPVVLTNCSNNYGP--------WQFPEKLIPVVILKALAG--DSIPLYGDGLNVRDWLYVEDHVDALLLAATSGRIGDHYCVGGAG---DHGSPSERSNRDVVEAICSILDRASLIKRVSDRPGHDRRYAIDASKISRELGWKPKHSFEEGLKATVEWYLHNLDW------ 86138142 ---KRVLVTGTAGFIGFHLAKLLLDEGFRVHGFDGMTEYYDVALKQRRHAEAMLEDTQAFDAMADEFAPQVIIHLAGQAGVRYSLENPRSYLETNVMGTFNVMEAARRLKVEHLLMASSSSVYGGNDVMPFTELDRTDPLTIYAASKKANESMGHSYAHLWDLPTTMFRFFTVYGP----------WGRPDLAFYKFGDAILEERPIDIYNHGEMYRDFTYVDDLVRGIRDVVPQRPASKEEIEEGDSRVVNIGNSEKVRLLDFIDAIEISLGKKAIRNYMEMQIGDVPATWADATLLKKLTGYQPQTNFREGIDRFVTWFRDYYQ------- 301162532 LKGKTILVTGAAGFIGSNLVKRLLTNNIKVIGIDSITDYYDVNLKYKRLTEIDIADKALIENVFTENKIDVVVNLAAQAGVRYSITNPGSYIESNLIGFYNILEVCRHHEVAHLVYASSSSVYGSNKKIPYSTDDKDNPVSLYAATKKSNELMAHAYSKLYNIPSTGLRFFTVYGP----------AGRPDMAYFGFTNKLVKGETIKIFNYGNCKRDFTYVDDIVEGVVRVMRHAPERRTGEDGPPYIIYNIGNNQPENLLDFVTILQEEYDFEAHKELVPMQPGDVPVTYADTTPLVQDFDFKPSTPLREGLCKFAEWYNGYYN------- 143329936 -----------AGYIGSTAVAMLLEAGYQVKVIDDLSTGHADLVKDAEFIEGSILNIQDLDKALTGC--DSVMHFAAKSLVGESVAKPELYKSVNVDGSNALINQMRSHGIEKLVFSSTAATYGEPLKIPISESDSPKPKNPYGETKLAIDEMI----KNSGLSAISLRYFNVAGAFKSKEGWLAERHNPETHLIPNVLSATKEQPVRIFGTDWPTPDGTCIRDYVHVVDLIEAHIAALEK-LPKDKHEIINLGSGSGYSVREVIAAAEIATGHLIPAIESERRAGDPAVLIADISKANSFLGWQPSRDLKKMVIDTWH-------------- 205375018 -----ILVTGGAGFIGSHLVDHLLHRGEKVVVLDDLSMGSKTNLQDHIFIQGDLSHRLTIQEVFAQHSFKKVFHLGAIASVAASVEHPFETHQTNLEGTLYLLEEVRKVSIERFVFASSAAVYGDEPTLPKREKSEIRPLTPYAIDKFASEQYVLAYSRLYGIPTAATRFFNVYGTRQ-NPSSPYSGVISIITDRFKKLMNGEETIFTLFGDGKQT------RDFVYVEDVIQALTLVSENEEAIG--RVFNVGTGKETSLVDMISILEKITSTTLPIDQKEERAGDIKHSYSCIDDLESI-GFNPHYSMEAGLRKYWE-------------- 142229874 ---KKILVTGAAGFIGFHLSKKLISLGHEVIGIDNINDYYDVNLKHERFFKIDISNKDEMKEFGASVKFNVIVNLAAQAGVQYSLQNPYTYIDSNIHGFLNILELSKEHHIEHLIYASSSSVYGLNSSIPFREDAVDHPISLYAATKKSNELMAHTYSYLYNINTTGLRFFTVYGP--------WGRPDMALFKFTKAIIEGSVVKINNHGDH--------SRDFTYVDDIVDGILSVINKNPQSFKNTNVNIGRGQQVHLMDFVKNIENYFGKELKKDFQPLQPGDVPNTFCDTSRLELDYGYKPLVSIEDGVKNFLDWYVDFYN------- 110637252 ---KRILITGGAGFIGSNLTEALLNRSDVVRVLDNFSTGYQHNIHEYEFVEGDIRNYEDV--VKAVEGIEVISHQAALGSVPRSLKDPMTSNNANVLGSMNVFHAAKESGADRVVYASSSSVYGDDPGSPKEEDRLGNVLSPYAASKRSIELYAKAFSNVYPFRFIAMRYFNVFGPRQNA------QGAYAAVIPQFITALLNGQQATIFGDG------SQTRDFTFIDNVLQMNIKALSTDNADAFNRYYNVACGSTTSLNRVYAILAGCAGSDIKPHYTDPRQGDIKDSLANISLAQKHIGYKPEIQIEEGLIKTFDWFKKNQ-------- 142883178 MNNKVAIVTGGAGFIGSHVVDQLLASGFEVRVIDNFSGGHKNNLSHHDRDEIDVLDLPASSKIFDGVN--YVFHFAGRGDIVPSIERPYEYMTTNVLGTTRVLECARYAQVEKFIYAASSSCYGIA-STPTNESHKISPQYPYALSKYQGEQVCFHWQNVYGLPVNSIRIFNAYGPRVRT------TGVYGAVFGVFFKQKLAQKPLTIVGD------GEQTRDFVFVTDVAN---AFVLAALSKNKGQIYNLGSGSP----QKINLLAKLIGGE--LVFLPSRPGEPFCTWADITKIKKELGWVPSVSFIQGVKEMLQWQ------------ 260799292 -----------------------------------------------PFYSADLLDKPALQAIFDKHDIEAVVHFAGLKAVGESVAKPLSYYKVNVGGTTNLLEVMLEKGVYNFVFSSSATVYGEPQFLPITESHPAGAINPYGRTKYVIEEILKDLIAEKNLRVVLLRYFNPIGAHKSGTIGEDPSGPNNLLPYVSQVAVGRRPELSVYGSDYDTVDGTGVRDYVHVVDLALGHIAALKKLEDISGCKVYNLGTGTGYSVLQVVEAFEKASGKKVPYKIVDRRAGDSASVYADPSLAEKELGWKAERGLTEMCEDCWRWQSQNPQGFKKD-- 167033846 -----VLITGVAGFIGFHVARRLCEAGIDVVGIDNLNAYYSVELKLARLQRLDIANSADLQQLFARQAFSEVIHLAAQAGVRYSLDNPGAYGQANLVGFLNILEACRQQPPRHLIYASSSSVYGANAKLPFSIDDPVEPVSLYAASKRANELMAHSYAHLYRIPTTGLRFFTVYGP----------WGRPDMALFKFTRAMLEGRPIEVYNNGLMGRDFTYIDDIVES--IVRLRLKPPRPTEGQPPCQLFNIGRGQPVRLLQFVECLEAALGIKAQRDYLPLQAGDVLETWADVGSLARWIDYSPGTSLEHGVNAFVGWYRDFYR------- 88858128 --NKSVLVTGADGFIGSHLCELLVQQGYKVRALVQYNSFNHWGWLDNSPVTGDIRDPHFCKLITK--DIEIVFHLAALIAIPYSYTAPDSYVATNVTGTLNICQAAKENKVARVIHTSTSEVYGTAQYVPIDEKHPLQPQSPYSASKIGADMMAMSFYNAFELPVTIARPFNTYGPRQS---------ARAVIPTIITQIANGQKQIKL-------GDVSPTRDFNYVTDTCRGFLALAQSDATIGDTFNI--GSNFEISIHDTLNLIKKIMDVEFIIDEQRLRPKDVHRLWCDNSKITALTGFAPEISIEQGLSHTVDWFLDNLQKYKAD-- 261368339 FQNKTILITGAAGFIGANLAKRLLQGGVRVVGLDNVNDYYDVRIKEARFVRGNLADKALVTGLFEQYRPQIVVNLAAQAGVRYSILNPDAYVESNLIGFYNILEACRHSCVEHLVYASSSSVYGSNTKVPYSTDDKDNPVSLYAATKKSDELMAHAYAKLYNIPCTGLRFFTVYGP----------------AGRPDMAYFGFTDKLRAGKTIQIFNYGHCQRDFTYIDDIVEGVVRVMQKPPEKKNGPDYNIGNNQPENLLDFVTILQEEYDFEAHKELVPMQPGDVPVTYADTAPLERDFGFKPSTPLRTGLRRFAEWYKQFY-------- 254168058 ----KILVTGGAGFIGSNCIHYLLNKDIEIVNYDVLSYGSNEKDKRYKFIKGDIADREKLEEVIKSEEIAYIINFAAETHVDRSISSPLSFINTNVVGVATLLEMARKYDIDRLVHISTDEVYGDIVEGSFKEGDRLSPSSPYSASKASADLIVKSYVRTYGIDAVITRCSNNYGP--------YQFPEKLIPKTIIRALKGLKIP--------VYGTGKQVRDWIFVEDHCRGIDLVLQK---GSKGEIYNIASNEEKENIEVVRKILAYLGKEDMIEYVEDRPGHDVRYSLNTEKIRN-LGWKPVYNFDEGLKYVVEWYVKNEWWWK---- 85709754 ---RTVLITGSAGFIGYHLAQLLLDEGFRVVGYDGMTDYYEVALKERRCKVGMLEDFEALHSLAMDAKPDAIIHLAAQAGVRYSLENPRAYVDANLVGAFNVMECARELGVDHLMMASTSSVYGANEDMPFAEREKTHPLTLYAATKKANEAMAHSYAHLWNLPTTMFRFFTVYGP--------WGRPDMALFKFTRGILEGKPIDIYNEGEMY--------RDFTYVTDLVRGIRLLLDQPPVRPDSRDDNIGNSDKVRLMDFVEAIEAECGCKAVKNFMPMQKGDVPATWADARLLKELTGYAPETDIRTGVKHFVAWYRDYYQ------- 163792518 ----TVLVTGAAGFIGMHVAKALLDRGERVLGIDNLNAYYDPALKLARFDRLDFADRDAMAAFDAAHDIDRIVHLGAQAGVRYSIEQPFDYLQANLMGHLVMMEARVSRGLKHFVYASSSSVYGANREIPFSVDDRVDPMSFYGATKRANEAMSYSYASLYGIPTTGLRFFTVYGP----------WGRPDMSPWLFTDRILNGRPIEVFN------HGQMRRDFTYIDDIVAGVVAALDHPPESPPIATYNLGNNSPVALLDYIKVIEAACGKPAELIMKPMQPGDVLETYADIEASRRDLGYQPTTLIEVGIPRFVDWFKRY--------- 294676635 -AGRRILLTGTAGFIGFHLARQLLAEGWRVQGYDGMTDYYDVALKHDRHAEAMLEDQPRFDAVADAFRPEVIVHLAAQAGVRYSLENPRAYLDSNVIGTFSVIEAARRLQVKHLLIASTSSVYGANPKMPFAETDKADTLTIYAATKKANESMAHAYAHLWNLPVTMFRFFTVYGP----------WGRPDLALYKFVDAILDDRPIDIYNHGEMYRDFTYIDDLVRPVRPEDGIVPAGDSLSPVAPFRIVNIGNSDKVRLLDFVEAIEACLGKTALRNYLPMQKGDVPATFADATLLHSLTGYRPQTDFREGIARFVDWYRGYYK------- 163754604 MPKNAVLITGGAGFIGSNYIPYFLEQHQDVVNIDKLTYAGDQENLNYNFVEGDICNRTLLEELFEKYEFKAVIHFAAESHVDNSIKNPDAFIQTNIFGTFNLLDVAKKAWMCRFHHISTDEVYGTGETGLFTENTSYAPNSPYSASKASSDFIVRSYFHTYGMNVVTTNCSNNYGP--------KQHDEKLIPTIIRKAISGENIP--------IYGDGTNIRDWLYVLDHCKGIHLV---YQNGKAGETYNIGGRNERNNVYIANTICELLDKKAQITFVKDRPGHDFRYAIDASKIENELGWKAAENFESGILKTIEWYLE---------- 136261382 -----ILVTGAAGFIGFHLCSKLIKNGFEVIGFDNINNYYDQNLKKDRFYEGDLANKNDLKLIFNSLIFDSVINLAAQAGVRYSIENPAAYIDSNLVGFGNIIEESRLNKVDHFIYASSSSVYGGNKKLPFREDSVDHPISLYAATKKSNELIAHTYSHLFNLPTTGLRFFTVYGP----------WGRPDMALYKFTKLIANNKPITVFN------YGNMSRDFTYIDDVIESMFLLLNKPPEENKSFDYDNGNSKPTNLSEYITAIEKYLGKKSQIIYEDLQPGDVEATFAETSSLEDWIKFKPNTSIDEGVKKFVDWYLNYYE------- 86135155 ---KSLLITGGAGFIGSNFIPYFLEKDVKVVNLDLLTYGNLENLKEYDFVEGDICDRKLVESLFNKHNFDGVIHFAAESHVDNSIENPDAFVRTNVFGTFNIIDVAKNFWMHRFHHISTDEVYGTGDSGLFTEKTPYSPNSPYSASKASSDFLVRSYFHTYGMNVITTNCSNNYGPKQHN----------EKLIPTIIRKALSNDKIPIYGDG------KNIRDWLYVLDHCRGIDLV---YKNGKFGDTYNIGGRNERDNLYIANKICEILDYKNQISFVKDRPGHDFRYAIDADKIERELGWKAQENFESGIKKTIEWYLK---------- 143826367 ---KKILVTGAAGFIGFSVCKKLLDLGLEVYGLDNLNDYYDPRLKLAPFSKIDLADTNGLKNLFNSFKPNIVINLAAQAGVRYSLEDPHAYLNSNIIGFLNILENSKAHSIEHLIYASSSSVYGLNKVFPFSEDTVDHPVSLYAASKKSNELIAHTYSHIFRLPCTGLRFFTVYGP----------WGRPDMALYIFTKKILADEPIDVYGFGKMKRDFTYIDDIVEGIINSKWTGLDPEPSSSSAPWEIYNIGNNKPTELEYFISLIEKNLGKKAIKNYLDIQPGDVEETSADISRLNKLTGFIPSTRIEEGIPKFISWYKTFHN------- 134914926 --NMKCLVTGGAGFIGSHIVERLLRDGSEVVCVDNISNNRFHWYAAANNHKVDIRDYDKIRPLFDGV--DCVFHLAAHSRIQIAMNNPEECLDVNYIGTNNLLKCAAEAGVKRFVNSSTSSSYGLANIPPLKEDMPTDCLNPYSASKVAAEILCQMYSKLHGLSTVTLRYFNVYGPRQ------------PLKGQYAPVIGLFEEQKKAKTPCTIVGDGEQRRDFTHVSDVVEANMCAMQTNCD----GVFNIGTGKNHSVND----VAKMVNNPYNTIQIPARPGEARITLADNTKAKTLLGWEPKKELHE--------------------- 90410718 ----KYLVTGASGFIGSRVIEMLIHRKHSVVGIDNNNDYYDTCLKFARLERIDFSNKTPLDALFEEHEFDRVIHLGAQAGVRYSIENPMAYADSNLIGHLNILEACRNHKIEHLVYASSSSVYGLNDKTPFTSDSVDHPVSLYAATKKSNELMSHSYSHLYGIPTTGLRFFTVYGP----------WGRPDMAPFIFTKKILDGEAIDINNSGNMWRDFTYIDDIVEGVVRIADVIPMRNDEWKSAPYAVYNIGHGSPINLMEFISEIENALGIEAKKNYRGMQAGDVYKTYADTSDLFKATGYTPKVGVKEGVAAFVKWYKEFY-------- 302341590 ----KILLTGGAGFIGSHVAEAFLGQGHAVTIVDDLSSGRPENAPAGAELAVDIASPQA-AELMASGGFDVLCHHAAQISVPFSVEDPQADARVNILGLLNLLEAGRRGGLRRVIFISSGAVYGEIPDAPADEQRPALPLSPYAVSKLCGETYLAYYAANFGLEALTLRYANVYGPRQTP-------HGEAGVVAIFMNAIAAGRPPAIYRHPETPRGME--RDYVYVADCAQANVLAL-----SAPPGVYNIATGLATTTLDLWLAVRRAAQSDLGHSFGPARAGDLRRSVLDAAKAASILGWRPERDLAAGLAETWAWRRA---------- 225851277 ----KVLITGAAGFIGSHLCDRFLKEGFYVIGLDNFLTGSPDNIAHLFGEEFKFIKYDVTNYIYVPDDIDLVLHFACPASPVDYLQHPIHTMKVDSLGTLHTLGLAKAKKA-RYIFASTSEIYGDPQVHPQPETYWIGPRSVYDEAKRFSEAMTMAYHREHHIDVRIVRIFNTYGPRM-------RLNDGRVVPNFISQALRGED-------LTVYGDGSQTRSFCYIDDLVEGIFRVSVKEGIEGE--VFNLGNPDEYRIIDFAKIIIEKTGSRSGIVFRPLPEDDPRQRCPDITKAKEVLGWEPKVSLDEGLENTIQYFKN---------- 226949349 ------LVTGGAGFIGSNFIRYFLEKDFIVVNYDKLTYAGNEMLPTYRFVKGDICDSEEFNRTLKKYNPDYIINFAAESHVDRSISGPSVFGQTNFMGTLNLLQCAHEFWDKRFLQVSTDEVYGENDSDYFIEDSNLMPNSPYSASKAGADMMVRAFGRTYGLPVIITRCCNNYGP----------YQYSEKLIPICIIKALNDEPIPIYGDG------TNIREWIHVSDHCSAIIKALFYGT---PGEVYNIGSGEEVSNVDMAKIILSLSKPTDAIKKVNDRLGHDRRYALDSSKIKNQLSWACSYTLEEGIKETIEWYKNNQTWWND--- 15890828 ----RYLVTGTAGFIGFYVAKRLLDAGHFVTGFDGMTKYYDVSLKEKRHEIGMLEDTDALKRAAEAAEPEIIIHLAAQAGVRYSLENPRAYIDSNLIGSFNMLELARSLKVKHLMLASTSSIYGANEKIPFAESDKDEPMTLYAATKKSMELMAHSYAHLHKLPTTAFRFFTVYGP----------WGRPDMAPIKFVDAVSNGQPIDIYGQGNMSRDFTYIDDLVEGIVRLSAVIPSEENRVHHAPFRVVNIGGGQPVELMHFVETIEKAVGKPAIRNMLPMQQGDVPRTFASPDLLRALTGYVPQTPVEEGIKALVAWYR----------- 303244407 MKYKNILITGSAGFIGFHLSKYLLENDIQIIGIDNLNNYYNPILKEKRNDKMDFSNWHDLVNNLKNKDIDLIIHLGAQAGVRYSLENPWAYESSNILGTLNIYELARKLNIEKVVYASSSSVYGGNKKIPFSEDDNVDPVSLYAATKKSNELMAYTYHHLYGIKMTGLRFFTVYG--------EYGRPDMAYFKFAKKIISNEPIDIYNYG--------NMERDFTYISDVVDGINSAIKKDF---DYEIFNLGNSRPIKLMYFVELIEQYLNKSAEKNFLPMQDGDVLRTYADLSKSRKLLNYSPKVSIEEGLKRFCNWFLENKEWL----- 294055527 ----NILLTGCAGFIGSHTLDRLLAGGHNVIGIDNFDPFYDRSLKTANIVEGDLADPATYQKLKFIAEIDGIIHLAAKAGVRPSIEDPVGYQRANVIATQNLLEFARENQIKQFVFASSSSVYGVNPKVPWSEDAVLLPISPYASTKVSCELMGHVYSHLYGIRVLGLRFFTVYGPRQRPDLAIN----------KFVRLIEAGEPIPVFGDG------STRRDYTFIDDIVDGILGSLHYQGS--NYEVVNLGNNQTVTLSEMIATIEDVVGKPAIIDRQPEQPGDVPQTWADVSRAKALFGYQPKTSFRDGIAAFYDWWR----------- 46200716 MTPTHSLITGGAGFIGSHLVDRLLAEGHRVTVIDNCSTGRPDHVKGHPMHWLDINDRDAIGPLFQGV--DRVFHLAALADIVPSIQNATDYHHANVDGTFAVLEAARHAGVKRFIYTASSSCYGIPDVTPTPETAEMRPMYPYALTKMAGEFYAMHWAQCYGLPVVSLRLFNVYGPRSRT-------------SGTYGAVFGVFLAQKLAGKPYIVGDGNQSRDFTFVTDVADAFFTASESNLT---NVVMNVGSGGHYSVNRLVELL------GGDKTYIPKRPGEPDITFADTTRIHDLLGWKAKVSLEEGVKDNIDYWREAPVW------ 135345609 -SNNKILVTGCAGFIGFHFSRSLRKKNYQVIGIDNLNNYYDRNLKLDRFIKSDISEYSKIEKIFKKYKFSHVVHLAAQAGVRYSLKKPEEYTKSNLVGFFNILECCRKYKIKHLIYASSSSIYGGNKKLPFSEKDLTNPIQFYAATKLSNELMAYSYSSLHKLKTTGLRFFTVYGP--------WGRPDMAFFSFTKKILENKFLEVYNYGNHL--------RDFTYIDDVVEGVKKILFVRKNIGNFQLFNLGFGKPIKLLEFIKILEKTIGKKAKLKYVAKQKGDMKKTFSSINKMKKIYNYYPKIKPSIGLKQFVNWYLKYYK------- 295102850 --GKTVFITGASGFIGSNLAKRILEPDTKVIGLDNMNDYYDVRIKEARFIKGNLADKALINSIFEQYHPDIVVNLGAQAGVRYSITNPDAYIESNMIGFYNILEACHHYPVEHLVYASSSSVYGSNKKVPYSTDDKDNPVSLYAATKKSNELMAHAYSKLYNIPSTGLRFFTVYGP----------AGRPDMAYFGFTNKLVNGETIKIFN------YGNCKRDFTYVDDIVEGVVRVMAKAPEKKNGEDYNIGNSNPENLLDFVQILSEEYDFEAHKELVPMQPGDVPVTFADTEPLERDFGFKPHTPLREGLRKFAQWYKEFY-------- 42526949 ---QNILVTGGAGFIGSNFIRTLLKKEDRIINLDALTYAGNAASLADMFIHGNICDKEIINSIFTEYNIDTVVHFAAESHVDRSILGPEVFLKTNVLGTFNLLETAKQFWKKPFHHISTDEVYGLGTEGYFKETTAYDPRSPYSASKASSDHLVKAYFHTYGLPATISNCSNNYGP--------FQFPEKLIPLMILNMLEGKNLP--------VYGDGKQIRDWIHVEDHNEAVRLILKNRAGKTYNIGGENEWENIKLLNKLIQIVCKKTGLEKTITHVTDRLGHDRRYAIDCTKIKNELNWKRNFDFETGLENTVDWYLNNKKWIEN--- 300710052 ----NILITGGAGFIGSHLVDALVAD-HEVTVLDDFSSGRRSNVHDATVVEGDVRDEQTIAE--AAGDVDVIFHEAASVSVERSVAEPEYSHAVNVDATLSLLETARKRDA-RCVLASSAAVYGEPASVPIPESEPLAPTSPYGIEKTSIDQYARVYNELYDLPTVALRYFNVYGPRQTA-------GDYSGVISTFLDQARANDPITVHGDG------TQTRDFVHVEDVVRVN---LLAMETDHVGEAYNVGTGDTVTIAELARAVREVVGSDSEIVHTEGRAGDINHSCAEITKARERLGYEPTVPLADGL------------------- 11497937 ----RILVTGGMGFIGSNFVRYLAHHQVEILNLDALKYGSNPENLKDLAEKGDINDYELVSDLIK--KVDAVVNFAAESHVDRSISSPYAFIESNFLGVYTILEAVRKCNPERLVHVSTDEVYGDIEKGSFTEKDGLMPSSPYSASKAAADMLVLGYARTYNLNASITRCTNNYGP--------YQFPEKLIPKTIIRAKMNLSVP--------IYGTGMNVRDWIYVEDHCRAVWEVMEKGERR---EVYNISSGEERTNLEIVKTILEIMGKDESIEYVEDRPGHDVRYSLDSWKIMRELKWRPKISFEEGIRRTVEWYLQNERPLADER- 297544168 ----KILVTGGAGFIGRWVVKKLLEDGHEVWILDNLSNGRRENILLKDFIIGDIKDNELLTDIFKN-KFDICYHLAASINVQDSIDDPKTTFYNDTVGTFNVLEQARKHN-TKITFMSTCMVYDRATDESITEDHPTKPASPYAGSKIAAENMVLSYWYTYKLPVVVVRPFNTYGP------FQKTNGEGGVVAIFIKNALEGK-------TLNIYGDGTQTRDLLYVEDCARFVVEAG--YSDKTNGEIINAGSGRDISINDLALLIAKDEKLIKHVPHIHPQ-SEIQKLLCNYSKAERLLGWKPQYTLEEGIKKTREW------------- 135522004 ---KKVLVTGGAGYIGSHTVIELYHSGYMPIIIDNLCNTSERNLIGIPFHKIDCTDLNEMKNIFEIYKIYACIHFAAYKSVGESVENPQKYYKNNIGSTEVLLKCLEKNKFKNLIFSSSCTVYGMPDILPVNEDAFKKAESPYGETKQECEILI----RKNLCNSISLRYFNPIGSHDSGLIGDCSDNANNLVPIVTEVACGIRDKLIVNGNDYNTHDGTCIRDYIHVQDLAVAHVKALDFILDNKVKDVFNVGTGKGLSVLDIINNFQEANDLKLNYEFGPRREGDIEKIYSDGNKTKNILGWSSNKTTKEALISAWNWQ------------ 296124024 ----TVLVTGGAGFVGSHICDRLIERGDKVICLDNFFTGRMANISHLKDHQFQLVDHDIVHPI--TLDADRIYNMACPASPVAYQYNPIKTIKTSTLGMINMLGLAKRCKA-RILQASTSEVYGDPVVHPQTEDYWLGPRSCYDEGKRVAESLCMNYHLAHQLEIRIVRIFNTYGPRMD--------PNDGRVISNFITQALKGEPLTVYGDG------SQTRSFCYVDDLVRGIMALMDQGIHTGP---VNIGNPGEYTMLELAEQVLKATGSKSTIDFRPLPQDDPKQRCPDITRAKAMLKWEPQIPLAEGLEKTVHYYRQ---------- 153004763 ---KRILITGGAGFIGSTIADLFLEAGWDVAVLDDLSSGKRESVPAARFYPVDVRSAAALE-VLKKERPQVICHQAAQIDVRRSMAEPRFDADVNVGGLLNLMQAVEAKSVEHVLFASSGATYGDTDRVPTPEDHPQLPVSHYGAAKAASELYLNVYRANYGIPFTALRYSNVYGPRQDP-------HGEAGVVAIFCGRLLEGRPCTIFGD------GSQTRDYVFAGDVARANLLAAEKRYD----GPLNVGTGVETDVNELYAHLARAAGSDRPAEHAPARLGEQKRSCIDPSRAGAAVGWRPEVRLADGLRRTFEWF------------ 91201875 ---KKILVTGAAGFIGYYVSKKLLASGFNVTGIDNINDYYDTTLKHDRVKQLDIIDKDALLLIFKKEKFDGVINLAAQPGVRYSLINPHAYIDSNIVGFINILEGCRQNNVQHLVYASSSSVYGKNTKIPFSEHHNDHPASLYAATKKANELMAHTYSGIYNIPCTGLRFFTVYGP----------WGRPDMAYFLFTKAIIEGKPINIFNHGKMKRDFTYIDDIVEPCKNPDWDGENPDPATSNAPYRVYNIGNNKPVELLRFVAILEEYLGKKAVKKMLPMQPGDVPVTYANVDELIKDVGFKPATPIETGLKKFTDWYKWYFN------- 226227352 --SKTVLVTGGAGFIGSHVADRFVAEGWSVTILDDLSSGREENIPAARFVRGDITSPEA-ATLVRDGRFDVMCHLAAQIDVRRSVLDPAYDATRNILGTLNLMEAIRTSHATRTVFSSTGALYGDFDPPPSAETFSKDPEAPYGIAKLSVEYYLAYYGRVHGLDTVALRYGNVYGPRQDP-------HGEAGVVAIFCNRLLDGRPLTVFGD------GEQTRDYVYAGDVAAANFAAATGALPPRDARAFNIGTGVETSVNTLAETLRAVSQASAPIEYAPARPGELARSALDTAKAQSVLGWKPAVSVRQGLENTYAFF------------ 296531722 ----RILVTGGAGFIGSALVRRLVEQVEAVLVVDKLTYAGERRSLRDCEGRADIADAAAMAQAFAEFRPDAVMHLAAESHVDRSIAGAAPFIHTNIVGTFTLLEAARAYWAFRFLHISTDEVFGLGPTGYFTETTPYAPRSPYSASKAGSDHLVAAWHETYGLPTLMTNCSNNYGP--------YHFPEKLIPLTILNALEGK--------TLPVYGKGENVRDWLFVDDHVRALITVLR---QGKVGQTYNVGGNSERQNIEVVHAICDTLDRLRPVSFVADRPGHDARYAIDASKLKRELGWEPSESFETGLEKTIAWYLENAWW------ 152984520 ---KKVLVTGADGFIGSHLTELLVGEGYEVKALSQYNSFNYWCLKEIEVLNGDVRDPHYCKKITK--DVDVVFHLAALIAIPYSYVAPDSYLETNVKGVINICQAALENGVQRVVHTSTSEVYGTAQYVPIDEKHPLQPQSPYSASKIAADAMAMSFFNAFDLPVTIARPFNTYGPRQSA----------RAVIPTIIAQIAKGMKQIKLGDVFPT------RDFNYVIDTCRGFLELARCEKAIGETVNI--GSNYEISVGDTLKLIRELMGSDVEFVTDDQRKSEVFRLWCDNSKIHELTGFEPTYSIREGLQETINWFVR-PENLAK--- 169627607 ----RAMVTGAAGFIGSTLVDRLLADGHEVTGLDNLATGKAEANAKFTFVKDDIVE-ADLDAVVAEYSPEVIFHLAAQIDVRHSVADPQFDSSVNVVGTVRLAEAARKAGVRKIVHTSSGSIYGTPKQIPTSETVPTDPHSPYAAGKVAGEIYLNTFRHLYGLECSHIAPANVYGPRQDP-------HGEAGVVAIFAQALLSGRSTKVFGDGGNT------RDYVFVDDVVEA---FVRASGPDGGGQRFNVGTGVETSDRQLHSLVAAAAGAPDDPEFHPPRLGDLRQSCLDVGLAKRVLGWEPRVPLADGIRRTVDFFR----------- 119962227 MAMQRILVTGGAGFIGCNFVHYIMEHTRHVTVLDKLTYGNIESLSGLPFFEGDICDAAMVDSLTSSV--DAVVHFAAESHNDNSLAEPRPFLDTNLLGTFTLIESARRHDI-RFHHISTDEVYGDDDPHRFTEESVYRPTSPYSATKAGSDMLVRAWVRSFGLRATISNCSNNYGP---------YQHVEKFIPRQITNILDGDRPRL-------YGKGRNVRDWIHVEDHSSAVLAILERGR---VGETYLIGADGERSNREVVEMLLALAGQPRDYDLVTDRPGHDLRYAIDSSKLRRELGWAPRYSFDAGLADTVQWYRDNEHWWRPQK- 89889754 ----KILVTGGAGFIGSNLIEYLLNNTDHIYNLDKLTYAHNYHHDRLTFIKGDICDTAFIQHLFQEHQFDKVYHLAAESHVDNSIENPQVFIETNVNGTFNLLHAAYHLWMPRFLHISTDEVYGLEDDGFFTEKTPYAPSSPYSASKASSDHLVMSYVHTYGLPAVITNCSNNYGPR----------QHDEKLIPVVIRKALSGEPIPIYGDG------RNVRDWLYVTDHCQALHTVLE---NASIGENYNVGGKNEIRNIDIANHICEILDTVMQITYVKDRPGHDYRYAIDPSKIEKDLQWQPAETFKSGIKKTVEWYLKKYNS------ 302384372 LAGQRVLVTGAGGFIGSRLCERLVADGAEVRALVRYTSDGDAGWLDRSPIRKDIADLADRDSVFAAVRDDVVFHLGALIAIPYSYEAPESYVRTNILGTLNVLQAVRELSVGRLIHTSTSEVYGSAQTVPMTEAHPLVGQSPYSASKIGADKLAESYHRSFGTPVVTLRPFNTFGPRQS---------ARAVIPSITMQLLA--------GRTIRMGDTRPTRDFVFVDDTVDAFVRAATASGIEG--LTIHFGGGREIAIGDLPALIGAAAGLPVSVEIDPQRASEVERLIADASLARQRLGWQPRVSVEEGLARVVAFIRDHP-------- 163849948 -DGRHVLVAGGAGFIGSHLVDALLARGARVVALDSFLTGRRDNLAHLARERFELVEADVTRPLPPLPRFDRIFNLACAASPPHYQADPMHTMMTSVVGTNHLLE-RANDDGARFLQASTSEVYGDPEVHPQTESYWTGPRACYDEGKRSAETLAYDFERVHRLDVRVARIFNTYGPRMRA--------DDGRVVSNVVCQALAGEPITVYGNG------EQTRSFCYAADLVEGLMRLMDHEISPGGP--VNLGNPCEMTVAELVDLVTRMTGTRSAVVRRPLPVDDPQRRRPDITRAETLLGWSPQVPLEQGLEATIAWFAK---------- 136870664 ----KIIITGGAGFIGSHIVDACIHSGHAIEIIDDLSTGSSENVPRDPIHRIDIRDTNAVTEIFKTFQPDTVCHQAAQLSVSRSIREPFLDADINCLGLLSVLDAAVAAGCKRMVFASSGGVLYGDVTAPASETAPANPLSPYGITKWAGEKYLDFYAREHGLTSVALRYSNVFGPRQN------PHGEAGVIAIFCKQFLNGNAAR-------INGDGKYVRDYVYGPDVAAANLAAIEFAPGKVVSLNIGTGIGTEMKLRTHMGLLRKSTGEKKFPEHGPERVGDLRSNLLDASLASNVLDWKPTHSLDEGLEATSRWF------------ 144898173 MKRKRVLVTGGAGFLGSHLCERLLADGHDVLCVDNFYTGSKDHLIGNPYFELIRHDYLEVDEIFNLACPASPVHYQ---------RDPVQTTKTSVHGAINMLGLAKRINAKIFQ-ASTSEVYGDPEVHPQTEDNPIGPRACYDEGKRCAETLFFDYHRQHGLRIKVARIFNTYGPRM--------HPDDGRVVSNFIVQALEGRPITLYGDGSQTRSFCFVDDLIEGFIRLMNSADDI--------TGPINLGNPQEMTIRELAEAVIKLTGAKSELVIKPLPADDPLQRQPNIAKAREKLGWEPKVALEDGLHRTIDYFRA---------- 150400847 MKYKNILITGSAGFIGFHLSKYLLENNVQVIGIDNLNNYYNPLLKEKRNDKADFSNWNDLENNLKNKDIDLIVHLGAQAGVRYSLENPWAYEKSNLLGTMNIFELARKLNIEKVVYASSSSVYGGNKKIPFSEDDIVDPVSLYAATKKSNELMAHTYHHLYDIKMIGLRFFTVYG--------EYGRPDMAYFKFAKKILSNEPIDIYNYG--------DMERDFTYISDVVDGIISSIEKDF---DYEIFNLGNSRPVKLMYFVELLEKYLNKEAEKNFLPMQDGDVLRTYADLNKSSKLLNYNPKVSIEEGLKRFCNWFLENKEWL----- 256819544 ----KYLITGGCGFVGSNLAQEVLKRGEELVVLDNLFRYGSAQNLDWLRTQGDIRNTNDVETVIKKEQPDIVFHLAGQVAMTTSIENPRLDFEVNAMGTFNLLDAIRKYCPNAILYSSTNKVYGDFANLTFPETYPLDFHSPYGCSKGTADQYLLDFHRIYGLKTLVFRHSSMYGSHQ-------HATFDQGWIGWFVQKAIEIKQNTNQGLFTISGNGKQVRDVLYAEDVVNLYFQGVKYEQAKGQTFNIGGGIENSLSLLELFAMLEKLLGIKMQYKELPFRESDQLVFVADNTKAQKILQWQPKMTSEQGIEKMVEWVKK---------- 224369406 ------LVTGAAGFIGSNLVETLLKLDQQVTGLDNFSTGFQHNLQRFSFIKGDICDPSTCES--ACVNQDYVLHQAALGSVPRSVEDPLTTNANNITGFLNMLVAARNAKVRRFVYAASSSTYGDHPGLPKREDEIGKPLSPYAVTKYVNELYADVFASTYGFKTVGLRYFNVFGRRQD--------PRGAYAAVIPLWFASLIKEEEVF----INGDGETSRDFCYIDNTVQANLLAALAPDEDAVNRVYNVAFGERTTLNQLFSMIRDVMERYPNIVYRDFRPGDVRHSLADISRAKNLLGYDPQFSVAQGLDLAAEWYMEN--------- 10800781 ----RILVTGGCGFIGSAVVRRLIDQTHEVLNVDALTYAAAAQSGRYRLEVANIIDAASMERIFAEFSPDAVMHLAAESHVDRSIDGPGTFVSTNIVGTYTLLEAARKWWAFRFHHISTDEVFGAPGDPPFTERTPYDPRSPYSASKASSDHLVRAWYHTYGLPTDDRNTTNNYGP--------WHFPEKLIPLVTINAIEGRELP--------VYGTGQNVRDWLFVEDHAEALVRAVECGQ---PGETYAIGARQPRTNMQVVETICTILDELHPIRHVTDRPGHDFRYEIDPTHAEQALGWHARLDFEHGIRRTVQWYLDN-RGLVGKH- 212703423 -----ILVCGGAGYIGSHAVHALAAAGQEVVVVDNLQTGHAAAVAGKAFVQGDIRDAACLDDIFRRFRIDGVMHFAADSQVGESMVNPLKYFNNNVGGMQSLLEAMVRHGVGRIVFSSSAAVYGEPDSVPISEDAPTRPTNPYGHSKLMMEAMMRWVSAAHGIRYVSLRYFNVAGALADGSIGEDHSPESHLIPLVLQVPLGQRPHITIFGDDYATPDGTCIRDYIAVTDLVDAPC------------------------------------------------------------------------------------------------- 294632119 ---RRALVTGGAGFVGSHLCARLLDAGTGVVCLDNLSTGELERRRGFRFVHADATDPGAVRGL--PGDFDLVLHFACPASPADYLRLPLETLEVGSAGTRNALERARADGA-RFLLASTSEVYGDPLEHPQRESYWVGPRSVYDESKRFAEALVTAHRQVHGTDTAIVRIFNTYGPRM-------RLGDGRAVPTFIAQALDGAP-------LTVAGDGGQTRSLCYVDDTVDGVL----ALAASGESGPVNIGGGDEITVLELARRIVELTGSRSRIRFVDRPVDDPGRRRPDTRLAREALGWQPRVDWTEGLARTIGWFTR---------- 159232400 ----RILVTGGAGFIGSRFVNALLEFGKEVRVLDALTYAGNLANLRLRIFPGDIRDRGAVTQAMAGV--DLVVHFAAESHVDRSIDDADAFVRTNVLGTQVLLQEALAVRPGLFVHVSTDEVYGSIEEGSWPEEHPLNPNSPYAASKASSDLLALAHHRTHGLPVCVTRCSNNYGP--------YQYPEKIIPLFTSSLLDG--------GTVPLYGDGGNRRDWLHVDDHCRGIALVAR---GGRPGEVYNIGGGTELSNVELTERLLKLCGADWSVRRVPDRKGHDRRYSVDYTKIADELGYAPRITIDEGLERTVHWYRENRAWWA---- 289619836 MAVGTVLVTGGTGYIGSFTTLALLENGYDVVIVDSLLNSSQAAVKRPTFYQVDITDEAGLDNVFSKHEIDSVIHFAALKAVGESGEIPLEYYRVNVGGSITLLRSMAKHNVPNIVFSSSATVYGDPGMIPIPESCPIGPTNTYGHTKVMIETVISDHIEAERRKAKKRASPSSNGTAL-----------CSATSTPAVLTPAASWDAKLYTTDYASKDGTAIRDYIHVLDLASGHLAALNYLREKKPGVKWNLGSGRGSTVFEMIKAFSKVVGRDLPYQVVERRHGDVLDLTANPALANEELKWKTKLTLEDACADLWRWVENNPKGYRQD-- 136126402 ----RILVTGSAGFIGYHLSKNLMEYGYEVVGIDNINDYYDVKLKYYKHFKIDLKNFNKLQEIVSKLKPKFVVHLAAQAGVRYSLKNPKAYIDNNINCFFNLLETCKKYKISNFIYASSSSVYGNANKKKFKEDSTDDPRSLYAATKKTNEIIAKSYENIYKINCTGLRFFTVYGP--------YGRPDMSLFTFTKNILENKKIEIYNKGNHI--------RDFTYVDDIVDGITKLLDKISKSGNNKIYNLGNGKPQKLSKYISIIEKELSKKSKKKYLNLQIGDVFKTSADISQAKKDFGYNPKTSIESGIPKFINWYKKFY-------- 144160076 ----KLFVTGGAGFIGSNFVRMALNEDASITVFDALTYSSVEDSHHFSFIQGDIRDGEAVEAALPGH--DAIVHFAAESHVDRSVSDAGIFVETNVLGTQKLLEAAKTHGISRFVHISTDEVYGSIDEGEWDEEEPLLPNSPYAASKAGSDLMVRAYHRTHGLDTVTTRCSNNYGTH--------HFPEKVIPLFVTNLLDGLPVPL--------YGDGGNQRDWLHVDDHCRGIALVLQKGRS---GEIYNIGGGTELSNRELTDLLLHATGRDEFVNYVDDRLGHDRRYCVDIGKISTELGYAPQVDFQDGLAAVVQWYEENRAWWE---- 224122596 ----RVLVTGAAGFVGMHVSTALRQRGDGVVGLDNFNGYYEKSLKRARIVEGDINDGVLLTKLFKLVKFTHVMHLAAQAGVRYAMKNPGSYVHSNIGGFVSLLEVCKMNPQPAIVWASSSSVYGLNKKVPFSEDRTDNPSSLYAATKKAGEAIAHTYNHIHGLSITGLRFFTVYGP--------WGRPDMAYFFFTRDMLKGKQISV-----FEGLNGFTVSRDFTYIDDIVKGCLGALDTATKSTGSGVYNLGNTSPVPVSKLVNILEKLLKVKANKVVSPMPAGDVLFTHANISLARRELGYKPTTDLQSGLKKFVAWYLDYYK------- 297622541 ---RTWLVTGAAGFIGSHLLETLLKLEQRVVGLDNFATGHRHNLDEVRFIEGDISDLATCREALAGV--DAVLHQAAFVSVPGSIEDPLLNHRSNVTGFLNLLVAAQERGLKRFVYASSSAVYGNAETLPAREEAVGDALSPYAASKAMDELYAGVFTRLHGLEAIGLRYFNIFGPRQD------PNGAYAAVIPKWTARLLSGQRGVIFGDGSAT------RDFCFVGNVVQANVLAATTENPAAFGEVFNVGNGGATTTRALYETLREVTGREDEPRFDPPRPGDIAHSRADISRAQRLLGFEPDVSVEEGLRRTLAWY------------ 280963278 ----TLLVTGAAGFIGSNFVRYWHAEGDRVIGLDSLTYAGLRENVADTLVHGDIRDRELVESLLSEHKVDTIVNFAAESHNSLAILRPGDFFSTNVMGVQSLLEAARTVGVGRFHQISTCEVYGDDDPGAFTEDSPYLPRTPYNAAKAGGDHAVRAYGYTYDVPVTITNCSNNYGP--------YQFPEKVIPLFVTRALQGQELPL--------YASTKNRREWLHVIDHCRAIEAVLERGR---VGETYHVGSGVEADIETIADTILTELGLPDSKTIVPDRPSHDRRYLLDSSKLRTELDWAPQIEFTDGMRSTIAWFRDNESW------ 239637688 ----KALITGGAGFIGSHIAHKCLQNNIEVHVIDNLSTGRIENIPYVKKEQEDINNLKFVSDLIKKEQFDYVIHLAAVVSVVETVQQPGRSNQVNIDATLNILETLRHSNVKRFLFASSAAVYGQLEGLPKAIHSRIDPRSPYAVQKYAGESYAKIYHQLYQLPTVSLRFFNVYGP------KQNPYSDYSGVISILNHKFKHKETFTFYGDGLQT------RDFIYIDDLVEACWLVLHNDNVNGN--VYNLGTGKQTTLKQMVNIFEQHFNYSIPYVYDEERVGDIKHSYADISPIQS-LGFNPQYSVEKGIQSYLEYQ------------ 62737783 ----NILITGAAGFIGSHLVRTILGDDVRVTVLDALTYAGNEDEPGFTFVHGDITDALLVDRLVAAH--DAVVHLAAESHVDRSIWRADAFVRTNVLGTHTLLEAALRHGTGPFVHVSTDEVYGSVPVGSSVESDPLTPSSPYSASKASSDLLALAYHHTHGLDVRVTRCSNNYGP--------YQHPEKVIPLFVTRLLSGAAVPL--------YGDGGNVRDWLHVDDHCRALLAVL---TDGRAGHTYNIGGGTELTNKELTGLLLDACGAGWRVEHVTDRKGHDRRYSVDWTKIRTELGYTPAHDFAEGLAETVAWYRTN--------- 142263127 -----ILLTGGLGYIGSHIAK-LYKKDDL-IVIDDMSNSNLDYQKIFPKIKVYIINKNSLKKIFRENDIKQVIHLAGSKSVSESLANPLKYYKNNFLVSMDLLESMDKYGIKNLIFSSSATVYGDSYHSPLKENLKTNPVNPYGNTKSDIEKLIIDYSSNMSFSSIILRYFNPIGAHKSGFLSDNPKGEPQNLMPIILEAVNG-NELKVFGKNYPTKDGTCIRDYIHVLDLAECHILCLKYLKKNKGYEIFNVGLGRGLSVLNLIKTFETSNKIKLNYKFSKRRKGDVAISFACNKKIKSKLGWNPKYTYKDMCLDSWN-------------- 257058815 ----KAIVIGGAGFIGSHLVEQLLEKGISVKVYDNLTSGSSGNLRSIASEQDDIRHFDGLVKAMKGV--DWVFHLAALTSVAQSVDNPLLAHEINNTGTLNVLWAAVQSKVSRVIISSSCAVYGDSHTPPVRETDLPVPKSPYAASKLTAEAFASSFYAAYGLPSLCLRYFNVYGERQRP------------DSDYAAVIPRFIEAYRTHQTPHIYGDGYQSRDFIHVRDVAKANFLAAAVEASVSHYRVFNIGTGVSTNLRELLDIIAESVGYEIPPQFHSARTGDIQHSCSDITLATKKLGFSPTIDLKSGLKNLVR-------------- 143949765 MKNMNILITGGAGYIGSHITEQLINKKNNIIILDNLETGFKKLIKKAIFIKSDINDKKKLVSILNKYKIETIFHMAAYLNVSEAEKSKLKYKRNNILGTKNLLLSCKNSTVKNFIFSSSCSVYGNVKGS-VSESKKLNPQGYYAYTKYKGEELVKKYSKIFNYNYGLLRYFNVAGASNSGKIGEIEKSHGHLIKNLAIQSLKKNPVISIYGNDYPTKDGTCVRDYIHVVDLASIHIIAMNYLNKVKKSFIVNCGYGKGYSVKQIVNIFKK-NKKNLSIKYEKRRPGDIAQVYSNTKKFQNLFKWKSKH------------------------- 158333604 ----TILVTGGAGFIGANYVLRKRQNDELVLNLDKLTYGHLSTLPDHIFIQGDIGDRQLVEDLLTQYRPRAILNFAAETHVDRSIDGPDAFIQTNIVGTFNLLETVRSYWQFRFLHVSTDEVFGTAVDPPFSESTAYAPNSPYSASKASSDHLVRSYFHTYNLPTLITNCSNNYGP--------YQFPEKLIPLMILNAIEGKPLP--------VYGDGQNIRDWLFVED----HCRAIDLVLTQGEGETYNIGGNAEKTNLWLVQQICAILDHANLITFVQDRPGHDRRYAINFSKIKQHLGWSPQVTIESGLRKTVQWYLDHQAWTSDQYE 222153176 LNNKTIFITGAAGFIGSNLVLELLKSGNLIIGLDNMNDYYDVSLKEWKFIKGSIADKELIEEVFLQYHPEIVVNLAAQAGVRYSITNPDVYIESNIIGFYNILEACRHYPVEHLVYASSSSVYGSNAKIPYTEDKVDTPVSLYAATKKSNELLAHSYSKLYNIPTTGLRFFTVYGP----------AGRPDMAYFGFTNKLMKGETIQIFN------YGNCKRDFTYVDDIVKGVVLVMNGAPKQEQGAIYNIGNSHPENLLDFVTILQEEYDFESHKELVAMQPGDVAITYADTSALEKDFGYKPNTSLREGLRKFSEWYYDYY-------- 171911001 -KPRRWVVTGAAGFIGSHLVEKLLRTGQTVVGVDNLATGKLHNLEQVQQAVGERRDVRLLDDCLHIEGADHVLHQAGIGSVPLSIEKPREVHDANVTGFLNLLISARQHSLKRVVYASSSSVYGDDPVLPKREDSIGTPLSPYAASKYMDEVYAATFQKCHGLESVGLRYFNIFGPRQD------PHGAYAAVIPLWISLLRKQEPVFINGDGSTT------RDFCYVANVVQANILAATAEHPDLRCEAFNVGLGGRTTLTELFNALRDAVASGLTPTYRDFRPGDIQHSMADISKAQRLLGYEPTHSVADGLGEALEWYWDN--------- 257867754 ---KKIIVTGGAGFIGSNFVHYVINNDVFVTVLDKLTYAGNKNNLNGLPKDGDIADQELVDRLVQ--DTDAVVHYAAESHNDNSLKDPFPFVQTNIIGTYTLIEACRKYNV-RYHHVSTDEVYGDGAGEKFTDKTPYNPSSPYSSTKAGSDLLVKAWVRSFGLQATISNCSNNYGP----------YQHIEKFIPRQITNILSGIKPKLYGDG------KNVRDWIHTNDHSSAVWTILTKGQM---GETYLIGADGEEDNKAVIELILELMDPKDAYEHVNDRPGHDLRYAIDSTKLKEELGWQPEFTFRDGLADTIEWYRNNEEWWKTEKE 160935034 ---KTYLVTGGAGFIGSNFVIYMLNKYSDVINVDKLTYAGNESNPNYVFVQADICDKEAIQSLFDQYDIDYVVNFAAESHVDRSITNPEIFVQTNVLGTVNLLNIAKNAWAVKFMQVSTDEVYGLGAEGFFMETTPLDPHSPYSSSKASADLFVKAYSDTYKLPVNITRCSNNYGP---------YQFPEKLIPLMINNTLQHK-------ELPIYGDGMQIRDWLYVED----HCKAIDMVVRGGKGEVYNVGGHNERPNIVIVKTILEYVKEEHMMKHVADRKGHDRRYGIDPEKIKRDLGWYPETTFEVGIKKTIQWYLNHKEWMEN--- 142500967 ----KILVTGAAGFIGYHVTKLLVENGYEVVGLDNMNSYYSVSLKEARFERLDIVNSKKINRLFEQEQFDKVIHLAAQAGVRHSLKQPEPYVSTNINGFFNILEASRKYNIKQLYYASSSSVYGNQTKVPYSEKDRDHPISMYAATKKANELMAHTYSHLHELNTTGLRFFTVYGP----------WGRPDMAPMLFLKALLADKPIHVFNNGDL------QRDFTYVDDIATAILKLLEKDNHAPNYRLFNIGNAQPIILEEFISLMESITGKKFIRQNKPMQPGDVYRTHADVRALEAHIGTIGHTSLKKGLRHFVAWFHEYY-------- 307293718 ----TILVTGAAGFIGMAVADRLLSQGRAVFGIDNMNDYYPVSLKRDRFAELDFADMDALQAALHDHPIDAIVHLGAQAGVRYSLINPHAYVRSNLAGHVNMLEVARERRVRHLVYASSSSVYGGNDSLPFVEDRADHPVSLYAATKRADELMSETYAHLFRIPMTGLRFFTVYGP----------WGRPDMAMWIFTSKILAGQPIPVFNHGRMQRDFTYIDDIVSGVIGCLDHAPEDDGAAKAGGSRSYNIGNNRPEELMHLISILEDACGRKAEIDFQPMQPGDVPATFADISAIAQDIGFAPTTGIEVGVPRFVDWYRAY--------- 159905959 ----KILVTGGAGFIGSHIVDLLVENGHDVSILDNLSTGNKKNLKLAKFINGDILDKN-----LDLTGFECVIHEAAQINVRTSVENPVFDANINILGTINILEKIKEYDVKKIIFSSSGAVYGEPEYLPVDEKHSLKPLSPYGLSKFCAEEYIKLYNRLYDIEYCILRYSNVYGERQDPL-------------GEAGVISIFIDKMKKGESPVIYGDGNQTRDFVNVKDVARANLMALNW-----KNETVNICSGKEISINELFKIISSEIGFDKNPIYEKEREGEVYRIYIDYEKAES-LGWIPEVELKNGIKE----------------- 14521381 ----RILVTGGMGFIGSNFIRYILEKDWEVINLDKLTYGSNPANLKDIFVKGDVADFELVRELIK--KVDAIVHFAAESHVDRSISSPDNFLHSNVIGTYTLLEAIRKENPVRLVHISTDEVYGDILKGSFTEEDRLMPSSPYSATKAASDMLVLGWARTYGLNASITRCTNNYGP--------YQFPEKLIPKTIIRAKMGLKVP--------IYGTGKNVRDWLYVEDHVRAIEAVLLKGEAR---EIYNISAGEERTNLEVVRTILRIMDKDESIEFVEDRPGHDIRYSLDSWKIIRELKWRPKYRFEEGIKKTVEWYLENEWWWK---- 158336741 ---KRVLVTGGAGFIGSALLPELVASNYDVYVIDNLSFGKRELLNISDDHFFDILDRKNLNSIIKNIQPHWVIHLAAIHFIPYCNQNPFEASNINIQGTMNILDALRSLDCLEKVLFASTAAVYPNTNHPIAETVSSSPLDIYGLSKLAGEHLLNEFYLMTKIPSIICRFFNAFGARETN---------PHLIPEIHRQIMNGKRSIQL-------GNLDPKRDFIHTADMSQALRLLLEQFSTDIDTFNI--GSGQEYSVQEVVEAFELAINEKIQIEVDPARIRKVQHLCADISKLKEYIGWKPRVDLKSGIK------------------ 298675165 ----KILITGTAGFIGFHLVKKLVNSEHEIIGLDNINDYYDVNLKYGRLEELNLEDKENIKFLFENEKFVVVCHLAAQAGVRYSITHPYSYIQSNIVGFLNILESCRYNNIKHLVYASSSSVYGLNKKMPFTQDNVDHPISLYASSKKSNELMAHTYSYLYNIPTTGLRFFTVYGP--------WGRPDMAYFKFTKSILDDRPINVYNYG--------DMQRDFTYVDDVVDGLIKILDNEPPSGNSDWYNIGNNNPVNLIDFINAIEKATGKEAKKNYKPLQPGDVISTWADIDNLIKDFNYEPNTPVEKGIKKFVGWYRNFYN------- 149916004 ----RVLITGTAGFIGFHLAKLLLAEGFVVHGYDGMTDYYDVDLKQQRHREGMLEDQELFDRVVDEFEPDVIVHLAAQAGVRYSLENPRAYLDANVIGTFNVMEAARRLGVQHLLMASTSSVYGANTEMPFFETQKADTLTIYAATKKANESMGHAYAHLYDLPTTMFRFFTVYGP--------WGRPDLAFYKFVDAILDGR--------PIDIYNNGNMYRDFTYVDDLVRAIRLLIDVAPSRPENRVVNIGNSEKVRLLDFVDAIEDCIGQKAERNYMGMQTGDVPATWANADLLKTLTGYRPQTDFKDGIARFVEWYREF--------- 15895601 ----NILVTGGAGFIGSHVVKSLLEHGHKVSVIDNMVHGNSSNLPEVNIYKYDISEAE-IENAFKIERPEVVIHNAAQISVADSVKDPLYDAKVNVLGSINILEMCRKYAVRKVIYPASAAIFGEPKYLPIDEKHPLNMISSYGVSKHTVEHYLNVYKKLYNINYTVLRYSNVYGPGQDSSGEG-------GVVSIFAEKLTKGEPLCIYGN------GNQIRDFVYVKDVTEANILAL----NSLDNDIYNVSTNTKTTINDLAKLMCEAYGKEVEIMHKGERAGDIFESYMSYDKIYNACGWKPKYDLKLGIKETIQ-------------- 143767048 --------------------------------------GNKWSVKWGPLEVGELCSENDLQKLFEKYNFIGVIHLAALSNVSESVNNPSIYYKNNVIGSYNLIEKMIKFNVKKIVFSSTAAVYGNPIHSPICENHPTQPINPYGDSKLTIERLICNYSKCHDLNFVILRYFNVSGSDFSNNLGEAHLPETHLIPLALEAAH-QKSIFKIYGDNYPTTDGTCIRDYIHVKDLGSVHLLSFNKLKSSKVNQIINVGGGKGYTVYEIIKYVKKITNLDLKIDVIKRRKGDPDILVSDISKSKNILSWEPKYSVAQMISDSWEWY-KYYKTLSQ--- 159904977 ----KILVTGGAGFIGCNFVRYMVQNDHEITVLDNLTYAGSLENLADVSEKGDICSEEDASRAMK--DVDSIIHFAAESHVDNSIKNPENFVKTNIFGTYTLLEYARKFGIDKFLHVSTDEVYGSTENGFFKEEDRLDPSSPYSATKAGSDLLVNAYHRTYGLNTFITHCGNNFGP----------YQYPEKLIPVLIKKALKNEKLPIYGDGL------NVRDWIYVED----HCTGIDTVFNNGKGEVYNIGSGYEKTNLEIVKFILNELDKPENIEFVKDRPGHDRRYALDSTKMRN-LGWAPKWEFEKALKYTVNWYLD---------- 164507715 ----TILVTGGAGFIGSAYVRRLLSPGAAVTVLDKLTYAGSLARLHATFVQGDVCDTALVDTLAARH--DDIVHFAAESHVDRSITDSGAFTRTNVLGTQVLLDAALRHGVRTFVHVSTDEVYGSLPHGAAAESDPLLPTSPYAASKAASDLMALAHHRTHGLDVRVTRCSNNFGPH--------QHPEKLIPRFLTSLLSG--------GTVPLYGDGRHVRDWLHVDDHVRAVELV---RVSGRPGEIYNIGGGTSLPNLELTHRLLALCGAPERIVHVENRKGHDRRYAVDHSKITAELGYRPRTDFATALADTAKWYERHEDW------ 110668589 ----HILVTGGAGFIGGHLAESFVEAAHDVTTVDILEPFYDLGLKEHNFIEGSTTNKELVDEIV--EDIDIIYHQAAQAGVRASVENPTKVTEYNVNGSQTILEAARKHDVTRVVNASSSSVYGKPEYLPYDESHPNEPVSPYGASKLAVEHYMRVYNEVYGLPTVSLRYFTVYGPRMR---------PNMAISNFVSRCMRGKSP-------EVYGDGTQTRDFTYIADIVDANHSLL--TDDSADGETMNIGSSDNIDITTLAEVVRDEIDPTLNIEYTEARDGDAEHTHADISKATELIGYEPSREIREGVGEFIEWYEDNREWYE---- 38232978 MAVKRMLVTGGAGFIGSNFVRRVLATEYRVTVLDKLTYAGNAANLDGCDVVGDICDAQLVDRLVA--DSDVVVHFAAESHNDNSLVDPSPFVQTNVVGTFTLLEAARRHDV-RFHHVSTDEVFGDDDPNRFTEHTPYNPSSPYSATKAGSDHLVRAWVRSFGLRATISHCSNNYGP----------YQHIEKFIPRQITNILSGLTPKLYGT------GEQVRDWIHVDDHNDAVIRILE--SGRIGQTYIIGADNDHVNNKTVITLICELMGADGF-EHVADRPGHDMRYAMDSSTLRAELGWQPRFTMREGLLQTIEWYRTHRDWWEPQK- 22255845 MNTTRILVTGGAGFIGSHYVRTLLRPGVRITVLDKLTYAGNLANLRYRFVRGDICDSLLVDRLVAEH--DQVVHFAAESHVDRSIADGGDFVRTNTLGTYTLLEAVRRRHGDRFVHVSTDEVYGSIEHGTWPETDPVLPSSPYAAAKAASDLLALAFVRTHGLDVRVTRCANNYGP---------HQHPEKLVPLAITNLLDG-------GDVPLYGDGLHVRDWLHVDDHVRA----LELVRTRGRGQVYNVGGGTQLTNREMADRLLAACGAPERIRYVEDRKGHDRRYAVDWSKIRCELGYAPRKDLDRGLADTVAWYRANRSWWE---- 302828374 --GKRYLVTGAAGFIGFHASVQLRSRGDVVVGLDNFNDYYPVSLKRAPVVDLDLNDQEALQELFRLCSFTHVLHFAAQAGVRYAARNPFAYVQSNIAASVALMEAMRQKPMPVLVYASSSSVYGLSKRFPFTEDDRVDPASLYAATKRSLELLSHTYFNIYKMSVTGLRFFTVYGP----------WGRPDMSVMSFARNIVDGKPIRVFQGPNNT---ELARDFTYVGDIVNGVLAALDTAPPSADPRLFNLGNTQVHTVSEMVETLQDLMGMKALVKYQPLGAGDVLRTNANITAAHEALGYTPQTNLREGLQEFVKWYFDYY-------- 39996914 ----RILVTGGAGFIGSHLCERLLEQGHDVLCLDNFFTGSKRNIRLMDFHRFEVIRHDIIEPIL--LEVDRIYNLACPASPVHYQYNPVKTIKTSVMGTINMLGLAKRVRA-RILQASTSEVYGDPTIHPQPESYWIGIRSCYDEGKRVAETLLMDYHRQNGVDIRIARIFNTYGPRM--------AEHDGRVVSNFVVQALRGEDLTVYGD------GSQTRSFCYVDDLLDGLVTLMEHDQFCGP---VNLGNPEETPIIEFARRIIAMTGSSSQIIYRPLPSDDPRQRQPDITLARTILGWEPRVSLDEGLAKTIEYF------------ 225851162 LSNKKVLVTGSCGFIGSHLVERLIEKGCKVRAFVWLDTFPREKLDRIEVFTGDIRDPNGVRTAVKGM--DLVFHLAALIGIPFSYHSPDSYVDTNIKGTLNILQACRDYDIEKVLVTSTSEVYGTAQYVPIDEKHPRQGQSPYSATKIGADYIAESFYRSFNLPVVIVRPFNTYGPRQS---------ARAVIPTIITQLLSGKKEIKL-------GALHPTRDLVFVKDTVEGFIKIAESERTVGEEINI--ATGKEISIGDLAKKIIDMINPEARIVTDQERKSEVERLLGDNTKIKMLTGWEPEYSLEEGLKITIEWFKN---------- 297162851 ----KILITGAAGFIGSHLTRLILGDDVQVTVLDKLTYAGSRDAPGFVFVQGDIADPALVDRLMPGH--DAIVHLAAESHVDRSIDSAQAFVHTNVVGTGVLLDAALRHEISRFVHVSTDEVYGSVEFGSATEEDRLQPSSPYSATKASSDLLALSYHQTHGLDVRVTRCSNNYGP--------YQFPEKLIPLFVTTLLRG--------GSVPLYGDGENVRDWLHVDD----HCHGLLAVLNGGRGEVYNIGGGTELSNKELTALLLDACGVGWRVDWVEDRKGHDRRYSVDWNKIRRELGYRPARDFTAGIADTVAWYRA---------- 110639762 MAKKRVLITGAAGFLGSHLCDRFIKEGYHVIGMDNLITGNLKNIEHFPLENFEFYNHDVSKFVHVAGDLDYILHFASPASPIDYLKIPIQTLKVGSLGTHNLLGLARAKKA-RMLIASTSEVYGDPLVHPQTEDYWIGPRGVYDEAKRFQEAITMAYHTYHQVETRIVRIFNTYGPRM-------RLNDGRVLPAFIGQALRGED-------LTSFGDGTQTRSFCYVSDLVEGIYRLLM----SDYAYPVNIGNPVEITINDFAQEIIKLTGSNVKITFKPLPTDDPKQRKPDITKAKELLGWEPKVSREEGLKITYDYFKSLPK------- 150376857 ----RVLVTGGAGFIGSAVCRHLIRCGAEVVNVDKLTYAGSEGDPRYAFYRADILDEKVLLQIMRRERVDAIMHLAAESHVDRSIEGPDLFMETNVLGTVRLLNAALAYWRFRFHHVSTDEVFGDFNRGIFSEESRYAPSSPYAASKAAADHFARAWHRTYGLPVVVSNCSNNYGP--------FHFPEKLIPLTIINAIEEEPLPL--------YGSGANVRDWLHVDD----HAAALDLVISQGPGESYNIGARAERNNLSVMESICDLIDMKLPITLVPDRPGHDRRYAIDPSKVERELGWRPKRSFEAGLSETVDWFLANRWWWE---- 280958255 MKKKRVLVTGGSGFLGSHICERLLAMGHEVLCVDNFYTGRKESILHNPYFEVLRHDYAEVDEIYNLACPASPIHYQ---------FDPVQTTKTSVHGAINMLGLAKRIKAKIFQ-ASTSEVYGDPAVHPQTEDYWIGIRACYDEGKRCAETLFFDYNRQHGLRIKVGRIFNTYGPRM--------AMDDGRVVSNFVVQALRGENITVYGK------GEQTRSFCYVDDLVDGIIGLMEKTPDD-FTGPVNLGNPGEFTILELAREVIDLTGSKSQIVFKPLPSDDPMQRKPDITLARKAMGWEPKIPLRQGLVKTVEYFRR---------- 308748157 ----KILVTGGAGFIGSAVVRHIIKNTDTVINVDKLTYAGNENSERYGFEQVDICDRAEMERVFNEYQPDAIMHLAAESHVDRSITGSAVFIETNIIGTYNLLEVARNYWAFRFHHISTDEVYGDLPHPLFTEQTAYAPSSPYSSSKASSDHLVRAWHRTYNLPIVVTNCSNNYGP--------YHFPEKLIPVTILNALAGKPLP--------VYGNGTQIRDWLYVEDHARALYKVVTEGVN---GETYNIGGHNERRNIDVVNTICAILDKSQLITHVTDRPGHDLRYAIDASKIKNELGWIPLETFETGIEKTVVWYLNNKEW------ 298256349 ----RILVTGGAGFIGSAYVRGLLADGARVTVLDNLGYAGRRDNLPAAHAEGDVRDTALLAALVPGH--DLVAHFAAESHVDRSLAAAGDFLRTNVEGTGAVLQACADARVARVVHVSTDEVYGSIDDGAWTEDSPLLPNSPYAASKAASDLVARAYWRTHGLDVSITRCCNNYGPR----------QHPEKVIPLFVTNLLRDRPVPLYGDG------RNVREWLHVDDHCRAVHAVL---TGGRAGAVYHVGGGTGLSNLELTRRLLELCGAERLVRRVPDRKGHDRRYALDDSRIRTELGWAPRVPFDTGLAATVAWYRENPGW------ 254467979 ----KILITGGAGYIGSHLVKTLI-HGHDVVVVDNLSNSNLKNIHNFHFIEDTILNDGAMDEIFNEFNFDAVLHFAGLKSITESFAKKEHYFENNVQGSNILRVLAKKYNVNKFIFSSSANVYGTVNRSPIKETDNLNPESPYGKTKQHFENDLISDPYFANQKCYILRYFNPVGSWLKYSIGEVVDSTKSNIFPMIVNSLRNNEPFKIFGTDYKTKDGTAIRDYIHIIDLIEAHYACLE--SDKKGINIYNVGTGIGYTVNEVLNTYKEVNNVDMMIEKASKRQGDASEVYASNKRICEDLKWTPKYDLAQMC------------------- 53803405 ----RILITGTAGFIGSHLAHKLLDRGDEIIGIDNVNDYYDVSLKEARLARLHLEERDKLFATFARHRPERVVNLAAQAGVRYSLENPHAYVDANLVGFCNILEACRHYEVEHLVYASSSSVYGANTAMPFSVHHNLHPVSLYAATKKANELMAHTYSHLFGLPTTGLRFFTVYGP--------WGRPDMALFKFTRNILAGQPIDVYNYGHH--------RRDFTYIDDIVEGVVQTLDKVAARAPYRLYNIGNNEPVELLRFIEVLEHCLGCKAEMNLLPMQDGDVPDTYADVDDLMRDTGYRPATPIETGIARFVEWYRDYY-------- 291521074 LKNKTVLVTGSSGFIGANLVLELLQQSIKIIGIDNMNDYYDVSIKKYRLKQIEIADKALINEIFETYKPSVVVNLAAQAGVRYSITNPDVYIESNLIGFYNILEACRHSYVEHLVYASSSSVYGSNKKVPYTEDKVDNPVSLYAATKKSNELLAHAYSKLYNIPSTGLRFFTVYGP----------------AGRPDMAYFGFTNKLRNNETIYIFNYGNCKRDFTYVDDIVEGIKRVMQATPEKKLGEDYNIGNNHPENLLEFVDILQQEYDFESHKKLVPMQLGDVPVTYADTSALEADFGFKPSTSLREGLRKFAEWYREFY-------- 296454981 ---QHILVTGGAGFIGANFVHWVARNHPQVTVLDALTYAGKRENLDGTFVHGNICDAELVESLFSGLNIDAIVHFAAETHNDNSILDASPFLNTNVTGTYVLLEAARRHDI-RFHHISTDEVYGDDEPRKFTELSPYKPSSPYSASKAASDHLVRAWHRTHGLKTTISNCSNNYGP---------YQHVEKFIPRQITNILAGIRP-KLYGQGLAV------RDWISVEDHCSAIWTILTRGRI---GETYLVGANGEYNNIDVLRMILKLMGRANDFDHVNDRPGGDKRYAIDATKLQTELGWVPKYDFEEGLAATIDWYRAHENW------ 170742162 ---KSILVTGGAGFLGSHLCESLIEQGHEVLCVDNFFTGNVEHLLKNPRFELDITSYVEVDEIYNLACPASPVHYQ---------FDPVQTTKTSVLGTINVLGLAKRVKAKVLQ-ASTSEVYGDPEMHPQAEHYWIGPRSCYDEGKRCAETLFFDYHRQHGLPIKVARIFNTYGPRM--------HPDDGRVVSNFVVQALSNKDITLYGDGRQT------RSFCYVDDLVQGLIALMET--DSTVTGPINLGNPGEFTVRDLAELVVELTGSRSEIVRRPLPQDDPRQRKPDIDRAKKVLGWQPTIDLREGLIRTIEYFRK---------- 115374645 ----NVLVTGGCGFIGSNLVKYLRKHEWKVVNLDKLTYAGNEGDPKHVFVRGDIGSQDLIEHLLVQHSIDAVMHLAAESHVDRSILGPEVFVTTNVLGTQRLLEAARSRGLKRFLMVSTDEVYGLGPTGAFTEQSPLQPSSPYSSSKTSSDLISLAYHHTFGLDVVVTRCSNNYG--------RYQFPEKLIPLMVVNALHDKPLP--------VYGDGGNVRDWLHVEDHCSALLHALEKGKA---GEVYNIGGGAERKNIDIVKAVLGLLGKPESIKFVKDRPGHDRRYAIDPSKIKAELGWTPSQTFEQGLAETVKWYVDHPSWWER--- 136424892 ----RVLVTGGTGYIGSTAVEILLGQGFEISILDDCSMGHADTVPAGRFIQGSLLKAAEVADALTGC--DAVMHFAGKSLVGESVEKPDLYHSVNVDGTRILLDEMRKQSITKIVFSSSAATYGEPKVVPILETSETNPTNPYGATKLAIDHMITEEATEHGISAASLRYFNVAGAADRGWLAERHNPETHLIPNVLRSTQA--NPVKIFGTDWPTSDGTCIRDYVHVIDLIDAHIKALNSLGAAG-HEIYNLGSGSGYSVREVVKAASDAIGHQIPFVDSPRRAGDPAVLIADISKAKRMLAWQPEI------------------------- 149914160 ---KKVFITGTAGFIGFHLAQHLLKEGFAVHGFDGITDYYDVRLKQRRHQQAMLEDKNAVDRAIDEFAPDVIVHLAAQAGVRYSLENPRAYLDANVIGTFNVMDAAKRHEVEHLLMASTSSVYGANTEMPFVETEKADTLTIYAATKKANESMAHAYAHLYDLPTTMFRFFTVYGP----------WGRPDLALFKFVDTILEGRPIDIYNHGDMYRDFTYVDDLVHGIRDAAPKWLEPSEPIPEGDSIVVNIGNSQKVRLLDFVDVIEAELGIKANRNYMDMQPGDVPATWANADLLQQLTGYKPQTDIRDGIAKFVTWFRDYY-------- 56962284 ----TYLITGGAGFIGSNIAKALVAKGEKVKILDNFNTGKAEFIDDVEVIDGDFTNEKTVQSALK--QVDVVFHQGAIPSVPKSIQNPIESNHANVSGTLQLLQGAVEARVSRFIYAASSSAYGDSETLPKHEQLPGNPMSPYAVSKYTGELYCKVFYNLYGLETVSLRYFNVFGPRQD------PNSKYAAVIPSFIKAMLNDKPPTIFGD------GTQSRDFTFIDNVVAANLLAANAPKLQGESVNI--GGGASIDLNSLVDEINVLLGKQIQANYGSERPGDVKHSLADIHLAEKLIAYRPTVSFQEGLRQTVEWFKHH--------- 291561387 ----KIIVTGGAGFIGGNFIHHMVNKEYQIVNLDLLTYAGNENKPNYKFVKGDIADRKFVFDLFEKEKPDIVVNFAAESHVDRSVVDPESFVRTNVMGTTTLLDACRTYGIKRYHQVSTDEVYGDRPDLFFTEETPLHTSSPYSSSKASADLFVLAYHRTYGLPVTISRCSNNYGP--------YHFPEKLIPLMISRALADEALP--------VYGTGENVRDWLHVSDHCEAIDLIIH---NGRVGEVYNVGGHNERTNLEVVKTILKALNKPESIKFVTDRPGHDRRYAIDPTKLETELGWKPKYNFDTGIQQTIQWYLDNEDWWK---- 187734545 ----KILVTGGAGFIGSHIVEHYQDKAEEIRVLDNLRTGYLKNLLRHTFIEGSICDRELVRQAVQGV--DYIFHMAALVSVPESMSKISECIDINVNGLLNVLEEASAAGVKKIVLASSAAIYGDNPTVPKLETMYPEPKSPYAITKLDGEYYLNMFRAEGKINTAAVRFFNVFGPRQD------PKGAYAAAVPIFIEKAVKGEDITVYGD------GSQTRDFIYVKDIVGALTFVAEHPEVTG---VFNAGYGGQITIEELAQNIIKAAGSSSKVLHAPERPGDVKHSRACADKLRNA-GWQPRHTLPEGLATTLEYFK----------- 194016249 --TKSYLITGGAGFIGLNFVKLLLQETDRLTVFDKLTYAHPEKLSHFRFIQGDIALQHELDQAFDEV-YDAIIHFAAESHVDRSIESAEPFIQTNVLGTYRMLEAVLKGKAKKLIHISTDEVYGDLEDPAFTEQTPLSPNNPYSASKASSDLLVKSYIHTHQLPAMITRCSNNYGP---------HQHEEKLIPTIIRKAINGEK-------IPIYGDGQQIRDWLYVEDHARAVKQVLE---NGTAGQVYNIGGGNEKTNLDLTKTILTQLGISHRIAFVEDRKGHDRRYAIDASKLKRELGWTQETSFEAGIEKTINWY------------ 136252001 MRNKSVIITGAAGFIGSALAERFIKANYKVIGIDNINDYYDINLKYWEFNRISIEDIKALEGVFKGINDEIIINLAAQAGVRYSIDNPEVYMQSNLIGFGNILEISRKYNVCNLIYASSSSVYGGNTNYPYKETHPVHPVSFYAATKKSNELMAHSYSNLYGINATGLRYFTVYGP----------WGRPDMAPMIFANSITKNKPINIYNNGLMRRDFTFIDDIVEATYLCALKKATINTKFDSNNHRIFNIGAGNSIELLYFIELLEKAFGTKAIKKFLPMQKGDVLNTAADINLLKNWVNYKPQIKVEDGVKKFVNWYKTY--------- 149915934 ----RVFITGTAGFIGFHLAALLLDEGVEVHGFDGMTDYYDITLKQRRHAQAMLEDTAALDRAVDACAPDVIVHLAAQAGVRYSLENPRAYLDANVIGSFNVMEAARRHEVRHLLMASTSSVYGAETQMPYAETMKADTMTIYAATKKASEAMAHAYAHLWGVPTTMFRFFTVYGP--------WGRPDMAYFKFTDAILNGR--------PIDIYNHGDMYRDFTYVDDLVRAIRLLIDAAPERPATRVVNIGNSTSVRLLDFVEAIEDALGVKAQRNLMEMQKGDVPATWADASLLQRLTGYKPQTDMRDGIARFVAWYRDYY-------- 149196387 IENSHVLVTGGAGFIGSNLVDVLLKQNNRVRVLDDFSTGKEKNLSHLYDFEGDIRKQDHCHQ--ACEDIDYVLHQAALGSVPRSLKDPVTTDEVNNGGFLKMLVAARDAKVKRFIYAASSSTYGDHPRLPKVEHTIGKPLSPYAITKYTNELYADVFYKSYGLETIGLRYFNVFGRRQT------PDGAYAAVIPTFVSALINGESITINGDGLT------SRDFTYIDNIIQLNQLASLTTNPDAINQVFNAACGGNETLNSLFETLRHLLAKQAKVFYGPERTGDIKHSKADISKAQKLLNYSPSHDFNQGIQASVDWYWNN--------- 83309160 ----HCLVTGGAGFIGSHLVDRLLADGHRVSVIDNFANGREENLADAKVHRADVADADIIRPMFAGV--DWVFHLAAMADIVPSIQDPMLYHRANVDGTIAVLEAARAAGVKRFVYTASSSCYGIPETYPTPETAAPSPMYPYALTKWVGEQYVMHWAQTYDLAAVSLRLFNVYGPR-------------HRTAGTYGAMFGVFLAQRLAGKPYVVGDGSQTRDFTFVADVADAFVTAANSKIS---GEIFNVGSDGTYSVNRIIEIL------GGDKLHIPKRPGEPDCTWADIAKIKRVLGWKPKVSLEEGV------------------- 136464602 -----VLVTGAAGFIGYHVSQALLARGDAVIGVDNLSAYYDVALKEARFHQADIADRTAMAAIVARHEIDRVVHLAAQAGVRYSLENPYSYVESNVMGQVVLLEAVRRLATLKFVYASSSSVYGGNSKQPSSEDRVDTPVSLYAATKRADELITHAYCHLYGIKATGLRFFTVYGP--------WGRPDMAAYLFADAIVKGQPIRVFNHGQ--------MARDFTYIDDIVVGTLAALDRPPAGVASRIYNLGNHRPERLLDFIAVLEAELGRTAEKIMLPMEPGDVAASFADIEASRRDLGYEPKTSIAVGLPLFVAWYKSY--------- 170757117 ---KTYLVTGGAGFIGSNFILYMLDKNIKIINLDKLTYAGNESNINYSFVNGDICDRELVERVFKENNIDYVVNFAAESHVDRSIKDPEIFAKTNVLGTVNWQTEEAWVENKKFLQVSTDEVYGLGDTGYFMEDTPLDPHSPYSSSKASGDLMVKAYFDTYKMPVNITRCSNNYGP--------YQFPEKLIPLIINNCLNNN--------PIPIYGDGLNVRDWLYVED----HCKAIDMVINKGSGEVYNIGGHNEKTNIFIVKAIIEYINTEKLIKYVEDRKGHDRRYGIDPTKIKEDLGWEPKTKFEDGIIKTIEWYLSNKDWMEN--- 119961190 -----ILVTGGAGFIGSNFVHFLVENDHTVTVLDKLTYGNIESLAGLPSVQGDIADAAVVEGLVKTH--DVVVHYAAESHNDNSLHDPRPFLDTNIIGTYTLIEAARKHD-KRFHHISTDEVYGDDDLERFTENTPYNPSSPYSSTKAGSDLLVRAWVRSFGLQATISNCSNNYGP--------YQHVEKFIPRQITNVIDGVRPKL--------YGKGENVRDWIHANDHSSAVLAIIEKGRI---GETYLIGADGEKNNKEVVELILEHMGSRDAYDHVVDRPGHDLRYAIDSSKLRTELGWSPEYSFDAGIKNTIEWYRDN--------- 136701029 --SMRILITGGAGFVGSHLAERLLDQGHDVIAVDNYFTGNIEHLLTNSRFELMRHDYVEVDAIYNLASPASPVHYQH---------DPVQTTKTNVMGAINMLGLAKRLRVPILQ-ASTSEVYGDPEVHPQPEEYWIGPRACYDEAKRVAETLFFDYHRQHGLDIRVARIFNTYGPRMAA--------DDGRVVSNFIVQALRGEPLTIYGD------GSQTRSFCYVDDLVSGLIALMENASN--EPGPVNLGNPGEFTMLELASLVLDMTGSSSPIEHRDLPADDPKQRQPNIDKAKKVLGWEPSVPLRDGLKKTIDYFQ----------- 134797906 --KKNILVTGSAGFIGFSVSKKLLDRGDFILGLDNHNDYYDPRIKNARHYKVDISDQNSLNDIFNNYKIIKVVNLAAQAGVRYSIENPTAYINSNVVGFTHILENCRQHKIKNLVYASTSSVYGANTKMPFSEHNSNHPLSLYAATKKSNELMAHTYSYLFKLPTTGLRFFTVYGP----------WGRPDMALFKFTKAILDEKPIDVFNHGKHTRDFTYIDDIVEGVININWNSNDPDPATSKAPWSIYNIGNNNPVHLMDYIDALERALGKKARINFLPLQPGDVQDTYANVDNLSIKFNYKPKTTIDQGISNFVKWYKNYYQ------- 284992710 ----RVLVTGGAGFIGSHYVRTLLTGGYEVTVFDKLTYAGNLANLRYRFVQGDICSAADLDAALPGH--DVVVNFAAESHVDRSITGASEFVLTNVLGAQQVFEACLRHGVRRVVHVSTDEVYGSIDEGSWTEDHLLEPNSPYSAAKAGGDLVARAYAKTYGLDISITRCSNNYGP--------YHFPEKVIPLFVTNLLDGHKVPL--------YGEGANVRDWLFVDDHCRGIQLVLEQGR---PGEFYNIGGGRELSNRELTEKLLEATGRDWSYVVDPRGGGHDLRYSVDFSK-TAALGYAPRTGFEDGLALTVQWYRDNRAWWE---- 78188494 ----HLLITGGAGFIGSHVVRHFLTRSYTITNLDKLTYAGNEQLPNYRFVKGDITDALFIMELFQANHFDGVIHLAAESHVDRSIANPTDFVITNVLGTVNLLNAAKASWQKRFYHISTDEVYGTGNDGIFTESTPYDPHSPYSASKASSDHFVRAWHDTYGLPVVISNCSNNYGS--------FQFPEKLIPLFIHNII--QQKPLPLYGKGENIRDWLWVVDHAAAIDVIYHKGKLGETYNIGGHNEWSNLALVRLLCTIMDKKLGRENGSSEKLITFVTDRAGHDLRYAIDSTKLQRELGWVPSITFEEGLERTVDWYLANGEWLHN--- 88319781 ----RILVTGAAGFIGSHFVRNVLEEDAQVTALDKLTYAGNRDNLPASHERGDVCDRKLLRELVPGH--DAVVHFAAETHVDRSLEGAGDFFRTNVLGTQTLLDAVLDSGVERVVHVSTDEVYGSIDEGSWTEEWPLAPNSPYAASKAGSDLVARAYWRSHGVDLSITRCSNNYGP--------YQHPEKLIPLFVTNLLEGRRVPL--------YGEGANVREWLHVDDHCRGIHLVLNQGRA---GEIYNIGGGNERTNLAITEQLLELTGAAEAIQRVPDRKAHDLRYSIEETKIREELGYAPRIGFEQGLAETVAWYRDNPDWWK---- 256420126 ----KILVTGAAGFIGYYATKRLAELNFEVVGLDNINEYYDINLKHARFVKLNLDDQENLAALFRNEQFDVVCNLAAQPGVRYSLENPFVYVNSNVVGFMNILECCRYNKVKHLVYASSSSVYGMSKKVPFEETDNDNPVSLYAATKKANELFAHTYSHLYGLKTTGLRFFTVYGP----------WGRPDMAPFLFTNAILKGEAIKVFNNGELSRDFTYVDDVVEGVIRVIMLPDNEKEQKDSGEAQIFNIGNSSPVQLMDFIRCIEKATGKEAILKMLPMQPGDVVSTYADTSELAAYVNYRPSTPLQDGIDRFVSWFKEY--------- 205372203 -KKMKVLVTGAAGFIGYSISKRLLQEGVEVVGVDNLNDYYDVRLKEARLHQLNVENRAAMDTIFHKERPTHVIHFAGQAGVRYSLENPEAYINGNLVGFYQMMELSKEYSIQHFLFASSSSVYGDRQDKPFNEEDRTDPASLYAATKKSNEMMSYSYSQLFSIPVTGLRFFTVYGP----------WGRPDMAYYSFAQKMVQGESITVFHNGEMSRDYTYIDDAIESVWRLLHNP-----SEERVPYQVYNIGSSSPVRLTSLIEELELGLNKKAHIHYAPFQKGDVTHTFADVEKLLERVNYKPTTSFRQGMKDFIGWFTEY--------- 143047109 ---RNILVTGGAGYIGSNITEMLLSQKYNVFIVDNLSKGYRKLIKKAKFYKTDINKSGKIKKIINQNKIDSIIHLAALLDVNESEKKPKKYFKNNVLGTENILKVCKNTSVKNFIFSSTAAVYKDG-VYKVKENSPTKPKSIYGKAKLKAEKKIIQYLRNSKINYAILRYFNVCGASKSNRYGQI-NSYDLLFKNLAKATLKKKPIVNIYGNDYKTKDGTCIRDFIHVADISDIHIKVLKRINFLNKSVILNCGYGKGLTVMQVVKEFKKVSKKNMKINIQSRRKADLGKIVADNRQLRKFIKWKPKYSLKFMVKSSLMWEKK---------- 41406528 ----RALVTGAAGFIGSTLVDRLLADGHTVVGLDNFASGRASNLEHHVFVEADIV-TADLEAILDEHRPEVVFHLAAQIDVRHSVADPQFDASVNVIGTVRLAEAARRTGVRKMVHTSSGSIYGTPPTYPTPETVPTDPASPYAAGKVAGEIYLNTFRHLYGLDCSHIAPANVYGPRQDP-------HGEAGVVAIFAQALQSGKPTKVFGDGTNTRDYVFV---------DDVVDAFVKASGDAGGGQRFNIGTGVETSDRQLHSAVAAAVGGPDDPEFHPPRLGDLKRSCLDIGLAARVLGWQPKVGLQQGVARTVEYFRN---------- 257067485 MSTRHLLVTGGAGFIGSAFVHHVIAHDDTVTVLDKLTYGHRSSLEDLPSRRVDVADAATVEPLVAAA--DAVVHFAAESHNDNSLSDPSPFLQTNLVGTYTLLEAVRRHGA-RFHHISTDEVYGDDDPDRFTETTPYNPSSPYSATKAGSDLLVRAWVRSFGVQATLSNCSNNYGPRQ---------HVEKFIPRQITNLIDGIRPRL-------YGAGANVRDWIHAEDHSSAVLRILEAGES---GRTYLIGADGEKSNKEVVELILRLMGRDDDYDHVTDRPGHDLRYAIDATALREELGWAPRFDFEAGLAATIDWYREN--------- 169351598 ----KILVTGGAGFIGGNFVHYMVENHPEIVNLDLLTYAGNEGKPNYKFVKGDIADRKFIFDLFEKEKFDIVVNFAAESHVDRSITDPEIFVKTNVMGTTTLLDAAKEFGVKRYHQVSTDEVYGDRPDLFFTETTPLHTSSPYSSSKASADLFVLAYHRTYGLPVTISRCSNNYGP--------YHFPEKLIPLMISRALADEELP--------VYGKGDNVRDWLHVYD----HCVAIDLIIRNGRGEVYNVGGHNERTNLEVVKTILKALNKPESIKFVEDRKGHDRRYAIDPTKLETELGWKPKYNFDTGIQQTIQWYLDNKEWWQN--- 136472267 -----IIVTGGAGFIGGNFVDWFANSSAEGIVLDKLTYAGNLATLRHIFVHGDIGDKELVAKLLKEYQPRAIVNFAAESHVDRSIHGPAEFVQTNIVGTFNLLECAREYWTFRFHHVSTDEVYGSASDPAFTETNSYEPNSPYSASKAASDHLVRAWFHTYHFPVVTTNCSNNYGP--------YHFREKLIPLVILNALNGKPLPIYGDGQ--------QIRDWLYVGDHCSAIREVL---ANGKLGETYNIGGWNEKANLDVVKTICRILDYAEQITFVKDRPGHDRRYAIDASKVERELGWRPAETFDTGIRKTVQWYLDNPVWIE---- 15077442 ----RLLVTGGAGFIGSHVVDAFLEAGAEVTVLDDLTTGDPERLPRAVIRRVDVTDAAALDEAVRSARPDVICHLAAQIDVRVSVATPAVDARVNVEGTINVLEAAHAVGARVVFASTGGALYGEGVPVPTNEDTLPRPGAPYGTAKYCAEKYIGLFNRLHGTEHSVLRLGNVYGPRQSPGGEAGVIAIYCGLASE-------------GGVPTVFGDGSQTRDYVYVGDVAAAFVAPYGTVGPASGT----SDTGKGSTVLEVLDHIAAASGRDLPPRFAPRRPGEIQHSTLDVTRVAADLGWTASVPLEKGIAATYAW------------- 121592841 ------LVTGCAGFIGMHCVQRLLERGERVVGIDNLNAYYDVGLKHARFEQIDVADRDAMHALFARVRPHRVLHLAAQAGVRYSIDQPDDYTDSNLLGFGNILQGCRAQQVEHLVFASSSSVYGGNAKLPFSEDAVDHPVSYYAATKKANEVMAHAYAHLYGIPTTGLRFFTVYGP----------WGRPDMALFKFTRAMLAGETIDVYGEGQLVRDFTYIDDIVEGVLRVLDKPATPDAAFDPAPYRIFNIGNSAPTLLMDYIQALEEALGMVALKRMRPLQPGDMHSTAADMSALASWVGFAPHTPVRDGVARFVHWYKDFY-------- 149372623 -SQKKILITGGAGFIGSHVVRKFVTTAYKIYNLDALTYAGNLENLRDIFIKGDITDDTFIMNLFETEKFTDVIHLAAESHVDRSIADPLAFAKTNILGTMVLLQAFKDWKNKRFYHVSTDEVYGTGESGLFTETTAYDPNSPYAASKASSDHFVRAYGETYGLPYVISNCSNNYGPNQFP----------EKLIPLFINNIIEKKPLPVYGDG------NYTRDWLYVLDHAIAIDKVFHTGVN---TETYNIGGFNEWKNIDLVKVLCDQMDEKKLITYVKDRPGHDLRYAIDANKIKNKLSWEPSVTFEEGLSQTIDWYLNN--------- 241205937 ----RYFITGTAGFIGFHLARRLLQEGHDVTGFDGLTPYYNVKLKEMRHAALSLEDRPALEAAVLAAKPDILIHLAAQAGVRYSLENPEAYLRSNVEGSWNIMEIARRVEIRHLMLASTSSIYGANATVPFHETDRDEPLTIYAATKKSMELMAHSYAHLHKIPTTAFRFFTVYGP----------WGRPDMALFKFTKNMLEGQPIEIYGEGNMSRDFTYIDDLIEAIVRLSAVVPSEENRLEQAPFRVVNIGGGQPVSLMDFVETVEKALGRPAIRKMLAMQKGDVPRTFAAPDLLVALTGYKPDTTLDVGVKAFVDWYLD---------- 30794996 ----RLLVTGAAGFIGSTYVRNVLEAGERITALDKLTYAGNPANLAETFVQGDICDTELLADLVPGH--DAVVNFAAESHVDRSIAGADDFVRTNVLGTQALLSAARAARVPRFVHISTDEVYGSIESGSWTEDCALLANSPYAASKAGADILARAYARTYGMDVSVTRCSNNYGPRQYP----------EKLIPLFVTNLLEGERVPLYGDGL------NVRDWLHVDDHCRGVQLVLEKGRA---GQAYNIGGGEELTNLELTRLLLAECGADPRIVHVPDRPGHDRRYCVDDSRIR-ELGYAPRVPFRDGLAHTVEWYRDNPQWWK---- 158312817 ----RILVTGAAGFIGSTVVDRMLADGHSVVGIDDLSSGRMENLTQAAFEKGDIT-SPDLGDFVARVRPDAVAHLAAQIDVRISVADPLLDARLNVLGTINVLEAARAAGVVKVIHTSSGSIYGTPAALPVDESVPPAPESPYAAGKAAGELYLNVYRVTYGVATTALALGNVYGPRQDP-------HGEAGVVAIFGTALLEGRPTKIFGDGAT------SRDYVFVGDVADAFARCVPAQAANG--LRINIGTGAETTVLDLHSRIARVVGVPDEPQFAPPRPGELQRISLDVGLAEREIGWRPRMDLDGGLTRTVDW------------- 168180874 LEGKKVLVTGSEGFIGSHLTERLVELGAEVTALVQYNSFNNWGWIDTFSKEVDIREYDGMKRIIKGQ--DVVFHLAALIAIPYSYLSPMAYVKTNIEGTTNVLEACREYEVEKIVHTSTSETYGTALYVPIDEKHPMHGQSPYSASKIGADKIAESFYRSFNLPVVTIRPFNTYGPRQS---------ARAVIPTIISQILAEKTEIKLGG-------LSPTRDFNYVIDTVEAFIKVAESNKTIG--QVINVGSNYEISIGDTVRKIINIIGKDVKILCDEERISEVNRLWADNRKIKELTSWNPRYSLDDGLKETIEWIRNNMKYFKTD-- 294339940 -KPQRWLVTGSAGFIGSHLIQTLLTHGQDVVSLDNFSTGHEEAWKRHTFIEGDIVDPQTCQRACQGVNI--VLHEAALGSVPRSIENPLATHAVNATGFLNMLVAARDAGVGRFVYAASSSTYGDHPGLPKVEDLIGKPLSPYAVTKYLNELYADVFGRVYGLQSIGLRYFNVFGTRQD------PNGPYAAVIPNWAKAIRGNQPCFINGDGETT------RDFCYIDNVVQANVLAGLSTHPESANQVYNVAFGEQTSLNTLHQLLEEIMALDTSVKFTPPRKGDVRHSLADISKAKRLLGYSPDFSVRAGLKIAMPWY------------ 135196611 MDKKNIIVTGGAGFIGSHIVSRLLSEGYKVTILDNLSTGKRENVPQGDFIKIDLGEESA-YSLLKDIDCEAVFHLAGQSSGEASFQEPFYDLRSHVMSTFFLLEWCRAKGVRRFLYASSMSVYGDPNSLPVGEGHPLQPKTFYAAAKVSAEAYIKFYQTL-GINTTILRLFSVYGPGQNL------SNRMQGMISIYLSYMLKNEPIVVKGTRERV------RDFVYVDDVIEAWMEAFRNPVT--YTKIYNVASGKETKVEDLLNTLLESFGYDCPIIYEGSTPGDQFGVVADITRIKEDILWRPKVGLKEGLNKMIEFEKE---------- 136620154 FQGKKCLVTGGAGFIGSNLSRFLARNGANVTVLDDFSTGRRENVLDFENIEADIADQERTSEYFSGVN--YVFHQAAVPSVPRSIAEPLLTNNSNVNGTLAVLENCRLNNVTKVVFAASSSAYGDTEELPKDISMKPSPLSPYAIQKLTGEMYCKTYFDNFGLRTTSLRYFNVYGPHQD------PNSEYSAVIPIFIRRALASKPITIFGDGSTSRDFTFIEDVIQANLRA--------ALSTKSDGHVVNVAYGDRFTLTELANKIIDNIGSNSTIEYSDFRKGDVLHSLADLSTTRDLINYVPQFDLSAGLAKTIDFYKK---------- 224812403 MSGPRILVTGGAGFIGSHYVRAVLAADAEVTVLDKLTYAGNPANLRCEFVWGDLCDPELLSKVIPGQ--DVVVNFAAESHVDRSIAGSAEFVRTNVLGVHALLQACLEAGTPRVVQVSTDEVYGSIDAGSWDEEAPLRPRSPYAASKAGGDLVARAYAVTHGLPVSITRCGNNYGPR--------QYPEKVIPLFITRLLEGRKVPL--------YGDGGNVRDWVHVED----HCRGVQLVAERGEPGEIYHIAGTELTNRQLTERLLEACGRDWMVEHVTDRKGHDRRYSLDDGKLRA-LGFAPRIPFATGLAETVRWYADHPEW------ 90418005 ---KRILITGTAGFIGFHLAKLLLSEGFVVHGYDGITDYYDVRLKQRRHQEGMLEDQALFVQVADDFAPDVIVHLAAQAGVRYSLENPRAYLDSNVIGTFNVMETARRLRVQHLLMASTSSVYGANTDMPFVETERADTLTIYAATKKANESMGHAYAHLHDLPTTMFRFFTVYGP----------WGRPDLALYKFVDAILDGRPIDIYNHGDMYRDFTYVDDLVRPVRTADGVVPDGDSLSPVAPYRVVNIGNSDKVRLLDFVDAIEECLGQKASRNYMGMQTGDVPATWANAELLKKLTGYRPQTDFRVGIARFVDWYREY--------- 134996542 ---QRVLVTGGAGFIGGAVVRRLLETKAKVFNLDKCGYASDLTNIDALTERVDLANAEDTTAAVKQANPDLVLHLAAESHVDRSIAGPDTFISSNVNGTFHLLQAVRSHWAFRFHHISTDEVFGLREEGRFSETTPYDPRSPYSASKAASDHLVRAWHHTYGLPVVLTNCSNNYGP--------WQYPEKLIPVVILKAAAGEPIPL--------YGDGLNVRDWLYVDDHVEAL---LLAATRGEIGESYCVGGGGERTNRQVVETICKLMDKVRPIKLVNDRPGHDRRYAIDPKRINTDLGWQPRHTFEQGLTSTVQWFLDHLDW------ 255059452 -QPKAWLVTGAAGFIGSNLVEALLRLDQKVVGLDNFATGKRQNLEELRFIEGDLRDLDTCQK--AASGIDYVLHQGALGSVPRSLADPVTSNAANVTGFLNMLVAARDAGVKRFVYAASSSTYGDHPDLPKVEDRIGRPLSPYAVTKYVNELYADVFARSYGIESIGLRYFNVFGRRQD--------PDGAYAAVIPKWVASMLRREQVF----INGDGETSRDFCHVQNVVQANLLSATTGNPGAVNQVYNVAVGERTSLNQLFQLIRDFLQPEATPAYREFRAGDVRHSLADISKAERLLGYQPTHRIKEGLGEAMQWYREN--------- 116075546 -RSRPILVTGAAGFIGAALCQRLLQRGDQVIGIDNLNTYYDPALKQARLEAIDLEDGDALLALFAAERPRVVVNLAAQAGVRYSLENPAAYIQSNLVGFGHILEGCRHHGVENLVYASSSSVYGGNRNLPFDERQPVHPVSLYAASKKANELMAHTYSHLYGLPATGLRFFTVYGP----------WGRPDMAPMLFAKAILAGKPIRVFNHGQMQRDFTYIDDIVECCDKPATANPDFDPATAAAPHRVFNIGNSQPTPLLRFIEVMEQALGREAIKDFQPMQPGDVVATAANTAALEEWVGFKPSTPIETGVQRFADWYRAFY-------- 291235428 MEKKRILITGGAGFVGSHLVDRLMKEGHEVTVVDNFFTGRKRNVHWVGHENFELINHDVVEPLL--IEVDQIYHLASPASPPHYMYNPIKTIKTNSIGTLNMLGLAKRVRA-RLLLASTSEVYGDPEVHPQNEDYWIGPRACYDEGKRIAEAMCYAYHKQENVEVRVARIFNTFGPRM-------HMNDGRVVSNFILQAL-QNEPITIFGT------GTQTRSFQYVSDLVDGLISLM----NSNVSSPVNLGNPEEHTIQEFAEIIKAVSNTKSPITHVAATEDDPQRRKPDIRKAKKLLNWEPQVPLSVGINQTIAYFSE---ELANSHR 297625077 ---QRVLVTGGLGFIGSSYVRLLLTETAEVVNLDLMTTSDPRYAARYTFVKGDIADPAVVRRAL--EGCDAVVNFAAETHVDRSIHDAAPFVHTNVEGVRVLLDAVRRERPVRFVHVSTDEVYGEVLEGLSREDDPFRPRSPYSASKAGGDLLVGAYGVTYGLDTVVTRGSNNYGT---------HQFPEKIIPLFITNALDDQ-PLPVYGTGKAI------RDYIFVTDHVRGIHAAL---LRGRRGEAYNLGGQNQTDGLSVARTILDALGKPHTIQHVQDRPGHDLRYALDITKATRELGWRPTVTFAEGIAQTVRWYQEHPDW------ 298387402 LANKVVLVTGAAGFVGANLVKRLLNESVKVIGIDSITEYYDVRLKYERLQELSIAKKGVVDSIFTDHHPQVVVNLAAQAGVRYSITNPDAYIESNLIGFYNILEACRHYSVEHLVYASSSSVYGSNKKVPYSTDDKDNPVSLYAATKKSNELMAHAYSKLYNIPSTGLRFFTVYGP----------CGRPDMAYFGFTNQLREGKTIRIFN------YGNCKRDFTYVDDIVEGVVRIMRHAPEKQNGDDYNIGNNSPENLLDFVTILQEEYDFETHKELVPMQPGDVPVTYADTTPLEQDFGFKPSTSLREGLRKFAGWYAKYY-------- 136665799 MKRQSILVTGGAGFIGSHVVRTLLKNHEEIVNLDLLTYAGNENEPQYAFVKGDIQDRTLIESLFEQHKFDAVVHLAAESHVDRSIANPMAFLETNIIGTATLLHAAKNAWGKCFYHISTDEVYGLGAEGLFTEDTPYDPRSPYSSSKASSDHLVRAWHHTYGLPVVISNCSNNYGS----------FQFPEKLIPLMIRNIRDQRPLPVYGEGI------NVRDWLWVEDHASAIDVILH---NGAFGRTYNIGGLNEWRNIDLVHELIRLVDSKGLIEYVKDRAGHDMRYAIDASRIEEELGWKPSITFENGLAATVDWYLSNGQWLDS--- 168700508 ----TVLITGGAGFIGSNFVRHLLATDPAVVNFDALTYAGNDGHPRHTFVRGDVTSRDDVRGAMQR-GVTDVIHFAAESHVDRSIHDSGPFVRTNVLGTQVLLDAAREFQVSKYVQVSTDEVYGLGATGLFTEETPLHPNSPYSASKAGADLLVQAYQHTFGLPAVITRCSNNYGP---------YQLPEKLIPLFVTNLLADQ-------QVPVYGDGQQVRDWIHVLDHCRGVEA---AWRRGAPGEVYNFGGRCEMANLDLTLLLLKLLGKPETIKYVADRLGHDRRYAIDCTKAERELGWAPQVKFDAGLAETIGWYKANTAWVA---- 135012813 -DRRRVLVTGGAGFIGGAVVRRLLRETVTVFNLDKMGYASDEEVLRHKLQKVDLSDAAAVGAAVREADPDLVMHLAAESHVDRSISGPGVFIESNVNGTYNLLQAVRGHYGFRMHHISTDEVFGLGAEGRFSETTPYAPRSPYSASKAASDHLVQAWHHTFGLPVVLTNCSNNYGP--------WQFPEKLIPVVTLKAAGGESIPL--------YGDGLNVRDWLYVEDHVDAL---LLAACNGTSGRSYCVGGHGERTNKEVVYAICQQLDQSCPITPVTDRPGHDRRYAIDPGRISSELGWSPRHNVDQGLAETVRWYLSHQEWCSKVRE 229199581 -----ILVTGGAGYIGSHTCVELLNSGYEIIVVDNLSNSSVESIKQFKFYKEDVLNQEALDAIFEENAIEAVIHFAGFKAVGESVAIPLTYYHNNITSTLVLCEVMQKHNVKKMIFSSSATVYGIPETSPITEEFPLSATNPYGQTKLMIEQIMRDAFADREWSIALLRYFNPFGAHESGRIGEDPNGPNNLMPYVTQVAVGKLKELSVFGNDYPTKDGTGIRDYIHVVDLAKGHVKALEKVLNTTGVDAYNLGTGTGYSVLEMVEAFERVSGKRFLIK------------------------------------------------------ 255574623 -----VLVTGAAGFVGTHVSLALKKRGDGVVGLDNFNNYYDPSLKKARIVEGDVNDARLLAKLFDVVAFSHVMHLAAQAGVRYAMENPHSYVHSNIAGLVTLLEACKSANPQAIVWASSSSVYGLNDKVPFSESDRTDPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGP----------WGRPDMAYFSFTRNILQGKPITVYR---GKNRVDLARDFTYIDDIVKGCVGSLDTAGKSTGSGIFNLGNTSPVTVPTLVSILEKHLKMKAKRNVVDMPNGDVPFTHANISLARRELGYKPTTDLQTGLKKFVRWYLSYY-------- 136272760 ----TILVTGGAGFIGSNICDYLISCGVKVRCLDNFSTGRKRHLIRDKNFTLIETDIRNLKACNAAANVDYILHQAALGSVPRSVNDPITSNDVNVSGFLNILVAARDNNVKKVVYAASSSTYGDSKDLPKIEDNIGEPLSPYGITKYVNELYASVFKKIYDLDTIGLRYFNVFGPRQDAN-----GAYAAVVPLFIKNILGDNSPT-------INGNGENSRDFTF-IDNVIQANILAIFAKEESNNQIYNVACGERKSLNQLFQTIKKVNCSKIKPVFGQPRSGDIPHSLASVEKARKLLKYEPIVQFDEGIKQTVRWHLSNQ-------- 255324211 ---QTMLVTGGAGFIGANFVRLICQADTRVTVLDKITYAGNRANLAGDLVEGDIADPATVEPLVAAA--DAVVHFAAESHNDNSLRDPSPFIHTNLVGTFTLLEACRKHD-TRLHHVSTDEVFGDGAGTNFTEDTAYAPSSPYSATKAGSDHLVRAWVRSFGLRATISNCSNNYGP----------YQHIEKFIPRQITNILSGHTPKLYGTG------EQVRDWIHVDDHNEAVLAILERGRI---GETYNIGADQEINNKQVIELICEIMGHTRNYEHVADRPGHDQRYAMDAAKLRRELGWTPRTDIRAGLEDTIAWYRENEGWWKPEKE 142047595 ----KILITGSSGFIGSALVKALDKKSYEIIGVDNHSDYYSISLKKDRHHDLDICDFDSLQKLFDEYKPNIVIHLAAQVGVRYSLENPRSYIDTNLVGFNNVIQLSKEHSVSNFIYASSSSVYGGNTTVPYTLQNVDHPISLYAATKKSNELIAHTYSHLYQLPTTGLRFFTVYGP----------WGRPDMAPFIFLKSILEGKTIDINNDGNHRRSFTYIDDITEAIRRLLEHPARANEEWDNAPWEIYNIGAEKTVELMDFIEILEKKTNIDAKKNFLPIQPGDVLVTDADCSDLEEKINFIPQVSIEDGLSSFINWYRDYYK------- 254469201 ME--KVLVTGTAGFIGNAVALRLLQDGYHVIGLDCVTDYYDVTLKHFTEERIRLEDAEAVMRIFKQHAPSKVIHLAAQAGVRYSLESPQSYVDANVTGFLSILEGARAHSVKHLVYASTSSVYGLDETMPLTHRGGNHPVSFYAATKKANEAMAHSYAHLFDIPCTGLRFFTVYGP----------WGRPDMALFKFTKAILEGEPVPLFNHGNMIRDFTYVDDIVEGIVRIDWDGKNADPATSSAPYQVFNIGNSDPVQLMDYLAAIEEALGMEAKKEFLPFQAGDVAATFADVTDLIETTGFKPQTSVKAGVANFVKWYRDYYN------- 69246553 LKNKIVFVTGVAGFIGSNLTKRLFAEGVKVVGIDNMNDYYDVRLKEARLEEGNLADKAVITAIFEEHHPNIVVNLGAQAGVRYSIINPDAYIEGNMIGFYNILEACRHYPVEHLVYASSSSVYGSNKKIPYSTDDKDNPVSLYAATKKSNELMTHAYSKLYNIPSTGLRFFTVYGP----------AGRPDMAYFGFTNKLLKGETIQIFN------YGNCKRDFTYIDDIVEGVIRIMQGAPEKQTGEDYNIGNHNPENLLDFVQILLEEYDFEAHKELVPMQPGDVPVTYADTEALERDYGFKPATPLRTGLRKFAEWYKEFY-------- 288798395 ---KTFLVTGGAGFIGSAVIRYLIQHTHRVVNVDKLTYAGNLDSLRYSFEKADIADAKAIKDIFSRYQPDIVLHLAAESHVDRSIDSPGEFMQTNIIGTYVLLEQARAYWQFRFHHVSTDEVYGDLPQTRFTESTPYQPSSPYSASKAGSDHLVRAWHRTFGLPVLVTNCSNNYGP--------CQFPEKLIPLMILNALAGRPLP--------VYGKGNQIRDWLYVEDHARALILVA---TTGKIGETYNIGGNEEKRNIDVVETICRVLDELCPINHVPDRPGHDMRYAIDAGKIQNELGWWPEETFESGIRKTVCWYLENRKW------ 138393522 -------------------------------ILDNLSNGFELNAQNYKLHICDLSDKDHVHQILKENKYEAVMHFASYINVGESYANPNKYYENNVTNTLNLLDSMVDLKILNFIFSSSAAVYGEPQSNPIAEDQMISPVSPYGNTKAIVEKILKDYDKAHGLKYIALRYFNACGAHTDGSIGERHNPETHLIPLILQVASGRREIFSIYGEDHDTKDGTCIRDYVHVMDLAEAHLLSLEDLIQNQKSDIYNIGNNLGFSVKEIINIAETITHQIIPYEILTRRKGDPTQLIADNTKIIEKLHWSANHSLNTILTTAWEWEKHLYKS------ 251771901 ------IVTGGAGFIGANLVHRIREKKAKVVTFDLLTYAGNLANLDALSHDGDIRDPKAVAELFLRHRPSGVLHLAAESHVDRSIESPGEFVATNVFGTFVLLDAALRYWDFRFLHVSTDEVYGSPSDPPFRETTPYAPNSPYAASKAASDHFVRAYHHTYGLPVVTTNCSNNYGP--------WQFPEKLIPTVILAALEGRPIPL--------YGDGENIRDWIYVEDHCEGVLAAFDRGR---PGETYAIGAGNPRTNKELVLTLCEILDRRDLITPVPDRPGHDRRYEIDAAKVRRELGWAPAHTFGEALEKTVRWYLEH--------- 15236712 -----VLVTGAAGFVGTHVSAALKRRGDGVLGLDNFNDYYDPSLKRARQVEGDINDAALLKKLFEVVPFTHVMHLAAQAGVRYAMENPSSYVHSNIAGFVNLLEVCKSANPQAIVWASSSSVYGLNTKVPFSEKDRTDPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGP--------WGRPDMAYFFFTRDILKG-----KAISIFEGVNHGTVARDFTYIDDIVKGCLGALDTAEKSTGSGVFNLGNTSPVPVTDLVTILERLLKVKAKRNIMKPRNGDVQFTHANISSAQRELGYKPTTDLQTGLKKFARWYLGYYNG------ 83589603 ----HILVTGAGGFIGSHLTEKLVREGHKVRAFVHYNSRNTWGWLEESEVKGDIRDYDSVRASLR--GIEVVFHLAALIGIPYSYVTPVAYIKTNVEGTYNICQAAREEGLRRVVHTSTSEVYGTARYVPIDENHPLQAQSPYAASKIGADQLALSFYRSFDLPVTIIRPFNTYGPRQS---------ARAVIPTIITQLLSGREEIRL-------GNLAPTRDFNFVEDTVNGFITAGLSPHTVGEVVNI--GSGREISIGELVELIGQLIGIKVKVRVDAERASEVERLCCDNRKANRLAGWRPEYSLSQGLAITIEWFKNH--------- 293370203 LDGKTVLVTGAAGFIGSNLVMRLFHRNIRVIGIDSITDYYDVNIKYWIFVRASIADKDAVERIFSENKISVVVNLAAQAGVRYSITNPDAYVQSNLIGFYNILEACRHYEVEHLVYASSSSVYGSNKKVPYSTDDKDNPVSLYAATKKSNELMAHAYSKLYNIPSTGLRFFTVYGP--------AGRPDMAYFGFTDKLVKGETIKIFNYG--------NCKRDFTYVDDIVEGVVRVMQHAPEKENGEDYNIGNSHPENLLEFVTILQEEYDFESHKELVPMQQGDVPVTYADTTSLEQDFAFKPGTSLRDGLRNFAEWYVKFYN------- 190894607 ---KRVLVTGGTGFLGSFLCERLLREGNDVLCVDNYYTGSRDNVLHLLDDRHDITFYVEVDEIYNLACPASPVHYQH---------DPVQTVKTNVHGAINMLGLAKRTKAKIFQ-ASTSEVYGDPAVHPQPEENPIGPRACYDEGKRCAETLFFDYHRQYGVEIRVARIFNTYGPRMQTNDGRV--------VSNFIVQALQNEPITIFGNG------TQTRSFCYVDDLIEGFIRLMGAPA--GVTGPINLGNPGEFQVRELAEMVIEMTGSKSSIVYNPLPIDDPTQRKPDISRATQDLGWQPTVNLREGLEKTIAYF------------ 229497280 ----KIVVTGGAGFIGSHLAARLSGLGYDVAAVDCFHPYYSAERKERPLVRLDLLDGERTKRWLVEFRPDVVYHLAALPGVPYSLEEPLAYIDYDIKATVNVLAAAGEAGAAHVLFASSSSVYGDRGNVPLREEMVDGRVSPYAAAKYGAESFCHAYAHLYGYQMTIFRYFTVYGP----------WGRPDMAIGTFLRRLLAGEEIVVYGKGTA-------RDYTYIDDIVEGMIAALHR--SGGRSEVFNLGAGAPVTMEQLLAELRKHF-PDMKIVHAPERKGDVKATWADITKAERAFGYKPKVAFAEGLARTVAWAREYER------- 27381115 -KNSRILVTGGAGFIGSHICERLLDAGAEVVSADNYFTGSRRNIAHFEAVRHDVTFYIEVDAIFNLACPASPIHYQ---------RDPVQTTKTSVHGAINMLGLAKRLKARIFQ-ASTSEVYGDPLIHPQTEDYWIGIRSCYDEGKRCAETLFFDYWRQHGLPIKVARIFNTYGPRM--------QPNDGRVVSSFIVQALQGEPITVFGD------GGQTRSFCYVDDLVEAIMRLM--VTKEDITGPINIGNNSEFTIRELAEKVIELTGSRSKLVFKPLPQDDPRQRQPDLTKAKTALNWEPKVALEDGLKETIAYFK----------- 255036654 ---KKILITGGAGFIGSHVVRRFVNFHYHIYNLDALTYAGNENAPNYTFVKGDIVDAGFIDNLFTDNDFHGVVHLAAESHVDRSISDPMSFVMTNVVGTVNLLNAAKKAWKRRFYHVSTDEVYGELHDTFFVETTSYDPRSPYSASKASSDHFVRAYHNTYKLPVVISNCSNNYGPN--------HFPEKLIPLMIHNIIQQKPLP--------VYGKGENIRDWLFVEDHAIAIDVIFH---NGANGDTYNIGGHNEWKNIDLVHLLCEIMDRKKLITYVTDRAGHDLRYAIDATKLSEELGWKPSLQFAEGLERTVDWYLTNQDWLNN--- 135247106 MTNDIILITGCAGFIGSHLSELLLQNNYTVIGIDNMNTYYDVSKKEANFYKEDIR----TTNIIEKMNPTIVVHLAGMAGVRYSIQNPSEYVDVNIKGHINLLEQAVKTKIKKFLFASSSSVYGGNTKTPFSETDVIEQKSPYAVSKKSMEDFSKLYNKLYGINILGFRFFTVYGPR--------GRPDMAPYKFLY--------KIKNNLKIDKYGDGTSMRDYTYIDDIVNGLYNAIKKNID--GYELFNLGNSSPILLNDFIEKCENVCNKKAIINQMGMQQGDVEITYADISKSQKMLGYNPKTNLDEGLKQTLD-------------- 162148305 ----RILMTGTAGFIGFHVACRLLDDGHEVTGIDGITAYYDVALKRERHHEFMLEDAVRLAETCREARPDIVIHLAAQAGVRYSLENPGAYISANIVGTHNLLEAIKTLGVRHFVLASTSSVYGASAESPFTEDQCNHPLSLYGATKKACEEIGHSHAHLYGLPITACRFFTVYGP----------WGRPDMALFRFTSNILGGRPIDVYNNAQMERDFTYIDDVVEALCRLLPHAPAVTTPTRRGVSRVVNVGHGQPVALGDFIGAIERATGRTAIRHNLPMQPGDVPRTWADCTILEHLTGYRPATALQDGIDAFVAWYRDWY-------- 40644835 ----RVLVTGGAGFIGSHYVRSLLSAGYEVTVLDRLTYAGSTANLPMTHVRGDVCDRRPLLDLLPEH--DAVVHFAAESHVDRSIHDASLFARTNVVGTQNLLDCCVRTGVRKVVHVSTDEVYGSIDEGSWTEDHPLEPNSPYAASKAGADLMARAYGRTHGLDVSVTRCSNNYGP---------HQHPEKLLPHFITRLLDGL-------QVPLYGDGRHVREWIHVDDHCRAVQLVLD----KGAPGAAYNIAGVARSNEDMTRDLLRLCGADWRVRRVEDRKGHDRRYAVDDSLIRDTLGFTPRIPLEAGLAGLVDWYRAHRAW------ 32475749 ---KTFLVTGCAGFIANEVASQLLAAGHRVVGIDNVNDYYDVRLKEHRLERGDIEDQSTLQHIFDENSFDAVLNLAARAGVRYSMENPHVYMTTNAMGSLNLLDQMQRVGVKKYVLASTSSLYAGQPMPFIETLPVNTPISPYAASKKAAEAMAYSYHHLYDIDVSVCRYFTVYGP----------AGRPDMCIFRFIKWIDEGTPIELFGD------GEQSRDFTYVSDIAAGTIAALKPV---GYEVINLGGGGTPVSLNDIIGRLENLLGKKAKVEHKTFHKADIKITSADISKANDLIGWTPKVELDEGLAASVDWYRENQ-------- 196230446 ----KILLTGGAGFIGSNFADLLLKEGHTLSVFDDFNTFYDPAIKRAPVYEADLRDWEAVERAVREQKPDCIVHLAARAGVRPSIKEPKLYIDTNITGTWHILEAARLHNVPRVVSASSSSVYGVIKTAPFSEDMLINTISPYAATKMATEQFCSNYSHLYGIRTISLRFFTVYGPRQRPDLAIHSFTKSIWEGKPINQF----------------GDGTTRRDYTYVDDILQGMRACLTYDGALCD--VFNLGESQTTTLNELIAAIEQALGKKAIINKMPEQPGDVPLTYANIDKARRLLSYDPHTKIAEGIPKFVEWF------------ 296134269 LAGKKVLVTGAGGFIGSHLTERLVELGAEVTAFVRYNSRNYWYLKDIRVVNGDIRDYDSVRSAAKGA--EVIFHLAALIGIPYSYESPIAYLKTNVEGTYNVLQAARELGTEKVIVTSTSEVYGTAQFVPISEAHPINPQSPYAASKSGADFLALSYYRSFDLPVAVIRPFNTYGPRQS---------ARAIIPTVIAQIAAGSRKIRL-------GSLTPTRDLTFVKDTAEGFIQV--AVSEGSVGQVINVGSNFEISIGDLAGLIARIMGAEIEIETEKERKSEVERLLADTAKAKALINWAPRYTLEEGIKETAEWIREH--------- 29828204 ------LITGGAGYIGAHVAWAMTEAGERVLVLDDLSAGVPDRLPTSPLVQGSSLDGALLKRVFAEHSVTGVVHLAARKQVGESVAQPTRYYQENVGGLTTLLDAVAGAGIQRFLFSSSAAVYGNPDVDLITEDTPCAPVNPYGETKLAGEWLVRAAGRAHGISTVCLRYFNVAGAAS----PELADTGVFNVVPMVFDRLTRGEAPRIFGDDYPTSDGTCVRDYIHVADLADAHLAAARRLTAGATGLTVNIGRGEGVSVRELITLIGEVSGDTTPALVEPRRPGDAPRAVASAALAAEELGWHARRGVREMVESAWRWRHHHPEA------ 163789186 ------LITGGGGFIGSNLAEYLLKYNAKVRVLDNFSNGHRKNLAEFHEIEGDIRDLETCKK--AMEGIDYVSHQAALGSVPRSINDPATTNEVNISGFLNMMIALKDTTVKRMVYAASSSTYGDSKALPKVEDTIGKPLSPYAVTKFVNELYADVFGTTYNTDVIGLRYFNVFGPKQS------PDGAYAAVIPLFMQALNDNEPSKINGDGEQTRDFTFI-------DNVVQANVKGFFASEDAKNEVFNVACGERITINYLWESLRIAAKSELKAIYGPPRQGDVRDSLANISKAEKLLGYKPKYTVREGLKITWDYF------------ 109154774 MTTTSILVTGGAGFIGSHYVRTLLGRGVTVTVLDKLTYAGTLTNLRFRFVRGDICDAPLVDDLLAVH--DQVVHFAAESHVDRSILGAADFVRTNVTGTQTLLDAALRQGIETFVHISTDEVYGSIDAGSWPETAPVSPNSLYSAAKASSDLVALAYHRTHGLDVRVTRCSNNYGSH--------QFPEKVIPLFVTSLLDGREVPL--------YGDGTNVRDWLHVDDHVRAIELV---RTGGRAGEVYNIGGGTELSNKELTQLLLDACGAGWRVRYVTDRKGHDRRYSVDCTKIRRELGYRPAREFGDALAETVAWYRHHRAWWE---- 254431537 -SRRRVLVTGGAGFIGGAVVRRLLAESATVFNLDKCGYASDEAVLAGMGARVDLTDPEATAAAVRQADPDLVMHLAAESHVDRSIEGPGAFIASNVSGTFHLLQAVRAHHAFRFHHISTDEVFGLGETGRFSETTPYDPRSPYSASKAASDHLVSAWHHTYGLPVVLTNCSNNYGP--------WQFPEKLIPVVILKAAAGEPIPL--------YGDGANVRDWLYVEDHVDAL---LLAATQGQLGRSYCVGGHGERTNKQVVEAICSLLDHAGLITRVTDRPGHDRRYAIDPARISGELGWQPRHAFAQGLEATVRWYLEHQGW------ 142810412 -SRRRVLVTGGAGFIGGAVVRRLLKESEIVFNLDKMGYASDRAEQRHVLQRVDLADAKAVREAVKAADPDLVMHLAAESHVDRSIAGPGVFIESNVTGTYNLLQAVREHENFRLHHISTDEVFGLGAEGRFSETTPYDPRSPYSSSKAASDHLVSAWHHTYGLPVVLTNCSNNYGP--------WQFPEKLIPVVTLKAAAGEPIPL--------YGDGLNVRDWLYVEDHVDAL---LLAACKGASGRSYCVGGYGERTNREVVECICSHLDHARLIARVTDRPGHDRRYAIDPTRIETELGWKARHDFDEAIAKTVQWYIAHY-------- 78186307 ----HLLITGGAGFIGSHVVRHFLSADCRITNLDSLTYAGNEDNPRYTFLKGDITDRGFIDRLFGQTDIEGVIHLAAESHVDRSIADPTAFVMTNVIGTVNLLDAARRHWNRRFYHISTDEVYGEKGTGMFTETTPYDPHSPYSASKASSDHFVRAYHDTYGLPVVISNCSNNYGP----------FQFPEKLIPLFINNIRNSKPLPLYGKGENVRDWLWVEDHARAIDVIYHRGRDGATYNIGGHNEWSNIDLVRQLCHIMDEKLGRRAGESEKLLTFVTDRAGHDLRYAIDSSLLQRELGWVPSLSFEEGLQKTVEWYLANEEWLG---- 149185657 ----TILVTGGAGFIGTNFVHYWQKRDDRIIVLDSLTYANVEELENVHLVRGDIRNTSLVENLLRDHDIDTIVHFAAESHVDRSIEGPDSFIETNIIGTHSLLKASRNVFPHRFHHVSTDEVYGEPDEPAFSEESRYRPNSPYSASKAASDHLVRAYHQTYGLQVTTSNCSNNYGP---------YQFPEKLIPLFLINCLHDR-------NLPIYGDGMNVRDWLHVDDHCRGIDLVLKR---GCIGETYNIGGGVELPNLELIDRLCGVVDDAFAKIFVDDRAGHDRRYAIDERKARAELGYQPKTDFDAGLRSTLVWYLENESW------ 256545810 LKNKTVFVTGSAGFIGSNLVLELLKTQINIIGIDNMNDYYDVNIKKWRLEEIDISNKEIIDKIFEEHKPDVVVNLGAQAGVRYSISNPDAYISSNMIGFYNILEACRHSYVEHLVYASSSSVYGSNKKVPYSTDDKDNPVSLYAATKKSNELMAHAYSKLYNIPSTGLRFFTVYGP----------------AGRPDMAYFGFTNKLLNDQTIEIFNYGNCKRDFTYIDDIVEGVKRVIQKAPERKNGEDYNIGNNNPENLLDFVTILQEEYDFESHKKLVPMQAGDVPITYADTKPLEKDFGFKPDTSLRDGIRKFAEWYKEFYK------- 290475437 ----KFLVTGSAGFIGFHVSQRLLSMGHEVVGIDNINDYYDVNLKQSRFEKLDLADRIAISELFVKHQFQRVIHLGAQPGVRYSIQNPMAYIDANIVGHINILEGCRHNRVEHLLYASSSSVYGLNKKQPFTNDSVDHPVSLYAATKKADELMSHSYSHLYQLPTTGLRFFTVYGP----------WGRPDMALFKFTKAMLEGRSIDVYNHGNMVRDFTYIDDIVESIIRLDWLVEDGEISSSSAPYRIYNIGNGQPTKLGDFIEAIEASLGINAKKNFMEIQDGDVLSTCADSNTLYDKIGFSPDTPVKEGVKRFVDWYLDFYQ------- 139842702 ----TILVTGGAGYIGSHTVLELLQQGTEVVVLDNLSNSSNESLKDVTFYEGDILDRDCLDNIFREHTIDSVIHFAGLKAVGESVIKPIEYYQNNVQGTLTLVDAMRDAGVFKLVFSSSATVYGDPASLPIREDFPVGTTNPYGTSKLMVEMMLQDIAKSDEFAFVILRYFNPVGAHESGLIGEDPNGPNNLLPYISQVAVGKLEKLGVFGDDYDTVDGTGVRDYIHVVDLALGHLKALNRIKNDTGAHVFNLGTGNGYSVLQMVTAFEKASGQAVPYQISP--------------------------------------------------- 255060176 ----RILVTGGAGFIGSHLCERLLKEGHDVICLDNFFTGNKRNIA----HLLDHRDFELIRHDVTELEVDRIYNLACPASPIHYQYNPVKTTKTSVMGAINMLGIAKRVRA-RILQASTSEVYGDPQVHPQTEAYWLGLRSCYDEGKRVAETLMMDYHRQNNVDIRIIRIFNTYGPKMAENDGR--------VVSNFILQALKGEDITVYGE------GEQTRSFCFVSDLVEGMVRMME---TPGFIGPVNLGNPTETTILEFARKIIALTGSTSRIVYRPLPADDPKQRQPDISLAKQMLGWEPKVSVDDGLKQTIDYFR----------- 239944856 ----RVLVTGGAGFIGSCFARLLL--GPDVTVLDALTYAGNRENLRLTFVHGDIRDAALVDTLVAGQ--DAVVHFAAESHVDRSILDASAFVGTNVVGTQILLDAALRHGLGTFLHVSTDEVYGSVEKGSSREDHPLLPTSPYAASKASSDLIALAYHRTHGLDVRVTRCSNNYGP---------HQFPEKLIPLFATRLLDGR-------TVPLYGDGGNVRDWLHVEDHCRALCAVL---TDGRPGEIYNIGGGTELSNRDLTRLLLDICGAGWEVELVTDRKGHDLRYSVDSGKIRDELGWTPRNTMDTGLAATVAWYRRN--------- 87310590 ----KYLVTGGAGFIGSHIVEALVARGDQVRVIDNLSTGKRSNLKRIEFVEGCLTDSAVVADAVRGV--DVIFHQAALASVPLSVERPLDTHAHCVTATVNLLNEARQADVRRVIYAASSSAYGDAPTLAKRETDLPNPLSPYAAAKLAAEYYLQAFYHTYGIETVGLRYFNVFGPRQDP-----DSPYSAVIPIFITLLLQGDRP-------VIYGDGEQSRDFTFVKNVALANLAAADANGVAG--RIINVANGRSTSLLRLIELLNRELGANVQPQHVPPRVGDVRDSMADNTLARTLLGYEVEIDFETGLQMSIDYYRQ---------- 284165444 LAGRRILVTGGAGFIGSNFARWLAPHAH-VTILDDFRSGSRDNINDIDDIDGDIRDAGLVANAVR--DQDVVVHMAAMAGVQRTLDNPVDTLEVNVEGTRTVLEAAVEASVDRVLFTSTSEMYGDLFEPPYREDGPIAPKTNYAVAKAVNERYVKSYCEAAGIPYTILRYFNVYGPNQDGSTDGYVVPKFVRRALADD-------------SIPVYGSGEQTRDFTYIDDALDATIRSL---GPAGRNETFNVGTGYECSIRRLAEFAADVVGRGHIIHTEDPRPYRVERRCADITKARGVLGYAPRTPLPDGIAK----------------- 296331423 ---KSYLITGGAGFIGLTFTKLMLKETDRITVLDKLTYASHKENSRFRFVKGDISVQEDIDRAFD-ETYDGVIHFAAESHVDRSISQAEPFITTNVMGTYRLAEAVLQGKAKKLIHISTDEVYGDADDPAFTETTPLSPNNPYSASKASSDLLVLAYVKTHKLPAIITRCSNNYGP----------YQHSEKMIPTIIRHAKQGLPVPLYGDGL------QIRDWLFAEDHCRAIKLILEKGT---DGEVYNIGGGNERTNKELASIIMKHLGCEELFAHVEDRKGHDRRYAINASKLKNELGWRQEVPFEEGIARTIRWYTDNDR------- 135157765 ----RILITGTAGFIGFHLAKLLLKEGMVVHGYDGMTDYYDVALKQRRHAEGMLEDQALFDSVADTFQPDVIVHLAAQAGVRYSLENPRAYLDANVIGTFNVMEAARRLQVKHLLMASTSSVYGANTDMPFVETEKADTLTIYAATKKANESMAHAYAHIHNLPTTMFRFFTVYGP----------WGRPDLALYKFVDAILDGRPIDIYNHGDMYRDFTYVDDLVRPQRPSNGVVPEGDSLSPVAPYRVVNIGNSDKVRLLDFVDAIEECLGQKAERNYMGMQTGDVPATWANAELLQRLTGYRPETHFKEGIAAFVQWYREY--------- 144057250 ---KAILITGGAGFIGSHVVRKMVNKNTQIVNMDALTYGNLDNVADYTFVQGDIIDASFVNTLFDQHQFDGVVHLAAESHVDNSIKNPLGFAQTNVMGTLNLLEAARNQWKDRFYHISTDEVFGLGKEGAFTEETAYDPRSPYSASKAASDHFIRAYFHTYDLPVVLSNCSNNYGP--------AQYPEKLIPLFIKNIVENKPLP--------VYGKGENIRDWLYVEDHAEAIDLVLH---QGKIGETYAIGGNNEIRNIDMVHTLIEVTDRLDLISYVVDRLGHDFRYAINASKIKNELGWKPMTHFEEGIEKTV--------------- 148264353 ----RILVTGGAGFIGSHLCGRLLREGHEVICLDNFFTGSKRNIPGFELIRHDITEPIEVDRVYNLACPASPIHYQ---------YNPVKTIKTSVMGAINMLGLAKRVRA-RILQASTSEVYGDPQVHPQSEEYWIGIRSCYDEGKRVAETLMMDYHRQNGVDIRIIRIFNTYGPRMAVNDGR--------VVSNFIVQALRGEDITVYGEGMQT------RSFCYVDDLVEGMIRMMEC---EGFTGPVNLGNPTETTILEFARRIVALTGSKSRIVFNELPDDDPKQRQPDISQAKEKLGWQPQVDVETGLKKTIDYF------------ 288560317 ----KILVTGGAGFIGSNFIKYMLDKDYEIVNLDALTYCGNEDNPNYSFVKGNIMDEGLVDVVVSSV--DYIVNFAAESHVDRSIEDPQIFIKSNIIGTQVLLDAAYKYQIKKFLQVSTDEVYGLGPEGYFTETTPLQANSPYSASKAGADLMVRAYGETFDLPINITRCSNNYGP---------YQFPEKLIPLMISNALEDK-------ELPIYGDGKNIRDWLHVYD----HCSAIDLVLHKGKGEVYNIGGHNEKQNIEIVKLIKELNKPESLIKFVKDRLGHDRRYAIDSSKITEELGWKPKYTFETGIVETIHWYLDNQDWMEK--- 288549270 ----HLLVTGGAGFIGSRYVRALLGDGVVVTVLDALTYAGNPANLRFRFVQGDICDAAVVDRVMA--DQDQVVHFAAESHVDRSLLDASAFVQTNVHGTQTLLDAARRHGVAPFVQVSTDEVYGSIEHGSWTEDEPLRPSSPYSASKASAELLALAHHVSHGLDVRVTRCSNNYGPH--------QFPEKLIPRFITLLMDGHRVPLYGDGLHV--------REWLHVDDHVRGIEAVRTRGRT---GRVYNIGGGAALSNKELVGLLLEACGADWSVEQVEDRKGHDRRYSVDSTRIQRELDFVPTTGLADGLAATVAWYRAHRSWWE---- 149923469 ----RLLVTGGAGFIGAQVCATAIAAGYTVRVLDDLSTGLRSNLEGLPGIELLVGDIRELACCEHAVRDDAVIHLAARGSVPRSIDDPQATMRTNVMGTTNVLDACRRAGVRRVVQSSSSSIYGVVPGLPRREQQRPDPRSPYAASKLAAEHVAQAWHACWGVEVVTLRLFNVYGPRQRS-----DSSYAAVVPLFIAAALSGRPAELHGGG-------EQSRAFTYVEDVAEGILAAL-RSPRVGENERINLAHEACEPVRELHARIGALVGVDIPPRITAARRGDVLSSSADLERARTLLGWTAQTSLDAGLAATIAWHRE---------- 116789678 -----VLVTGAAGFVGSHVSLALKKRGDGVLGIDNFNNYYDPSLKRSRQVEGDINDRYLLKKLFDVVPFSHVMHLAAQAGVRYAMENPISYVHSNIAGLVNLFEICKSANPQAIVWASSSSVYGLNKENPFSEHDRTDPASLYAASKKAGEAIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTKDILQGKTIPIFQG---PNQVDVARDFTYIDDIVKGCVGALDTAEKSTGSGEKKKGPAQLRIYNLGNTSPVSVPELVRILEELLKVKAKKNVLRMPNGDVPFTHANVTLASMELGYKPTTDLATGLKKFVKWYLSYY-------- 143722366 ----RVLVTGAAGFIGNAVALRLLQRGDQVLGLDNYNDYYDVGLKHARIARLDLSDRTAMERVFTDFTPERVVHLAAQAGVRYASLNPHAYAASNLTGFLHVLEGCRQSDVDHLVFASTSSVYGANCAMPFSEHDTEHPLTLYAATKKSNEMMAHSYAHLYGFACTGLRLFTVYGP----------WGRPDMAPILFAKAIANGDPIQVFNHGRHSRSFTYVDDIVDAQCNEAWDPEAPDSATSTAPYRIYNIGHEQPMELLRFIELIESALGKRAVMELVPLQPGDVVDTAADVSDLVSRLGATPRIEPEDGVPRFIEWFRSYYR------- 136556370 -----------------------------------------------------------------------VIHFAAYKSVGESMSNPGRYFSNNVTGSTLLVEALAQAGVDKVVFSSSASVYGTPETVPVREDAPLHPESVYAETKYMTERMLHWFSEIHGLRSVSLRYFNAAGDSFDSLIGEDWSVTTNLVPVVMKATLGKRDALEVFGNDYPTRDGTGIRDYIHVVDLADAHVKALDYLAEGKPTIACNVGTGTGTTVLEVIQATERISGRQVPYVMSPRRAGDPVEVYADPTFAAQTLGWQAQYSLDDIIATAWQWHSTHIDGYAK--- 308270588 ----KYLVIGGCGFIGSHIAEKLVRDGKSVRIFDNLSSGYEENINKVEFIKSDIRDISAINA--AMAGIDYVFHEAALVSVFDSVKRPKDNHDINITGTINVLLAAREAGVKRLVFAASAAAYGNNPLLPKKEDMKPEPESPYGLAKVTSEQYLALFSKLYGLETVNLRYFNVYGPRQD------PGSMYSGVISRFVEAVLKGESPTVYGD------GSQTRDFVFVEDIVQANLLAM-HTPGIGCGEIFNIGSGKQTSLLDLLEILKDITGSDFEIKFAESRQGDIKHSVADITLAVQKLSYSPKYDVQTGLKRLVDY------------- 302205389 ---KNLLVTGGAGFIGSNFVRRTLETDYRVRVLDKLTYAGNSANLDGCDVEGDICDAALVDKLLS--DADVIVHFAAESHNDNSLEDPSPFIHTNVVGTFTLLEAARRHRV-HLHHVSTDEVFGDEDPNRFTERTPYNPSSPYSASKAGSDHLVRAWVRSFDVSATLSHCSNNYGP----------YQHIEKFIPRQITNILSGIPPKLYGTG------EQVRDWIHVDDHNDAIHAILDR--GRIGESYIIGADNDHVNNKQVIELICHLMG-ADSYEHVADRPGHDMRYAMDSTKLRTELGWKPRFTMREGLSYTIQWYRDNEHW------ 14590332 MHSMKLLVTGGMGFIGSNFIRYILEKDWEVINIDKLGYGSNEDDPRYTFVKGDVADYELVKELVR--KVDGVVHLAAESHVDRSISSPEIFLHSNVIGTYTLLESIRRENPERFVHVSTDEVYGDILKGSFTENDRLMPSSPYSATKAASDMLVLGWTRTYNLNASITRCTNNYGP--------YQFPEKLIPKTIIRASLGLKIP--------IYGTGKNVRDWLYVEDHVRAIELVLLKGESR---EIYNISAGEEKTNLEVVKIILRLMGKEELIELVEDRPGHDLRYSLDSWKITRDLKWRPKYTFDEGIKKTIDWYLKNEWWWK---- 135860915 MKIMKILVVGGAGYIGSHMLKRFQNTNYNIEILDNLSTGYIDNTMGYQLHNCDLADKYQLHSIIQNGKYDLVMHFASFINVGESYINPQKYYENNVTNTQNLLNCMIQNKILNLIFSSSAAVYGEPELIPISEEHTINPVNPYGQTKAFVEGILKDYDNAYGLKSICLRYFNACGAQLDGSIGEQHKPETHLIPLILQVASGRLKNIDIYGEDYPTTDGTCIRDYIHVMDIAEAHLLALEFLKEKQISEIFNIGNNEGFSVK----------------------------------------------------------------------- 256829246 -SKQRWLVTGVAGFIGSNLLETLLCHDHEVTGLDNFSTGHREQWARFRFIEGDIRDLPTCMEACKGA--TYVLHQAALGSVPRSIVDPLLTNANNVTGFLNMLVAAKDSTVRRFVYAASSSTYGDHPGLPKVEDTIGKPLSPYAVTKYVNELYAEVFLKTYGLDSVGLRYFNVFGKRQD--------PEGAYAAVIPKWFAGLLRGETV----WINGDGETSRDFCFIDNCVQANILAACATGPDVAGSVFNVAFGQRTTLGELFYLIRDEAAKEALPSHRDFRAGDVRHSLADISKSRRLLGYDPAYDVREGLAKAGEWYARH--------- 135357004 ---KTVCLTGVAGFIGFHLANKLHEEGFKIIGIDNLNSYYDKQLKLDRFLKLDISDDEAVLSLDKNYEFDIVINLAAQAGVQYSLENPHEYISSNVRGFLNILELSKRQKIDHLLYASSSSVYGLNKKIPFNEDDNDHPISIYAASKKSNELMAHSYSYLYDLPTTGLRFFTVYGP--------WGRPDMALFLFTKAAVENNKIKVNNFGDH--------SRDFTYVDDIVSGIINILTPSQSEAPWQILNIGRGQKIQLMEFIEIIEDYFKKEIDKELIPLQAGDVPNTFCDTTKLTQKFHYKPSVSVKDGVKRFLDWYVNYYN------- 136109653 IKNKSWLVTGAGGFIGSNLVNFLLSLEQRVVGLDNFSLGIKDELIDFTLIEGDITDRKACQTALK--KIDFVLHHAALGSVPRSISDPFSSNHANITGFLNMLISSRDINIKNFIYASSSSTYGDHEALPKVEDVIGKPRNPYSITKYVNELYADTFAEHYGFKTIGLRYFNVFGPRQR------ENGDYAAVIPKWIKNILNNENIEIYGD------GETSRDFCYIENAIQANIIAALSKNEIAKGQVYNISVGDQTSLNQLFFMIHKTIEKKIGKKYKDFRPGDIRHSRADISKAKKLLNYKPTHKIEKGIKETVNWYVN---------- 158335517 ----RILVTGGAGFIGSHLIDRLMADDHEVICLDNFYTGRKHNVLWLDNPNFEIIRHDVTEPI--RLEVDQIYHLACPASPVHYQYNPVKTIKTNVMGTLIMLGLAKRIKA-RLLLASTSEVYGDPEVHPQTEENPIGIRSCYDEGKRVAETLAFDYHRQNNVDIRVARIFNTYGPRM--------LEQDGRVVSNFVVQALKGIPLTVYGSGKQT------RSFCYVSDLVDG----LMRLMNGNSIGPINLGNPDEYTVLELAQTVQSMVNPDAAIEYKPLPADDPQQRQPDITKARTELGWQPTIPLKDGLERTIE-------------- 220913156 ---QRLLVTGGAGFIGSNFVHYVLENDDHVTVLDKLTYGNLESLRGLPFVEGDIADAGIVDGLVA--GTDVVVHYAAESHNDNSLHDPRPFLDTNIIGTYTLIEAARKHN-KRFHHISTDEVYGDDDPERFTEQTPYNPSSPYSSTKAGSDLLVRAWVRSFGLQATISNCSNNYGP---------YQHVEKFIPRQITNVIDGIRP-------KLYGKGENVRDWIHANDHSSAV---LAIIAKGTIGETYLIGADGEKNNKDVVELILKHMGLAPDYDHVVDRPGHDLRYAIDSTKLRNELGWEPQFSFDAGIEDTIDWYRNNEQWWRPQK- 13475639 -----IVVTGTAGFIGFHVASRLLRRGLAVIGVDNFTPYYDVGLKEARFAQLDLADQALVKALFSDFQPSHFVHLAAQAGVRYSLADPHAYVQSNIVAFLNVLEGCRHAGVSHLVYASSSSVYGANRSIPFSEHHGAHPVSFYAATKSANECMAHSYSHLFGLPVTGLRFFTVYGP----------WGRPDMAVYTFTHAIAEGRTIEIANAGRVWRDFTYIDDIVEGVVRVDWDSRAAAPATSSAPYRIYNIGNDRPEEINRLIAIIETALGRRAVRVNVPLPPGDVLKTRADVSDLRGAVGFAPATALEDGVQRFVEWYRDF--------- 282165390 -------VTGGAGFIGSHLCEYLLGKGDRVIAIDNLGSGSKDNIKGFTFIKHDVRKPLKVRE-----KVDYVYNLASRASPVDFDRYPVEIMMTNSVGTYNAVNAALEHGA-RFLTASTSETYGDPDVSPQPETYWVGPRSCYDESKRFSEALTMAFVRHQGLDGRIIRIFNTYGPRMRL--------DDGRVVPNFVTQALAGRPLTVYGDG------SQTRSFCYVSDLVRGIYLMMHSPV---KGQVVNLGNPREMTVLEFARTIIEKTGSSSAIDYRPLPENDPLQRRPDIRKAKELLGWEPEVGLDEGLESTIAWFKD---SMSKKKE 271962202 ----KILIIGGAGFIGSHYVRTLSADGVAVTVLDKLTYAGNPANLEGAAHEGDICDAGLLAEVVPGH--EVVVNFAAESHVDRSIDGAGEFVRTNVLGTQTLMQACLDAGTRRVVQVSTDEVYGSIDTGSWKEDAPVRPRSPYSAAKAGGDMIALAYAVTHGLPVSITRCGNNYGP---------HQYPEKVIPLFVTNLLRGRK-------VPLYGDGGNVRDWIHVDDHCAGIRLVAER---GEPGEVYHIAGTAELTNTELTARLLAACGAGWMVEHVEDRKGHDRRYSLDDSRLRA-LGYRPNIPFDQGLAETVRWYADNPDWWE---- 143314689 MENKKALVTGGSGYLGSILSKRLKKEGWRVVLYDKRPPNHS---YFDFLVVDDILNRQMVNTLFQQEDIDIVFHLAGRIEVGESQKNPTEFWEVNVGGTTIVLNAMKKYGVRKIVFSSTAGVYFS-SGILIPENEATTNNSVYSNTKLACENAIED----SGLEFIIFRYFNL--ARADEDLGENHEPETHLIP----KILRNLNNFEIYGSDYPTADGTCIRDYVHVLDVVEAHIKAIDYLYEDKESRVINLGTGKGYSVLEIIDVVKNITKQKVNYTFAEKREGDPEYLVADITLAKELINYEPQYEIHSIVQSAYEWEKK---------- 140446215 -------VTGGAGFIGANFALDWLAHVDEPVVIDKLTYGNLENLARHVFVQADIGDSAKLAQLLAQHQPRAVVNFAAESHVDRSIHGPEDFIQTNVVGTFRLLEAVRAYWHFRFLHVSTDEVYGTPTDPAFTEEHNYEPNSPYSASKAASDHLVRAWHHTYGLPVLTTNCSNNYGP--------LHFPEKLIPLVIVNALAGKPLP--------IYGDGMQVRDWLYVRDHCSAIRRVLEAGQL---GETYNVGGWNEKANIDIVKTVCSLLDYAEQITYVTDRPGHDRRYAIDARKLERELGWKPAETFETGIRKT---------------- 254785536 ----KYLVTGSAGFIGAATAKRLVDEGHDVFGLDNLNSYYDPALKHHRLEKLDLADREGVAKLFANEKFQRVIHLGAQAGVRHSINAPFEYIDGNVVGTMTILEGCRHNNVEHLIYASSSSVYGMNPKVPFAEDNVDHPVSLYAATKKSNELMAHAYSNLYDIPTTGLRFFTVYGP----------AGRPDMAPWLFTEAILKGEPIKVFNKGKMQRDFTYIDDIVEGILRIQNVIPTPNPDDERAPYRIYNIGNNNPVELATFIDAIEQACGKKAEKILLPMQAGDVVRTYADIDALTKATQHKPAVDIYDGVVQFVNWYKDYKQ------- 33861766 ----TILVTGSAGFIGSSLSIKLVHKGFRVIGVDNLNSYYSVSLKKDRLKEISIENINELEKLFTKYKPKFVVNLAAQAGVRYSLIDPHQYVQSNLVGFHNIIYLSKKYDVRNFIYASSSSVYGGNTNMPFNESSVDHPVSFYAATKKCNEVIAHSYSHIYDLPTTGLRFFTVYGP----------WGRPDMAPMIFTKAILSGDEINIFNNGEMMRDFTYIDDVVDGIVGCNFNRDKPDPSISFAKHKIFNVGNGNPIKLMKFIELLELSIGKSAKKIFKPMQTGDVIATSANINKLSDWIGYEPKINLTEGIGKFVKWYKEYVR------- 142364605 ----NLVVTGGGGFIGSHTCLSLLIKGHNVFVIDNLKNNDKEIDKKLKIYQCDIRDEKNLRQTFENFKIDAVIHFAGLKDSNESIIKPLDYWDNNVNGAINLLKIMTEFNCRTIVFSSSAAIYDASSSDLISEESKKNPLTTYGRNKLVIEELLKNIFDNSNWRIANLRYFNPIGANPEGIIEEISASKSNIFPSILNVAIGNKSELKIFGTNFNTKDGTGIRDYIHVSDLADAHIAAFDYLQNQKEFISLNIGTGVGTSVFELLNIFEKVNKCKIKTKNHEKRIGDFPKVVANNKKALGLLNWEPKKSIEDMCVDGLRWVLKNSNRFN---- 291544022 LESKTILVTGAAGFIGANLVTALLNKDIKLVGLDNINDYYDVSIKEWTFIKGNLADKALIEKIFTESKPEMVVNLAAQAGVRYSITNPDVYVESNLVGFYNILEACRNHPVEHLVYASSSSVYGSNKKVPYSTDDKDNPVSLYAATKKSNELLAHAYSKLYNIPSTGLRFFTVYGP----------AGRPDMAYFSFTNKLLKGETIQIFN------FGNCKRDFTYVDDIVEGIKRVMERAPEKKNGRIYNIGNSTPENLLDFVTVLQEEYDFESHKKLVPMQPGDVPVTYADTSALEQDTGFKPNTPLRTGLCKFAEWYKKFYN------- 300115346 -----VLVTGAGGFIGSHLTEELVRTGYQVRALVHYNFQNNWGWLETLPEEVDICDPFAVRK--AVVGCDMVYHLAALIAIPYSYIAPASYVEVNVKGTLNVLQACKEEGVRRMVHTSTSEVYGTAQYTPIDEGHPLVGQSPYSASKIAADKLVESYFLSFDLPVATIRPFNTFGPRQSG---------RAVIPTLIAQALSGA-------EVISLGSLNPVRDFNYVKDTVFAYRAIAACDAAIGKVINI--GPGKGISVGDLVSAILGICDSSARIVCDERRISEVFKLICDSERAKEILQWEPQYSLRQGLEETVRWMREN--------- 149181212 ----KILVTGGNGFIGSYVVNSLVEGGYKVVIVDSSIGNKNSINKKVKCYQLNITDK-NLSNVFDKERPDAVIHMAAQVDVSRSVMEPIMDAEVNILGTINVLNECVKYKVKKVVYSSTSAVYGENVASEISENEKIMPISFYGISKYTPELYLEAFFKIHGLKYTILRYSNVYGERQGIKGEGGVIP-------IFIHELMEDRSPVIFGDGKQT------RDFIYAGDVAEANVSAL----NAADMEVLNISSGISITILQLFEQIRDLMGKAVTPNFRGGRSGDILHSRLANSKAIMMLSWEPKVNLPEGLRKTIDYYKE---------- 136549501 ----TYLITGGAGFIGTNLCERLVVEGSRVIVVDNLIGGKKERLPEIVFHQVDICDHEALVSVMKGV--DVVVHLAALPRVQFSIEHPFEAQHTNVDGTLSVLEAVRKNGVKRVVYAASSSAYGDQAVMPLREDMIPAPKSPYGLHKYYGEVMMKLWYDIHGIETVSLRFFNVYGPHFD------PNGAYALVIGRFLKQRSEGSPMTIYGDGEQTRDFTHVRDIVEGIVLASQ-------SASVGTGEVFNLGAGRNITINRIAELV------GGPVEYGAPRI-EPKHTQADSSKIKAALGWEPKINVEEGI------------------- 303242211 --------TGGCGFIGSHIVDRLLLEGHNVTVIDNFITGRPENLEHQKIVEADITDERSITPYFK--DIDWVFHLAAVADIVPSIQEPEKYFRSNVDGTFSVLEASRKCGIKRFVYSASSSCYGIPEVYPTPETAEAKPQYPYALTKYMGEQLVMHWGQLYKLPVISLRLFNVYGTRSRT-------------SGTYGAVFGVFLAQKLAGKPYVVGDGTQTRDFTYVTDVAN-------AFVTAAKSDITNMIFNVGSGGTYSVNRLVELLGGE--ITYIPKRPGEPDCTFADTTKIRKQLGWKPNVTFDEGVNEVIDYWRKAP-------- 295792733 ----RLLVTGGAGFIGSNFIIYMLKKDYQIVNVDVLTYGNLENLLNYRFVKADIADVQSMEHIFK-EGFDVVVNFAAESHVDRSILEPDIFIKTNVLGTQILLDASKKYGVTKFVQVSTDEVYGLGETGLFAETTPLAPNSPYSASKAGGDLLVRAYHETYGLAVNITRCSNNYGP--------YQFPEKLIPLMIANALNGKKLP--------VYGDGQNIRDWLYVED----HCSAIDLVIHGGRSEIYNIGGSNEHSNIHIVKTIERLGKSDSLIQFVEDRLGHDRRYGIDATKIMSELGWKPKHIFETGINETIEWYLNN--------- 136172298 ----KILVTGGAGYIGSALVDRLITENYEVNVIDDLSNGYLENINKANFINGTILDEQAVEKALDGV--EVVFHLAAKIRVEEGEAKPDLYKSVNVDGTLKLINKCKKFSIKKFVFASTAAVYGDPTDFPVTEESEVKPVNVYGKTKLEIDEFLVKNSINLGMSSISFRFFNVGGANKDGR-WLKIKHEGATHLIPSILHSSRSTPLSIYGNDWPTKDGTPTRDFVHLNDLVDALVKSLNHLESLG-HQIINLGTANGTTVLEMVLAAEKALNKKIDYKFASRRAGDSYALVTSNSKAKQTLNWQPNRNIIDILQDA---------------- 225175789 ----NVLVTGGAGFIGSHTVDLCIENGLNVVVVDDLSKGRLEHIQQAKFYKLDVR-QTELTAVMEKEKIDAVIHLAAQSDVQTSLVNPGFDASVNILGTLNVLEASIKTGVKKVVYASSAAVYGEPQFLPIDEKHPLNGQSGYGLSKQVPEKYLSLNKTIHGLDFTALRYANVYGPRQDAAGEG-------------GVVAIFTDRLPRGEETIIYGDGEQTRDFVYVGDVAQANFLALQ----KGSGRIMNVSTGQGTSVNELYGLITELLGTTKAPVYAQARAGDIRDSFLANNSLGEELGWKPKTGLREGLRRML--------------- 114327400 -----VLVTGVAGFIGFHVARALMRQGETVIGIDNLNSYYDVGLKRARFFKVDLADRVAMAEFTRCYSVDRIVHLAAQAGVRYSLLDPYAYVASNIMGHLAILEMARALPDLRLVYASSSSVYGGDLEAPFRESERIEPLSLYAATKRADELMSAAYDHLFGIPQTGLRFFTAYGP--------WGRPDMAYYAFAKAITQGEEIQLFDHGRL--------KRDFTYIDDIVDGVIRCLDRPPSSADGRLINIGNNRPEEVSYLVQCLEKAIGKKAMIRTLPCPLTDVQETAADITLIHELTGFKPRTELDEGIRRFVAWFRDYHR------- 143726327 ----NILITGGAGFIGANLIKNLLETDHYVINIDKLSYSYNKQLQRYSFFKVDLCDFDYLSKVISSSNPDYIMHLAAESHVDKSIDDPSIFIDSNIVGTKNLLEAARIFYIFRFHHISTDEVFGLGKNGKFNENSPYSPRSPYSASKAASDHLVRAWFHTYNLPTLISNCSNNFGP--------LQYPEKLIPKVILNA--LNQNPIEIYGDGL------NIRDWIYVEDHIEALKRVL---FSSKPGKTYCIGGSCEKSNLEVVTTICNQLDILRPIKFVKDRPGHDYRYAIDSKNILNDLNWSPKFNFEKGIIKTINWYLENTTWFNNKKE 142178154 ---KRILVTGGAGFLGSHLCERLLADGHDVLCLDNFFTGTKENILDNPYFELMRHDYVEVDEIYNLACPASPIHYQ---------FDPVQTTKTSVHGAINMLGLAKRVKAKIFQ-ASTSEVYGDPTIHPQPEHYWIGPRSCYDEGKRCAETLFFDYHRQHALQIKVARIFNTYGPRM--------HPNDGRVVSNFIVQALKGEPITLYGD------GTQTRSFCYVDDLIDGFVRLMDSPNDLAGP--VNLGNPGEFTMIELAEAVKDLTGSRSQLVHQPLPLDDPRQRQPDIALAKERLGWQPKVPLREGLKPTIAYFEQ---------- 157112664 ---KRILITGGAGFVGSHLVDYLMMQGHEVIVADNFFTGRKRNVHWLGHENFELIHHDIVNPLF--IEVDEIYHLASPASPPHYMYNPVKTIKTNTLGTINMLGLAKRVGAKVL-IASTSEVYGDPDVHPQPETYWIGPRACYDEGKRVAETLSYAYAKQENVNVRVARIFNTYGPRM-------HMNDGRVVSNFIIQALQNQ-------SITMYGSGKQTRSFQYVSDLVDGMVALM----ASNYTQPVNLGNPVERTIQEFAEIIRDQVGGRSKIIELPAVEDDPQRRKPDISRAKKYLNWEPRVPLKEGLIKTIEYFRK---------- 142800234 LTRKRILVTGGAGFLGSHLCERLVTQGHDVLCVDNFYTGTKDNIA----HLLDCANFELMRHDVTYVEVDEIYNLACPASPIHYQHDPVQTTKTSVHGAINMLGLAKRVKAKIFQ-ASTSEVYGDARMHPQAEDYWIGPRSCYDEGKRCAETLFMDYRRQHGLSIRIARIFNTYGPRM--------HPADGRVVSNFMMQALQGKPITLYGD------GSQTRSFCYVDDMIDAFIRLMNTEGDPEGP--VNLGNPHEVSMREIAQRIVKITGSSSPLELCPLPIDDPWHRQPDITRAREMLGWQPQTSLDEGLAATARYFRA---------- 81429104 ---RHILVTGGAGFIGSNFVRYVVRQQVHVTVLDKLTYAGNRANLAGLPAEGDICDAPLVQQLVQ--KVDAVVHYAAESHNDNSLQDPTPFIQTNIMGSYTLIEACRQYHV-RYHHVSTGEVYGDVGDAKFTPETRYNPSSPYSASKASSDLLVRAWVRSFGLRATISNCSNNYGP---------YQHIEKFIPRQITNILSGRRPKL-------YGTGQNIRDWIHTDDHSSAVWTILTRGRIGETYLIGADGQRTNQAVLEMILTL--MGQPKDAYDQVQDRPGRDLRYAIDATKLREELGWQPQTDFKVGLQQTIDWYRHHEEWWQADK- 167461791 ----KVAVTGGAGFIGSHLVDELVESGHEVHVIDNLSSGYREYVHPKAYFHLDIRE-ESCRAWIHKEKPALFYHLAAQADVQLSLLHPYVDGDVNITGTVKLLKTCAESGVQKFVFASTSGVYGELQKERVTETDPVQPISFYGLSKCTAESYIRLFYMLFGLPFTILRFGNVYGPRQTP-------------KGEGGVVALFVKRMKEGLPLTVYGDGEQTRDFIYVNDVV----TALTASASKGNQEIYHVSTGTHTSVNDLVRHLAKVHSQPVEILSRPARPGDIRHSCLSALKAEKELEWKAGTALEVGLATT---YHSYPVSL----- 238063704 MSKQTVFITGGAGFIGLHVVPLLLDKGYRVRIFDNMFRGDRDQVAKLVAADVELVDQDAVHAAMKGC--DLVIHLAAVS-INKSQADPYESIDINMVGNHNVFAAAADHGVRRLVFASSASVYGDPKKLPMHEDDPLNPLTPYCISKRAGEDLLAYYQRSRGLNWIALRFFNVYGPGQ------KPTAYYTSVINHFVKRLKTGQPPIIDGR------GEQSMDFIHVHDIARSVVAALEADR---GNVPVNIGTGIDTSVATLAEILIKAVGVDVAPQFNPR---DVLRRAADITRAREVLGWEPTIAVEDGMADLIK-------------- 237737810 ----NIVVTGGVGFIGSHLCETLLKEGHKVICIDNFSTGNKKQFKIFEKEILDIRDKE-IEKIFREEKPDIVINLAGLAGVRPSLLNPLEYESVNVQGFINLLENCKRCGINKFIQASSSSVYGNNKIVPFKENDVVDAISPYAATKKSCEVMGHVFHSLYNIDMIHLRFFTVYGER--------QRPDLAISKFVKNIIEGKEITMYGEGDTY--------RDYTYVADIIQGIKKSINYNSNTNVYEILNLGNGNTIALKKMISVLEKKLELEAKIKKLPKQLGDVDRTFADITKAKNMIGYSPETTFEKGIERFIKWY------------ 117924370 ------LVTGGAGFIGSHLCEMLLEQGHTVRALDNFSTGRRANVAHLINHEGDIRDPETLVTPFQGV--EWVFHLAGLADIVPSVENPTTYFEVNVHGTLNVLEFARRNQAKRLVYAASSSSYGIPELYPTPEESPIQPQYPYALTKYMGEELVLHWANVYKMPNLSLRMFNVYGPRSRT------TGAYGAVFGVFLAQRLNNKPYTVVGDGQ------QSRDFTYVTDVCA-------AFYAAAQSTWVGEAFNVGSGGHQTINRLVELLGGE--ITYIPKRPGEPDCTFADTRKIHAKLNWQAQVSFEQGVANMLDW------------- 223985392 ----KFLVTGGAGFIGGNFAHYMVNTEDQIVVLDLLTYAGNLETLEPIMEKGDIRDREFIDHLFAEEKFDMVVNFAAETHVDRSVEDPEVFITTNILGTQVLMDACRKYGIQRYHQVSTDEVYGDRPDLFFTEETPIHTSSPYSAAKAGADLLVQAYHRTFKLPVTISRCSNNYGP--------YHFPEKLIPLMISRALADESLP--------VYGDGMNVRDWLHVYD----HCTAIDLILRKGRGEVYNIGGHNEKTNLEVVKTILAALNKPESIHFVKDRPGHDLRYAMDPTKIETELGWKPKYTFETGIPQTIDWYLTHRDWWE---- 227505631 ----RMLVTGGAGFIGANFVRLVAKERPEVTVLDKLTYAGNRANLEGVEARGDIADAVLVDELVA--QSDVVVHFAAESHNDNSLRDPSPFIQTNVVGTFTLIEACRKHDV-RFHHVSTDEVFGDGADTKFTESTPYNPSSPYSASKAGSDHLVRAWVRSFGLRATISNCSNNYGP----------YQHVEKFIPRQITNLLDDQPAKLYGTG------EQVRDWIHVDDHNAAVLAILDR-GEIGETYNIGADQKD-INNKEVIEVICEIMGAKARYEHVADRPGHDQRYAMDASKLRRELGWVPRYDLRVGLEQTITWYHDNEQW------ 297161815 ----KLLVTGAAGFIGSTYARRLLARGSHVTVLDKLTYAGTLSNLRLTFVHGDICDADLVDTLMA--RAGQVVHFAAESHVDRSIAGADPFVRTNVEGTHTLLQAALRHGVERFVHVSTDEVYGSVETGFSPETAVLDPNSPYAASKAASDLIALAYHRTHGLDVRVTRCSNNYGP---------HQFPEKIIPLFITNLLDGED-------VPLYGDGLNVRDWLHVEDHCRGVELV---RTKGSPGEIYNIGGGTALTNRELTGRLLDACGAGWRVRYVEDRKGHDRRYAVQDDKARDELGYRPRHDFAAGLAETVAWYRDN--------- 99077912 ---RTALITGTAGFIGYHLATYLLASGWQVVGLDCLSPYYDIALKRRRHAMLEVNDPGRLMGLLADHKPNAVIHLAAQAGVRHSIDAPRDYLEANLIGTFEVLEAARAHPPEHIMIASTSSAYGANTNIPFDEHQKADHMSFYAATKKAGETMAHSYAHLYGLPTTMFRFFTVYGP--------WGRPDMALFKFTKAIEAGEA--------IDVYNHGRMSRDFTYIDDLVAGITGLIEAVPGDAPFRIVNIGASKPTPLMDYIAALETALETTARKNLMEMQPGDVPATWADTTLLSQLTGYEPQVSVEEGVARFVAWYRGYY-------- 139439924 FEPKNIIVTGGCGFIGSNFVHYVVNNDVHVTVLDKLTYGNPENIAGLPEDRVDICDAGLLDRIVPGH--DAIVHYAAESHNDNSIADPEPFLRTNVEGTFRLLEAVRKYGI-RYHHVSTDEVYGDDDPAKFTEETPYKPSSPYSSTKASSDMLVRAWTRTYGLRTTISNCSNNYGP--------YQHVEKFIPRQITNIVDGVRPKL--------YGRGENVRDWIHTEDHSSAVWDILTKGRMGETYLIGADGERNNITVLRMI--LEAMGKDPEDFDWVADRPGHDRRYAIDSTKLQRELGWRPATDFAEGLKATIDWYVANESWWRPAKE 224418599 ---RNILITGGAGFIGSNFIIYFLGKYYKIINLDLLTYGKLENLKEIGCIQGDICDSELVARIFSEYEITDVIHFAAESHVDNSILNPQAFIQTNVNGTFNLLHHSYLNWFCVFHHISTDEVFGLGESGYFSETTPYAPNSPYSASKASSDMLVRSYHHTYGLNTFITNCSNNYGP---------KQHDEKLIPTIIRNALRG-------SEIPIYGDGKNIRDWLYVEDHCRAIDLVFHSRFF---GESFNIGGNNEKNNLEIATLICDILDKKKQIGFVQDRAGHDRRYAIDSSKIYKVFNWQPQESFESGILKTIDWYLREYEGL----- 142170328 ----RYLITGAAGFIGSHFVRELLALGHDVTVYDKLTYGNLENLPRYSFVQGDICDGDKLDEVLPGH--DVVINFAAETHVDRSIHGPQDFIVTNVVGTQTLLDACLRHGIPRTVHIGTDEVYGSIDVGSWTENEPLLPNSPYSAAKAAAEMLVRAYSVTYGLNVSSTRCSNNYGP--------YQFPEKVIPLFVTNLIDGAKVPL--------YGDGLNVRDWLHVDDHCRGIAIVCSRGLA---GQAYNIGGGLELNNKELTEQVLAAMGADWMVQPVEDRKGHDRRYSVDDSLIRS-LGYEPQHKFADGLAETVAWYRDNEAW------ 258404445 ----RILVTGGCGFIGSNYLYHVFGTTNEVVNVDKLTYAGNPANIRYFFEHADIADPEAMQRILETYDIEAVVNFAAESHVDRSIDDPAPFVTTNVQGTQVLLEASRRHGLKRFVHVSTDEVYGLGPEGKFTEDTPLAPNSPYSASKAAADLLVRAYVMTYDFPGIVTRCSNNYGP--------YQFPEKLIPLMYLKARAGEHLP--------VYGDGGNIRDWIHVADHCRGVDTALRHGR---PGAIYNFGGDAEVSNLELVKLLLRQLDRPESIRFVTDRPGHDRRYAMDYTRTHKELGWQPQINLEHGLQATIDWYGQHDHWLSE--- 55742517 -DRKRILITGGAGFVGSHLTDKLMMDGHEVTVVDNFFTGRKRNVHWIGHENFELINHDVVEPLY--IEVDQIYHLASPASPPNYMYNPIKTLKTNTIGTLNMLGLAKRVGA-RLLLASTSEVYGDPEVHPQSEEYWIGPRACYDEGKRVAETMCYAYMKQEGVEVRVARIFNTFGPRM-------HMNDGRVVSNFILQALQGE-------QLTVYGSGEQTRAFQYVSDLVN----GLVALMNSNVSSPVNLGNPQEHSIVQFARLIKQLVGSGGEISFLSEAQDDPQRRKPDIRKAKLLLGWEPVVPLEEGLNKTIHYFRK---------- 135261298 ----NILVTGGAGFIGSHLCEKLLEQGHRVICVDNFFTGSRENIDGFEYIEHDIIEPLSIDK-----KIDQIYNLACPAAPEHYQHNPVRTIKANTIGMINVLGLARKHNA-RLLQASTSEVYGDPKVHPQTEENPIGPRACYDEGKRCAETLCFDYLRMHDMDVKVIRIFNTYGPRMAFNDGR--------VVSNFVLQALKNEPLRIDGD------GTQTRSFCYVDDLVDGMIKMM--ATEKGVAGPVNLGNPDEFTIKELAEKVIALSDSKAVVEFTKDREDDPMQRRPDITKAKQLLDWGPSVSLEDGLKKTIE-------------- 84489908 MSTLKIMITGGAGFIGSNFVHYIANKDYEITVLDKLTYAGDMTNIEGKFIKGDIASEKEASEAMK--DADYVVNFAAETHVDKSITDPASFVKSDVLGTQNLLELVRKYDVEKYIQISTDEVYGSILDGSFKETDNIDPSSPYSASKAGGDLLVNAYYKTYDIPVMITRSSNNFGPR--------QFPEKLIPLFILKAIHNESLP--------VYGDGKNVRDWIYVEDNCAGVDTVLHK---GKIGEVYNIGGGNERNNLEITKLIEKLNKPESLITHVDDRLGHDRRYSLDASKTKK-LGWEPKWTFEDAMEETVNWYKNNANRL----- 154151291 ----KILVTGAAGFIGSNFVYYYLSRERTIIGLDNLSYASPDQKARFVFEKADITDTVQIKKILSKYPVDGIINFAAETHVDRSIHDPQVFLKTNILGTHVLLDAAKTIWHKKFLQVSTDEVYGTGPSGYFTETTPLDPHSPYSASKASADLVVKAYHDTYGMPVNITRCSNNYGP--------WQFPEKLIPLLIQNALLHREIP--------VYGDGKQIRDWLYVGDHCRAIDLVYE---SGKTGETYNIGGNNERENIVIIKKIQDMTGDPHIISYVKDRLGHDRRYAIDASKIKRDLHWEHKVPFDEGIERTVRWYLDHREWMAN--- 239628045 LEHKKVLITGAAGFIGANLAVSLLRDSIQIIGIDNLNDYYDVSLKEYRFIKGDIAEKETVFGLFQQYCPDIVVNLAAQAGVRYSIINPDAYIKSNLIGFYHILEACRHSQVEHLVYASSSSVYGSNKKIPYSVNDKTDPVSLYAATKKSNELLAYTYATLYGLPSTGLRFFTVYGP----------AGRPDMAYFDFTNKMMKGETIKIFN------HGNCKRDFTYIDDIVNGVEEVLQRIPKRSENGIYNIGNNSPENLLEFVHILEHALKCEQHMELVGMQPGDVEVTYADITEIKRDFNFQPKTDLRDGMKKFAKWYKNFY-------- 148906273 -----VLVTGAAGFVGSHVSLALKRRGDGVLGLDNFNDYYDQSLKRARQVEGDINDAPLLKKLFDVVPFTHVMHLAAQAGVRYAMQNPNSYVHSNIAGLVTIFEICKSANPQAIVWASSSSVYGLNSKVPFSESDRTDPASLYAATKKAGEEIAHTYNHIYGLSITGLRFFTVYGP--------WGRPDMAYFFFTKDILQG-----KSIDVYQGLNKVDVARDFTYIDDIAKGCVAALDTAKKSTGSGIYNLGNTSPVSVPDLVNILERLLKVKAKKNIISMPNGDVPFTHANVSLAHTELGYQPTTDLQTGLKKFVKWYLSYY-------- 143339649 -TKMSILITGGAGFIGSNFLHHLVSTNEEIICIDKLTYAADWHNIPDPFYTVDIASEAECDQVFSKHKIKTVFNFAAESHVDNSIRDCSQFIHTNISGTVNLLNLSLKHEIEKFIHISTDEVYGSIEHGSFTEYTNYNPRNPYSASKAASDHFVMAYHNTYGLPVNITNCSNNYGPRQ---------HKEKLIPQTILNILSNKK-------IPVYGDGCQVRDWLYVQDHCNALIKVWKYGLN---GQKYNIGGNCEIKNIDLVKKILYMMGKESMIEYVQDRPGHDRRYSTNFSKIRDNLFWAPMYSLDHGLAKTIEWYESN--------- 302335387 ----HILVTGGCGFIGSNFVHHVVREGVRVTVLDKLTYGNPQNIANLPSDRVDVCDSELLDGLVANN--DAVIHYAAESHNDNSIQDPSPFVATNVQGTYCLLEACRKHDV-RFHHISTDEVYGDDDPTRFTEETPYRPSSPYSSTKAASDMLVRAWSRTYGVRATISNCSNNYGP---------YQHVEKFIPRQITNILCGVRP-------KLYGDGRNVRDWIHTDDHSRAVWQILTCGRM---GETYLIGADGERSNIDVLREILVVMGRPDEFDWVRDRPGHDRRYAIDSTKLRRELGWKPLYDFFQGLARTIAWYSEHRQWWEGAKD 75759810 ----NILVTGGAGFIGSNFVHYMLQETYKIINYDALTYSNVKSLQNHPNYKGEIQNGELLEHIIKERDVQVIVNFAAESHVDRSIENPIPFYDTNVIGTVTLLELVKKYSHIKLVQVSTDEVYGLGKIGKFTEKTPLAPNSPYSSSKASADLIALSYYKTYHLPVIVTRCSNNYGP--------YQYPEKLIPLMVTNALEGKKLPL--------YGDGLNVRDWLHVTDHCSAIDVVLHKGR---VGEVYNIGGNNEKTNVEVVEQIITLLGKKKDIEYVTDRLGHDRRYAIDAEKMKNEFDWEPKYTFEQGLQETVKWYEKNKEWWK---- 268680416 MSQKTILVTGCAGFIGSNFVPYFLAEHYHLINLDLLTYAGNEHHPRHTFVQGDICDRALVESLFEQYDIQGVIHFAAESHVDNSIKNPGVFVETNVTGTFTLLDVAYKAWMCRFHHISTDEVYGTGETGLFTENTPYAPNSPYSASKASSDMIVRAYHHTYGMNTVITNCSNNYGP---------KQHDEKLIPTIIRKALSNQN-------IPIYGDGKNIRDWLYVEDHCKGIDLVFHDGIS---GETYNIGGRNERDNLYIAHKICEILDYKEQISFVEDRAGHDRRYAIDASKIETKLGWVAEENFESGIVKTIEWYLN---------- 158521293 MDKKRVLVTGGAGFLGSHLCERLLADGCEVVCLDNFFTGRKRNIAHLANPDFELLRHDLAHQLF--IETDEIYNLACPASPVHYQYNPVKTVKTSVLGAIHMLGLAKRVKAKILQ-ASTSEVYGDPDVHPQTEYNPIGPRACYDEGKRCAETLFFDYHRQNRVNIRVVRIFNTYGPRM--------HPDDGRVVSNFIMAALQNRDITVYGD------GTQTRSFCYVDDMIDGFIRMM--NADDDFTGPVNLGNPQEMTVLELAKAVIDLTGSRSKIVFKPLPADDPRQRRPDITLARERLGWQPGVGLAEGLEKTVRYF------------ 256815757 --------------------QHLIEAGHEVVVLDNLSTGFREGVPTGAFVEGDIRDAAKWLDSSY----DGVLHFAAFSQVGESVAKPEKYWDNNVGGTMALLGAMREAGVRRLVFSSTAATYGEPEQVPITESAPTRPTNPYGASKLAVDHMISGEAAAHGLGAVSLRYFNVAGA--YGRQGERHDPESHLIPLVLQVAQGRRESISVYGDDYPTPDGTCVRDYIHVA-DLAEAHLLALGAAAPGEHLVCNLGNGEGFSVREVVETVRKVTGRPIPEVVAPRRGGDPAVLVASAATARERLGWNPRTDLAGIVADAWEFAQQ---------- 284040674 ----KLLITGGAGFIGSHVVRLFVTKEYQIYNLDALTYAGNENAPNYTFIKGDITDAKFLEDMFAELSLDGVIHLAAESHVDRSITDPMSFVMTNVVGTVNLLNAAKNSWAKRFYHVSTDEVYGSLHNPFFTEETPYDPQSPYSASKAASDHFVRAYGNTYKLPVVLSNCSNNYGPN--------HFPEKLIPLMIHNIQTNKPLP--------VYGKGENVRDWLFVVDHARAIDAVFHKGTL---GETYNIGGFNEWKNIDIVHLLCGIMDRKQLITYVTDRAGHDLRYAIDAHKIMNELGWEPSLQFAEGLEKTVDWFLANQEWMDN--- 257094831 ----RWLVTGSAGFIGSHLVESLLRLDQTVVGLDNFATGHRRNLSRHRFVEADICDLDACRE--ACRGIDFVLHQAALGSVPRSLANPVATNAANVDGFLNMLVAARDAGVRRFVYAASSSTYGDHEALPKIEERIGRPLSPYAVTKLVNELYAEVFARCYGLAPIGLRYFNVFGARQD------PEGAYAAVIPRWTRAMLLGEEVKINGD------GETSRDFCFVDNAVQANLLAATTDDPAAVNQVYNVAVDDRTSLNRLFDMLRDALSRSLRPVYGGFRPGDVRHSQADIDKARRLLGYLPSHRLEDGVRVAMPWY------------ 87201163 ----KVLVTGAAGFIGYSLATRLLARGDEVIGVDIVNDYYDPRLKEARLARLDFADYPALTAALEGAHFDRIVHLGAQAGVRYSIENPHAYVQSNLVGHVNLLEVARHRGVEHMVYASSSSVYGGNTKLPFSVDDRDHPLSLYAATKKADELMSETYAHLYRLPLTGLRFFTVYGP----------WGRPDMMMWLFTRAILAGEPIQVFNHGDMYRDFTYVDDIVSGVVACLDNPPLDDGAPKAGGSLKYNIGNHKSEHLMKVIAILEAELGRKAEMRMLPMQPGDVRQSFADIDAISGDLGYRPTTGIETGVPNFVRWYKDY--------- 473600 ----RVLVTGGAGFIGSHFTGQLLTGAYRTVVLDKLTYANLEHVAGHPFVRGDIADHGWWRRLMEGVGL--VVHFAAESHVDRSIESSEAFVRTNVEGTRVLLQAAVDAGVGRFVHISTDEVYGSIAEGSWPEDHPVAPNSPYAATKAASDLLALAYHRTYGLDVRVTRCSNNYGPRQYP----------EKAVPLFTTNLLDGLPVPLYGDGGNT------REWLHVDDHCRGVALV---GAGGRPGVIYNIGGGTELTNAELTDRILELCGADRSLRRVADRPGHDRRYSVDTTKIREELGYAPRTGITEGLAGTVAWYRDNRAWWE---- 54309836 ------LVTGAAGFIGAAVCKKLILDGCNVIGLDNKCDYYDVNLKEARLSELDLCNNDGINSIFLENKFDRVIHLGAQAGVRYSIENPMAYADSNIIGHLNILEACRHHKIEHLVYASSSSVYGLNEKTPFTSDSVDHPVSLYAATKKSNELMSHSYSHLYNIPTTGLRFFTVYGP----------WGRPDMAPFIFTKKILDGDTIDINNNGEMWRDFTYIDDIVEGVIRIADVIPTRNDEWKSAPYAVYNIGHGSPINLMEFISEIENTLGIEAKKNYRGMQAGDVYKTYADTSDLFEAIGYTPKVGVKEGVAAFVKWYKEFYN------- 113460852 ----NILITGGAGFIGSALIRYLLETEHTIINVDKLTYASVVLNPRYFFEQADIVDQPVITQIFEQYQPDAVIHLAAESHVDRSIAASTEFIQTNIVGTFALLEVTRHYWRFVFQHISTDEVYGDDSEQASTESSPYQPSSPYSASKAASDHLVRAWYRTYGLPILITHCANNYGP--------FQHSEKLIPRMILNAVQGKPLP--------IYGDGLQIRDWLFVEDHVRALYKVLQK---GKIGESYNISANCAKTNLEVVQTICDLLEYHELIIHVEDRLGHDKRYAIDAYKIQTSLGWTPLQDFTAGLRKTVQWYLNN--------- 257899022 ----TILITGGAGFIGSTLANYLGKEN-KIVVVDDLSMGKKENLKQVTFIEGDVSDPQLMERIMKEYQFAYIFHLAAVASVADSVERPLETHRVNFDSALLLLELARKYQSDRLVFSSSAAVYGDEPTLPKKEESVIRPLTPYAIDKFAAEQYVLDYCHLYDVPTSAVRFFNVYGPNQ-NPNSPYSGVISILVDRYKKQLAGEKAEFTLFGDGI------QSRDFVYIEDVI--QALLLVAKEEKALGQQFNVGTGKSTTLLELIHSIDQILGTELALKYEAERSGDIRDSLADISKIRS-LGYKPKFDILSGMER----YLK---------- 32140281 MTATRILVTGGAGFIGSHYVRTLLGAGVRITVLDKLTYAGNPANLDPVRVQGDVCDAAVVDRLVAEH--DQIVHFAAESHVDRSILGGAEFVRTNVGGTQVLLDAALRHHGRVFVQVSTDEVYGSIPYGSWPETHPLSPSSPYAASKAAADLVALAHHRTHGLDVRVTRCSNNYG--------HHHFPEKLIPLFITRLLEGR--------TVPLYGDGLNVRDWLHIDDHVQGIELV---RTKGRPGEVYNIGGGTELTNRELVSLLLEACGAGWEVEYVADRKGHDRRYSVDCAKITLELGYEPRKDFATGLAETVSWYRENRDWWE---- 135243934 --NETVLVTGGAGYIGSHTVRALRAAGREVVVLDSLELGRRDAVIDAPLVVGDIADHDLVVSTCREYGVGQVVHFAAYKSVGESMQSPAKYWRNNVAGTVELVEACLEAGVHEIVFSSSCSVYGTPPETPVTEAAPIAPESIYAETKAMVEKILRWYGETSPLRAVSLRYFNAAGASFDGRIGEDWTYSINLIPLVMKALLLGDRRLQVFGDDYDTADGTCVRDYIHVDDLADAH-------------------------------------------------------------------------------------------------- 134045537 ----KILVTGGAGFIGSHIVDILIENGHDVSILDNLSTGNEKNLNTAKFINGDILDKT-----LDLSGFECVIHEAAQINVNKSLSAPMFDAEVNILGTVNILEKMKKYGVKKIIYSSSGAVYGEPEYLPVDENHPIKPLSPYGSSKFCAEEYIELYNRLYGIEYCILRYSNVYGERQDPL-------------GEAGVISIFIDKIKKGETPVIYGDGNQTRDFINVRDVAKANLLALGW-----RNQIVNIGSGRETSVNELFKLISFELGFNLDAIYEKEREGEVYRTYIDYKKAKS-LGWIPDVELQDGIKNLV--------------- 257893578 ----NYLITGGAGFIGSTLANYLGKENN-VVVIDDLSMGKKENLKHITFIEGDVADKNLMEKVMKEYHFDYIFHLAAVASVADSVERPLETHRVNFDSALLLLELVRKYQSKRLVFSSSAAVYGDEPTLPKKEESVIRPLTPYAIDKFAAEQYVLDYCHLYDVPTSAVRFFNVYGPNQ-NPNSPYSGVISILVDRYKKQLAGEKTEFTLFGD------GSQSRDFVYIEDVI--QALLLVAKEEKALGQQFNVGTGKSTTLLELIHSIDQILGTELALKYEAERSGDIRDSLADISKIRS-LGYQPKFDILNGMER----YLK---------- 227821412 ----RYLITGTAGFIGFHVAKRLIDDGHFVVGFDGMTPYYDVTLKQRRHAILELEDRAALDRAAELAEPEVIIHLAAQAGVRYSLENPKAYVDANLLGSWNILELARAIGPKHLMLASTSSIYGANEKIPFAEADRDEPMTLYAATKKSMELMAHSYAHLYKVPTTAFRFFTVYGP----------WGRPDMALFKFVDAILNGRPIDIYGEGRMSRDFTYIDDLVEGILRLSHVAPSQDTLSGHAPFRVVNVGGGQPVDLMTFVDTVEKAVGRPAVRNMLPMQMGDVPRTYASPDLLEALTGFKPMMPVEEGVARFVEWYEQYYR------- 88808164 -SRRRVLVTGGAGFIGGAVVRRLLREDAIVFNLDKMGYASDEEVLRHVLQQVDLADATAVREAVQAADPDLVMHLAAESHVDRSITGPGVFIESNVTGTYNLLQAVREHYHFRLHHISTDEVFGLGAEGRFSETTPYDPRSPYSSSKAASDHLVSAWHHTYALPVVLTNCSNNYGP--------WQFPEKLIPVVTLKAAAGERIPL--------YGDGLNVRDWLYVEDHVDAL---LLAACRGESGRSYCVGGYGERNNREVVECICSHMDHSQLITRVTDRPGHDRRYAIDPTRIETELGWKPRHDFSTAIETTVQWYLDHLQW------ 134333591 ---QRILITGGAGFIGGAVVRHLLQHQAQVFNLDKLGYASDELVLKGPAAEGDLADGEATAAAVQQADPDLVLHLAAESHVDRSIAGPEAFISSNVTGTFQLLQAVRAHWSFRFHHISTDEVFGLGATGRFSETTAYDPRSPYSASKAASDHLVRAWHHTYGLPVVLTNCSNNYGP--------WQFPEKLIPVVILKAAAGEAIPL--------YGDGLNVRDWLYVDDHVEAI---LLAATRGQLGASYCVGGDGERNNRQVVEAICTLMDHARLISLVKDRPGHDRRYAIDASRIRSELGWQPRHSFAAGLESTVRWTLEHRAW------ 29345876 MKSRNIMITGGAGFIGSHVVRLFVNKSYRIINLDKLTYAGNEDKPNYVFVKADICDFEKMIELFSEYKIDGVIHLAAESHVDRSINDPFTFARTNVMGTLSLLQAAKLTWGKRFYHISTDEVYGALDHDFFKETTRYSPHSPYSASKASSDHFVRAFHDTYNMPTIVTNCSNNYGP----------YQFPEKLIPLFINNIRCRKPLPVYGKGENVRDWLYVIDHVRGIDLIFHKGKIAETYNIGGFNEW-----KNIDIIKVLIKTVDRLLGYPEGITYVSDRKGHDLRYAIDSAKLKQELGWEPSLQFEEGLERTVRWYLDNEVWMDN--- 163789262 -KKQSILITGGAGFIGSNFILHFLKHNTEVVNLDKLTYAEQENNKNYTFIKGDICDSELVNELFNKYKFTGVIHFAAESHVDNSIKKPDAFITTNVNGTFNLLNTAKNYWMNRFHHISTDEVYGLGETGLFTEETPYAPNSPYSASKASSDFIVRSYFHTYGMSVVTTNCSNNYGPKQHN----------EKLIPTIIRKALNNEAIPIYGDG------KNIRDWLYVEDHCRGINLVFHK---GKLGETYNIGGKNERDNLYIAQKICDILDYSKQITFVKDRPGHDFRYAIDASKIENNLGWYANENFETGIVKTIEWYLR---------- 302769452 -----VLVTGAAGFVGSHVSLALRERGDGVVGLDNFNSYYPVALKRARVVDGDINDSALLERLFALVKFTHVLHLAAQAGVRYAAHNPLAYVHSNVNGFVTLLEQSKNSNPQAIVFASSSSVYGLNDKVPFSEDTTDRPASLYAATKKADEALAHTYNHIYGLSITALRFFTVYGP----------WGRPDMAYFSFTRNIVEGHAIRIFQGPHG---EELARDFTFIDDVVKGCVASLDRKNTAAQHRVFNLGNTHPVKVGTLVSILEKHLNKKAIRHIQPMPTGDVMYTHANVTKARLELGYTPTTNLELGLKHFVKWYQNYYKS-GSAHE 143740424 ---QNILVTGAAGFIGAAISNRLIERGENVLGIDNLNQYYDVNLKKDRIKRINIEDKDKLNHISESFAPNIIINLAAQAGVRYSIDNPDQYLNTNLVGFGNILEICRKHCVENFIYASSSSVYGGNKKLPFREDSVDHPISLYAATKKANEAMAHSYSHLFNIPTIGLRFFTVYGP----------WGRPDMAPMIFAKSILQNLPIKVFNNGNMSRDYTYIDDCCYKPAKKNSNFNFLDPNPENAPHMIFNVGSNRPIALLEFIEVLESELGVVAKKEFMPMQLGDVERTWANTENLNSWINYKPKIKFNEGIRNFASWYKSY--------- 136868586 -----ILITGGAGFIGSALIRYLINNTFKILNYDALKYSGNEKSKKYSFIKGDICDSKKLELVINEFKPNGVMHLAAESHVDRSIDDALPFIESNILKKYIENAHEEIRKNFTFLHVSTDEVYGDPLDPPCNEFQPYKPSSPYSASKASSDHLVRSWVRTHKFPAVITNCSNNYGP--------FHFPEKFIPHIILNSIQAKNIP--------IYGDGKQIRDWIYVDDHVRGLLKVFERGTH---GETYNIGASNEITNIEIATLVCEVLDEKELITFVQDRPGHDKRYAINSSKIQDELGWLPEESFESGLRKTVEWFISNKKW------ 46445713 --GKQIFITGIAGFIGFHLAQKLAKRGDRIIGYDNFNPYYDTQLKRDRIIEGDIQNYEKLQNSILLHQTTHLIHLAAQAGVRYSLQEPATYLKTNVDGFLNILEICRSHPHLKLIYASSSSVYGLNTKVPFSEDRTDQQASLYGVTKKTNELMAKTYHHLFGISSIGLRFFTVYGP----------WGRPDMAYFSFANAIVQGKPIEIFNEGKM------QRDFTYVDDIVEGTIGAIDTEISLG---VFNLGNHRPVELLYFVLLLEKELGIEAHKIWLPMQSGDVVATFADIQESTKQLGFQPKISIEEGLCRFVKWYKNYYN------- 148668237 ---KRVLVTGGAGFIASHVIVSLVEDDYMIVNLDKLDYCASLKNLEYKFIQGDICDSHFVKLLFEVEKIDIVLHFAAQTHVDLSFVRAFEFTYVNVYGTHVLVNAAYEAGVEKFIYVSTDEVYGGSLDQEFDESSPKQPTNPYASSKAAAECFVQSYWERYKFPVVITRSSNVYGPH--------QYPEKVIPKFISLLQHNRK--------CCIHGSGLQRRNFLYAADVVEAFLTVL---TKGEPGEIYNIGTNFEMSVKELIQLIKEESETESWVDYVSDRPHNDMRYPMKSEKIHS-LGWKPKVPWEEGIKKTVEWYRKNFHNWKN--- 91215544 ---KKILVTGAAGFIGFHLCKTLIKENYLIIGLDNINDYYDVNLKFDRFIRLNLEDTDAISKLFEKEKFDEVVNLAAQAGVRYSIQNPRAYVQSNLVGFLNILEGCRDTKVKHLLYASSSSVYGENKKTPFSVDNVDHPISLYAATKKSNELMAHTYSHLYDIPTTGLRFFTVYGP--------WGRPDMALFLFTKAIIEG--------SSINVFNKGKMSRDFTYIDDIISGIEISLSLPPSKDKYRIFNIGKGSPETLEDFISCIEKSLDKKAHKKMLPIQPGDVPKTWADISDLKG-MGYKSSTPIEKGVDKFVKWYKEYYK------- 220908666 ----RILVTGGAGFIGSHLIDRLMEQGHEVICLDNFYTGSKQNLLWLNHPRFELLRHDVTEPI--RLEVEQIYHLACPASPVHYQYNPVKTIKTNVMGTMNMLGLAKRVKA-RFLLASTSEVYGDPEVHPQSEDNPIGIRSCYDEGKRVAETLSFDYHRQNNVEIRVARIFNTYGPRM--------LENDGRVVSNFVVQSLKGTPLTVYGD------GSQTRSFCYVSDLVE----GLMRLMNGDHTGPINLGNPEEYTVLQLAQKIQGMINPGAEIQFKPLPQDDPQRRKPDITRAKSLLGWQPTIALEDGLERTIA-------------- 21219273 ----NILVTGAAGFIGSRYVRGLLASDARVTVLDALTYAGSTANLELGHVHGDIRDAALVDRLTAGA--DQVVHFAAESHVDRSIHAASDFVLTNVVGTQNLLDAALRHGVGPFVHVSTDEVYGSVDAGSATEEHPLRPSSPYSASKASGDLLALSYHRTHGLDVRVTRCSNNYGPH--------QFPEKLVPLFVTRLLDGHRVPL--------YGDGRNVRDWLHVDDHCRGVDLVRTRGRA---GEVYNIGGGTELSNRDLTGLLLDACGAPDRIVHVEDRKGHDLRYSVDWSKAREELGYRPHRDLATGLAETVAWYRDNRAWWE---- 108805896 ---RRALVTGGAGFIGSHLCDRLVSEGYAVVCMDNLRTGSLRNIAHLRSERFEYVDHDVTSYIRVPGRLDEVYHFASPASPKDFERIPIPILKVGALGTHNALGLSLAKGA-RFMLASTSEVYGDPLVHPQPEDYWGN-VNPYDEAKRYAEAITMAYHRHHGLDTRIVRIFNTYGPRMR--------PDDGRMIPNFISQALSGRPLTVYGDGSQTRSVQYIDDLVEG----------IFRLMRSEERRPVNIGNPVEYTVREVAELVLRLSGSRAGISFRPLPKDDPKQRCPDITRAREVLGWEPRVPAEEGLRRTLEWFSGH--------- 206970174 LDNSKIFVTGVAGFVGYFLSKKLLEQGCKVIGIDNINDYYDVNLKHARLEQGDISDKDMIIKLFEEYKPNIVVNLAAQAGVRYSIENPDVYIQSNIIGFYNILEACRHYPVDHLVYASSSSVYGANKKVPFEETDFDNPVSLYASTKKSNELMAHTYSHLYKIPATGLRFFTVYGPMGRPDMAYFGFADKYF----------AGESIKIFNNGDF--ENDLYRDFTYIDDIVEGIQRLLNNPPEDVKHKVFNIGNNNPEKLMVFIKALEKALSVEFKKEFEPIKPGDVPATYASTDLLQKTVEFKPETSIEKGLQEFANWYVDYYK------- 301060790 MEN--LLITGGCGFIGSNFIHYLLDESDRIINVDSLTYGNPENLLEYVFIKADICHKERMAALFEEYDVDSVCHFAAESHVDRSIVEPGAFIQTNIVGTFNLLEASRKHQAKRFHHVSTDEVFGLGPEGLFTETTPYRPNSPYSASKASSDHLVRAYHETYGLPITISNCSNNYGP--------FQFPEKLIPLMILNAMEDRPLP--------IYGDGLNVRDWLYVGDHCKAVWAIMKRGRR---GETYNIGGNHEMENIRIVETICDLIDRRTLINFVKDRLGHDRRYAIDAGKLQKELEWSPEESFQSGIKKTLGWYLEHPSWIER--- 239927474 ------LITGGAGYIGAHVARAMTDAGERVVALDDLSAGSPARLPADPLVRGSSLDGELLKRVLAEHGVTGVVHLAARKQVAESVAQPTRYYRENVGGLATLLDAVAEAGVAHFVFSSSAAVYGDPGVDLITEDTPCAPVNPYGETKLAGEWLVRAAGRAHGIATVCLRYFNVAGAAA----PELADTGVFNIVPMVFDRLTRDEAPRIFGDDYPTPDGTCIRDYIHVADLADAHLAAARRLSDGDGDLTVNIGRGEGVSVRELIAVVGEVTGDTRAPLVEGRRPGDAPRAVASASRAAERLGWTARRSVHEMVDSAWRWRL----------- 169826742 MKQKKILVTGADGFIGSHLTETLVRQGYDVRAFVYYNSFNSWGWLDQSSSEGDIRDPYGVKEAMKGC--THVLNLAALIAIPYSYHSPATYVDTNVTGTLNVVQAAKELGIEKVVHTSTSEVYGTALYVPIDEEHPLQGQSPYSASKIGADQMALSFYRSFDTPVSVVRPFNTYGPRQS---------ARAVIPTIISQLASGIKNIKL-------GAVSPTRDFNYVKDTVNGFISVMESTNSIGEVINI--GSNYEISIGETAQMIADIMGVDLTIETDEHRKSEVERLWAENKKAKELLGWEPQYGFHRGLEETIEWFT-NPKNLSQ--- 75758501 ----KLLITGGAGFIGSNFIRYILKKQYQIVNVDLLTYAGNLSNLKYKFIKGDISNEIFIDKLFKKEKFDYVLNFAAESHVDRSIKSPHIFVQTNILGTQALLQSAYKTKVKKFVQISTDEVYGTSQTGAFTEHSIIAPNSPYSASKASADLMVRAYHETFGLPMNITRCSNNYGP----------YQFTEKLIPLTITHALNNKDIPLYGDGLHI------RDWLHVEDHCVAIDLVLH---DGADGHIYNIGGDNEYTNLDIVQKIIKFLNKDQQITFISDRLGHDRRYAMDATKLKEELGWKPNYLFDAGLTQTIKWYIDNPTWWE---- 223934755 -EPRTWLITGVAGFIGSNLLEMLLDAKQNVVGLDNFSTGKLQNLEEAPAEQGDIRNLATCR--HACEKVDYVLHQAALGSVPKSVEDPIGSNEINVTGFLNMLQAARENKVRRFVYATSSAVYGDSPELPKVESRLGNPLSPYAVTKLINEFYADVFTRTYGMETIGLRYFNVFGPRQD------PEGPYAAVIPRWVAAMIKNEPTVIYGD------GSTSRDFCFVANVVQANILAATTGNPEALGKVFNVALNSNISLMELFEMLRYKLVRDYKPRYLDFRPGDIRHSQADITKASDLLGYAPTHSVEEGLNTALDWYRR---------- 154488171 FKPKHILVTGGCGFIGANFVRYVARNHPDVTVLDKLTYGNPANIDGLPQSQVDICDASLLERIVPGC--DAIVHFAAESHNDNSIFDPEPFIRTNVEGTMRLLEAARKYDV-RFHHISTDEVYGDDDPARFGENSPYRPSSPYSASKAASDHLVRAWTRTYGLRATISNCSNNYGP--------YQHVEKFIPRQITSIMEGVRPKL--------YGTGENVRDWIHTEDHSSAVWEILTRGRI---GETYLIGADGEMSNIAVMRMILRLMGCAEDFDWVRDRPGHDRRYAIDSSKLRTELGWKPRTDFEAGLQATIAWYVANRAWWE---- 310638251 MSDKTVLVTGGAGFLGSHLCDRLIEMGDDVICVDNFFSGSKQNIKHLLGHRFELIRHDIVHPLF--IEADQVYNLACPASPKAYQYNPIKTIKTSTVGMVNVLGLAKRCGA-RVLHTSTSEIYGDPEVHPQPEEYWVGPRSCYDEGKRVAESLCINYHLAHQLEVRIVRIFNTYGPRM--------HPDDGRVVSNFIMQALHGKPLTLYGDGLQT------RSFCYVDDLIEGFIRMMNQTETIGP---VNLGNPGEFTMKQLAQAILEITGATSGMTHEPLPQDDPKQRCPDISKAEKYLNWRPETNLHQGLERTIEFYRQ---------- 299137753 ----RYFITGIAGFIGSSLARALLASGHEVRGVDNLSCSDSDILSDIDFCQMDINETDRLCDFMRGVDF--VLHEAALASVPRSINDPMSSHTANATGTLSVLMAAQTAGVSRVVYAASSSAYGDQEAHPKHESMCPAPLSPYAVQKLAGENYVKSFWAVHGLEGVCLRYFNVFGPGQAA------DSPYSGVIARFITDMLAGEQSTIFGDGF------QSRDFTYVANVVSANLLACQAPREAVAGEVFNVGTGRSQTLNTLYATLARILDFQAAPTYKKMRAGDVFQSQADISRARSALGYSPTHTFEQGLEETVSWYIQ---------- 116074422 ---RRILVTGGAGFIGGAVVRRLLRDDATVFNLDKMGYASDLTSIQEVLAEVDLKDAEAVDAAVREANPDLVMHLAAESHVDRSISGPGEFISSNITGTYNLLQAVRSHVAFRLHHISTDEVFGLGAEGRFSETTPYDPRSPYSASKAASDHLVQAWHHTFGLPVVLTNCSNNYGP--------WQFPEKLIPVVTLKAAAGEPIPL--------YGDGLNVRDWLYVEDHVDAL---LLAACRGQSGRSYCVGGHGEKTNKEVVTTICNQLDHAHLITRVIDRPGHDRRYAIDPTRITEELGWQPRHNVEQGLAATVAWYLQHQDW------ 42523176 --SKKVLVTGADGFIGSHLTELLVSEGYSVRALVNYNSFNSWGWLDSSAVRGDIRDPFLCRDITKGM--DAIFHLAALIAIPYSYVAPQSYVETNVTGTLNICKAALDNGVAKVVHTSTSEVYGTAQYVPIDEKHPLQPQSPYSASKIASDAMAMSFFNSFGLPLTIARPFNTYGPRQS---------ARAVIPTIIAQIASGKKEISI-------GDMTPTRDFNYVTDTCRGFLALAANSNCIGKTVNI--GSNYEISIKDTLELIKGIMGSNISIQYDSHRVSEVHRLWCDNTLINSLTGFSPLVNIEQGLRMTVDWFSQ---------- 144061997 MVTQSLLVTGGAGFIGVNFVNHWAQADHTLVVLDALTYAGNRASLRVEFVEGDICDSALVARVMSDYGTDTIVHFAAESHVDRSITGPDEFIRTNLHGTHVLLSAARNAWLHRFHHVSTDEVYGTLNDPPFTETTRYEPNSPYSASKAGSDHMVRAYHHTYGLQVTTSNCSNNYGP--------YHFPEKLIPLCLTRILDG--------GALPIYGDGTNIRDWLYVADHARGVAAVLQRGTS---GEVYNIGGQNEWANLDIVRLLCRVLDSESLIEFVTDRAGHDWRYAIDASKIESELGFVPEETFESGLSKTVDWYLDNEDW------ 114152767 ----KILVTGGAGFIGSHFVTSLISGDIQVTVVDKLGYGGNLRNLRFSFVRGDICDEGLIEGLMARH--DTVAHFAAETHVDRSVVASGPFVASNLVGTQVLLDAALRHHIGRFLHVSTDEVYGSIDTGSWAEGHPLAPNSPYAASKAGSDLLALAYHQTHGMDVVVTRCSNNYGPR--------QFPEKMIPLFVTRLLDGLDVP--------VYGDGRNIRDWLHVSDHCRGLALALGAGRA---GEVYHIGGGWEATNLELTEILLEACGPASRISFVTDRKGHDRRYSLDYSKIAGELGYRPRVDFTDGIAETVAWYRANRSW------ 116629773 --NMKVIVTGGAGFIGSNFIFYMLKKDYEIICLDSLTYAGNLSTLKDVMVKLDIRDREGVYKLFEEEKPDVVVNFAAESHVDRSIENPEIFLETNIIGTSVLMDACRKYGIKRFHQVSTDEVYGDRPDLFFHEDTPLHTSSPYSSSKASADLLVGAYGRTFHLPVTISRCSNNYGP--------YQFPEKLIPLMIQRALNNEKLP--------VYGDGKNVRDWLYVEDHCKAIDLILEKGT---PGEVYNIGGHNEMHNIDIVKLICDYLDKPYSIEHVTDRKGHDRRYAIDPEKIHNELGWLPETMFKDGIKKTIQWYLDNKEWWEN--- 167746290 ----KILVLGGAGYIGSHTVYELIDAGEDVVIIDNLETGYKEAVPKAKFYQGDLRDRAFVDSVLDQEGIDAVIHFAANSLVGESMTDPLKYYDNNLCGTKTMLESMVAHGIDKIVFSSTAATYGEPEKTPILETDRTEPTNTYGETKLSMEKMFKWVGRAHGLRFVSLRYFNACGAHKSGEIGEAHNPETHLIPLILQVPNGKREAISIFGTDYPTKDGTCVRDYIHVTDLAQAHILAVKYLMER---------------------------------------------------------------------------------------- 262037701 ---KKILITGGAGFIGSHIAERFDKENYEIIIVDNLVGGKKEHLKNIRFYEVDVRDRESLEKVFEKNKINYVFHEAAQVSVSVSVENPHYDADENVLGLINVLDMCRKYSVEKVLFASTAAAYGIPKTSVSAEDSKIAPLAPYGLTKVFGEHYIRMYHDLFGLNYVIFRYANVYGPRQSA-------------HGEAGVVSIFNDRMKVEQEIFIDGDGEQTRDFIYVRDIAEANYVCA---VESVINKTLNVSTNAKTSINELFNYMKKYSGYKKEANYREPRKGDIRDSRLDNTKLKSNTSWNYKYSLEKGLKEYAEYEKK---------- 225874664 ----RILITGAAGFLGSHLTDALLSDGHTVVGVDNLCTGSLANLKHLANERFEFVQQDIVEP-FDVGKVDYVLNFASPASPVDYARLGPETLSVGSDGTRNALEIARRYGA-KFLHASTSECYGDPTVHPQKEDYWIGPRSVYDEAKRFSEALTMAYHRYYGVDTRLVRIFNTYGPRL--------QKNDGRVISNFMVQALKGEDLTVYGEG------NQTRSFCYVSDEVEGIL----RLAHSDEHLPTNIGNPSEWTILECAKAVLRVTGAESKIVFRPLPQDDPMQRKPDISKAKRILGWEPKVDLETGLRLSLEYFRE---------- 135355960 -----VVITGGAGFIGSHAVDFFLEKGYSVTVLDNFSTGR-EQNLIHVKEKVKICDISDGAWVDEFSDADYVIHLAALADIVPSINNPQQYFNSNVTGTLNVLSAALKNNVKKFVYAASSSCYGIPSKYPTPETASISPQYPYALTKRMGEELVLHWNHVYGLPCISLRFFNVYGTRSRT------SGTYGAMFGVFLAQKLDGKPFTIVGDGKQT------RDFTYVTDVVRAIFS---ACTSNVGKEIFNVGSGKTISVNQ----IAKLLGGK--KVFIPKRPGEPESTFADISKIKKQLGWNPVLNIEDGIKNLLN-------------- 224142327 -KGMSVLVTGAAGFVGSHVSLALKKRGDGVVGIDNFNNYYDPSLKKARIVEGDINDARLIAKLFDIVAFTHVMHLAAQAGVRYAMENPHSYVHSNIAGLVTLLEACKANPQPSVVWASSSSVYGLNEKVPFSESDRTDPASLYAATKKAGEEITHTYNHIYGLSVTGLRFFTVYGP----------FGRPDMAYFSFTRNILQGKPITVYR---GKNRVDLARDFTYIDDIVKGCVGSLDTSGKSTGSGIFNLGNTSPVTVPTLVNILERHLKVKAKRNIVDMPNGDVPFTHANISLAQRELGYKPTTDLETGLKKFVKWYLTYY-------- 241889294 ---KNIIVTGGAGFIGSNFVHYIINNDIHVTVLDKLTYAGNRENLAGLPEDGDIADAELVDKLVS--KTDAVVHYAAESHNDNSLKDPYPFLHTNIIGTYALIEACRKYDV-RYHHVSTDEVYGDGVGEKFTAETPYNPSSPYSSTKAGSDLLVKAWVRSFGLKATISNCSNNYGP----------YQHIEKFIPRQITNILSGITPKLYGEG------KNVRDWIHTEDHSSAVWTILTKGRI---GETYLIGADGEEDNKTVIELILELMGKKNAYEHVNDRAGHDLRYAIDASKLREELGWKPQFTFRQGLADTIRWYEENESWWKEQKE 288560669 MKDKNVVVTGGLGFIGSHIVDALIDDN-KVTIIDNLSSGKMENLNNPNHENLTIIKEDLMDADLEKIDKDYVFHLAALASVPGSVAEPLRYNQNNIDASLKLFIACKNNNIKKVIFSSSSAVYGENPNMPLKESENFLPCSPYAAQKASCELYLKSFHESYGLDYVALRYFNVFGPRQD-----ENSPYAAVIPKFISAILNGESP-------VIYGDGEQSRDFIYVKEIAKANILSAESDYN----GVINVALGKSMTINRLFEIISDVLESDIDVKYLDERPGDIKHSLADISNLDKI-SFKPDEDFEEQLRETVKWFI----------- 293168350 MTVTRLLVTGGAGFIGSNFVHHVLGHDHDVVVLDALTYAGNRASLEGQFVHGDITDADLVDRLVG--ECDAVVHYAAESHNDNSLDNPRPFLDTNIIGTYTLLEAARRHG-TRFHHISTDEVYGDDDPERFTEQTPYNPSSPYSSTKAGSDLLVRAWVRSFGVRATISNCSNNYGP---------YQHVEKFIPRQVTNVLRGIRP-------KLYGAGENVRDWIHADDHSSAVLAVLDRGVI---GETYLIGADGERNNKDVVELILTTMGRADAYDHVTDRAGHDLRYAIDSTRLRTELGWTPQFDFEAGLAATVDWYREHEAWWA---- 147921373 ------LVTGGSGFIGSHLCEYLLGKGENVIAVDNLGSGRLENIDRFRFIRHDIREPLQIDG-----KIDFVYNLASRASPVDFFTQAEEILMTNSLGTYNMIQLALEKKA-RFLEASTSESYGDPTISPQPETYWIGPRGCYDEAKRFSEALTMAFVRYHGLDGRIIRIFNTYGPRMR--------PDDGRVVPNFTMQALAGEPMTVYGEG------SQTRSFCYVSDLVRGIYLAMNRDVR---GEVINLGNPTEMTVLEFAKKIKAITGSSSEIVFRPLPENDPMQRRPDIGKAKRLLGWEPEVGLDEGLQLTIEWFRQ---------- 78355405 ---RTWLITGVAGFIGSNLLETLLSHGQTVTGLDNFMTGHREQWSRFRFIEGDIRSLETCRE--ACAGADYVLHQAALGSVPRSIDDPLLTNTCNIDGFVNMLVAARDADVRRFVYAASSSTYGDEPNLPKQEDRIGRPLSPYAVTKYVNELYADVFARTYGMECTGLRYFNVFGKRQD--------PEGAYAAVIPLWFAGLLRDQPVF----INGDGETSRDFCHIDNTVQANLLAATAPDPEATNTVYNVAFGERTSLTELYGLIREEVARHNPHQYRDFRAGDVRHSLADISRARTLLGYDPQISVRQGLRISGDWYAAN--------- 134766151 --------------------------------------------LDFKLHKCDLANREEVYSILQN-NYDLVMHFASYINVGESYINPQKYYENNVVNTLNLLNCMVDLKILNFVFSSTAAVYGEPKSNPISENNLMTPVNPYGQTKAIVENILKDYDNSYGLKSISLRYFNACGAHSDGTIGERHDPETHLIPLILQAASGRKDKITIYGDDYPTKDGTCIRDYIHVMDLAEAHLLALDRLSQTQLSDVYNVGNNEGFSVRDIIEVAKKITNQKMDIEIQSRRKGDPAELIADNQKIKSELNWTTKHSLDKIISSAWQWEQK---------- 136631639 ---------GGAGYIGSHITEILLKKNKKVFLIDNLSTGHRKLILKAKFFKLDINNKQGIRKIIEKYKIDSIIHLAANLIIGEGQKKPKKYYMNNVLGTKKLLDACKNTTIKNFIFSSTAAIYKEGQYK-VSESSVKKPKSVYGKTKLKAEKIIKSFAKKNNINYGILRYFNIAGASPSGKIGLINKKSDHLFKNFSIEIMKKKPKLKIYGTDYKTKDGSCIRDFIHVSDIAEIHYLILEKIAKLKISKILNCGYNKGTSVIEVAKEFKKQSSKKVDIIYAKRRSNDLIKIIASNDKLKKFIKWKPKFNLKKIVKSCIIWERNY--------- 224005937 ---KKILVTGGAGFVGSHLVDRLMSEGHEVVVLDNFFTGRKANVHWLHHPNFSLVRHDVIQPIL--LEVDQIYHLACPASPPHYQYNPVKTIKTSTMGTINMLGLAKRVKA-RILLASTSEIYGDPTVHPQPESHTIGPRACYDEGKRVAETMMYAYKNQNNVSIRIARIFNTFGPRM--------HPNDGRVVSNFIIQSLQNKDITIYGDGAQTRSFQYVDDLI----------NGLVKLMNGSYDSPVNIGNPDEYSIKDFATKIRDMTNSKSEIKFLPKVADDPSQREPDISTAKRELGWSPKVSVEEGLKKTIEYFK----------- 258593082 LEPGTIVLTGCAGFIGCKVAELLLHAGHLVIGIDNLNDAYDEQILHHPFHQLDISNRAALSALFESACPSAIINLAARAGVRQSVEDPWVYFDTNVTGTLNLLDLCRTLSINKFILASTSSLYGQGNAMPYREDANTDPLSPYAASKKAAEAFCYTYHYLYGIDVTVFRYFTVYGP----------AGRPDMSLFRFVQWISEERPVMLYGDG------RQSRDFTFVDDIARGTIAGLTPL----GFEIINLGSDTPIVLMDAVRLVEALVGKRAEIVHASRHPADVQATWAEISKAKRLLDWQPQSTFQDGVGALVRWYQTNREW------ 304393747 ------LVTGNAGFIGYHTTRKLLERGNSVVGVDMVNDYYDVGIKEARLKQLDFADRAALEAVFKEHKPRKVINLAAQAGVRYSLENPHAYVESNIIGFTNLLECCRYGEVEHLAYASTSSVYGANTNMPFSEHHGVHPIQFYAATKRANELMAHSYSHLFRMPTTGLRFFTVYGP----------WGRPDMALFLFTRKILAGEPIPVFNNGNHTRDFTYVSDIVRITDNPDWDAANPDPATSNAPFRIFNIGNNTPVKLMTYIGALQDALGKKAEIDFLPLQDGDVPDTYADVSELMAAVDYKPATPVETGVANFVDWYRSYYN------- 301060769 ----KILVTGGAGFIGSNVVDGYIGAGHEVVIMDNLYTGKRVNVPEARFYKLDIRSPEA-AAVISRERPDILNHHAAQMSVPASVADPGFDADVNIRGFLNLLEAAVKSGVKKVIFISSGAVYGEAEEYPTPESYPPKPLSPYAITKYCSEHYLAYYRHQYGLHYTTLRYANVYGPRQ------IPHGEAGVVAIFMNNLINGIQSMLNHFPEDERG---MVRDYCFVGDVVSANLAAL----TRGSDDFFNIGTGQGTRTGDLYDAIFNAVKRAGQAIPEEMRPGDLKKSCLTIRKAVQSLNWQPRIKLAEGLDKTLKWRLN---------- 258543634 ----RILLTGGCGFIGSAVIRHIIHNTHSVLNVDCMTYAASPETVEDAPEQTNITDTPALEKLFNSFKPDAVMHLAAESHVDRSIDGPGVFIQTNVVGTYSMLEAARKYWMFRFHHISTDEVFGAPNDPPFTETTPYDPRSPYSASKASSDHLVRAWYHTFGLPTFVTNTTNNYG--------IWHFPEKLIPLITINAIEGKELP--------VYGKGDNVRDWLFVEDHAEALVKAVEK---GKPGETYAIGARQPRTNLEVVQTICRILDRERLIRYVTDRPGHDFRYEIDPSHAEQALDWKAKHNFETGIRRTVQWYLDNRTWWES--- 135066435 ----RVLVTGTAGFIGFHLAKLLVEEGVVVHGYDGMTDYYDVALKQRRHAEGMLEDQALFDGVADTFQPDVIIHLAAQAGVRYSLENPRAYLDANVMGTFNVMEAARRLRVKHLLMASSSSVYGANTAMPFSETQKADTLSIYGATKKANESMAHAFAHIHDLPTTMFRFFTVYGP----------WGRPDLAFYKFVDAILDDRPIDIYNHGDMYRDFTYVDDLVEPQRPVDGIVPEGDSLSPVAPYRVVNIGNSNKVKLLDFVDAIEDCLGKKAKRNYMEMQSGDVPATWADAGLLYRLTGYAPKTSFKEGVAAYVNWYREF--------- 114769685 ---KKVLVTGSAGFIGFHLTSELLKFGFQVVGIDNLNDYYDVKLKLDRLEELDISNEVTLGKLFKDHSFDIVVNLAAQAGVRYSLKNPQAYINSNLVGFSNILECCRNFKIEHLLFASSSSVYGMNIKQPFSTDDNTDPISLYAATKKSNELLAHSYSHLFSIPCTGLRFFTVYGP----------YGRPDMAYYSFTKSINDGVSIDVFNSGDMLRDFTYIDDIIDGIIKLIDHKPACNITNAKAPFQVLNIGNNNPVTLRRFINAIENSVGKKAVENLLPMQPGDVPVTYANIDPLASLCDFRPSTSIEDGIEKFVEWYLQ---------- 41386583 ----RLLVTGAAGFIGSAYVRGLLTDDLRVTVLDSLTYAGNRANLDLTHVEGDICDTELVDRL--TAEADQIVHFAAESHVDRSITGSAEFIRTNVLGTHTLLDAALRHGIDRFVHISTDEVYGSIEKGSWPETDPLRPNSPYSASKASSDLLALAYHRTHGLDVRVTRCSNNYGP--------YQHPEKVIPLFVTNLLDGKRVPLYGDGQ--------NVRDWLHVEDHCAAIECV---RTRGGAGEIYNIGGGTELSNRELTGLLLEACGADWDVEYVTDRKGHDLRYSVDWSKV-ADLGYTPAHDFRAGLAETVDWYRSNRTWWE---- 293392091 ------LITGGAGFIGSALIRWLIQHTHDIINVDKLTYYSVETHPRYHFEQTDICDGQMMAHILSQYRPDAVIHLAAESHVDRSIDAPAAFMQTNIIGTYTLLEAARNYYEFRFLHISTDEVYGDENHALSKEDAAYAPSSPYSASKASADHLVHAWHRTYGLPTIITNCANNYGP--------YQYPEKLIPFMISNALNGKPLP--------VYGNGQQIRDWLYVEDHIRALYLVL---MKGNVGESYNISSHCEKTNLAVICAICELLEYADLIVHVTDRPGHDRRYALDATKIQQELGWQPQENFFSGIRKTVQWYIDNRN------- 15234745 -----VLVTGATGFVGSHVSLALRKRGDGVVGLDNFNNYYDPSLKRARRVEGDLNDAKLLAKLFDVVAFTHVMHLAAQAGVRYALENPQSYVHSNIAGLVNLLEICKAANPQAIVWASSSSVYGLNEKVPFSESDRTDPASLYAATKKAGEEITHTYNHIYGLAITGLRFFTVYGP----------WGRPDMAYFSFTRNILQGKPITIYR---GKNRVDLARDFTYIDDIVKGCLGSLDSSGKSAPYRIFNLGNTSPVTVPILVDILEKHLKVKAKRNFVEMPNGDVPFTHANISSARNEFGYKPTTDLETGLKKFVRWYLSYY-------- 143489640 MMARSVMVTGGAGFIGANFVYHWVEADDTVVVLDALTYAGNEQAECIHFVEGDICNADLVARVMADHNIDTIVHFAAESHVDRSITGPDAFIRTNLEGTHTLLAAAHSAWLHRFHHVSTDEVYGAPEDPAFTETTRYEPNSPYSASKAGSDHLVRAYQHTYGLQVTTSNCSNNYGP--------YHFPEKLIPLCLTRILDG--------GVIPVYGDGSNIRDWLFVEDHARGIARILEAGT---PGEVYNIGGHNEWANLDIVNLLCRVMDSDSLIEFVTDRAGHDWRYAIDASKIEQALGFVPNETFQTGLAKTIDWYLANESW------ 303240158 LKGKKVFVTGAEGFIGSHLTEALVGKGCNVTALVQYNSGNWGWIDTFPEVSGDIRDYFGLKSALLGN--EVVMHLAALIAIPYSYSAPDAYAKTNVLGTLNVMQACRECDVLKVVHTSTSEVYGTAKYVPIDENHPVQGQSPYSASKIGADKIVESYFYSYNVPAATIRPFNTYGPRQS---------ARAIIPTVITQILSGKKEIKV-------GNLKPTRDLNYVSDTIRGFMLTAERDDSIGQVINI--GSGREISIGDLVNKIANIMGKEIDIISEEQRKSEVFRLLGSIEKASKILGYEPQVSLDEGLEKTIKWLSENVERYKTD-- 254517911 ---KTYLVTGGAGFIGSNFVLYMLKKDIRIINLDKLTYGNLENLKRHIFVQGDICDAELVLSLFEKYEIDYVVHFAAESHVDRSIKEPEVFAKTNVLGTVNWETEDGWRKGVKFLHVSTDEVYGLGETGYFMETTPLDPHSPYSASKAGSDMMVKAYGDTYKMPINITRCSNNYGP---------FQFPEKLIPLLINNCLQLKD-------LPIYGDGLNIRDWLYVEDHAKAIDMVI---NNGRIGEIYNVGGHNERTNIQIVDTVINYINTENLKKFVEDRKGHDRRYGIDPSKIKEELGWYPETTFEVGIVKTIKWYLDNKEWMNN--- 141831919 -----------------------------------------------------LLDRARIDEVLPKYAPIAVMHFAALSQVGESMQVPGKYWRNNVVGSLNLIEAAVAANCHNFVFSSTCATYGDQDNVVLDENSAQHPINAYGASKRAIEDILRDFEASNGLNHVIFRYFNVAGADPEAEVGEHHQPETHLIPLMLDAIDGKRDALTIFGTDYETPDGTCIRDYVHVCDLVDAHVLGLKWLEKDKGSRVFNLGTGSGFSVREVIDHSRAVTNRAVPFNEGARRPGDCTKLVSGSTRAKQELGWTPTRSLQTMIADAWKWHQ----------- 153011732 MSTRRILVAGGAGFLGSHLCERLLNEGNFVICVDNFSTGRLENLRNFSFVRHDIVNPIDLDEIYNLACPASPPHYQA---------DPVHTMKTSVIGSLNLLELAAHYQARIFQ-ASTSEVYGDPQTHPQPEAYWGNPRSCYDEGKRSAETLFYDFHKQYGVDIRIVRIFNTYGPRMR--------PDDGRVVSNFIVQALEREDITIYGD------GSQTRSFCYVDDLIEGFSRLMSSQVQKP----VNLGNPGEFTVRELAEQIIALTNSSSRIVYRPLPTDDPRQRRPDIMLAKRELGWEPQIALVEGLKQTIAYFER---------- 73667780 ----RLLVTGGCGFIGSNFIHYMLKKNYQIVNLDKLTYAGNESNPNYSFVQGDICDSQVVNEVMK--KVDCVVHFAAESHVDRSIEDGSVFVRTNVLGTNTLLQSALAHNIKKFIHVSTDEVYGSTMEGSFTEKDNLNPSSPYSSSKAGSDLLAKSYYTTYGLPVCITRCTNNFGP--------YQYPEKLIPFFISRLMEGKKVP--------VYGTGLNIRDWIYVEDHCSAVDFVLH---NGSNGEIYNIDGGNELTNLEITHRLLKMIGKDESIEYVEDRKGHDFRYSLDGSKLEK-MGWKPKYDFDSALEQTVKWYVENRWWWE---- 135306326 MDKSRILVTGGAGYIGSHIVELLVKDKKNIIILDNLVTGYEKLIKKAIFIKADIKNKLKIKKIIKDFKINSIIHLAAYLNVSEAEKNKRKYYQNNIIGTKNLLDACENSSVKNIIFSSSCSIYGNVKGS-VGENKKPNPQGYYGYTKYKGEELVKKLSLKYKFKYGILRYFNVAGASPSGKIGEIETSHGHLIKNLAIQSLKKKPNIQIFGNDYKTKDGTCIRDYVHVSDLADIHIKGLNYLQKKEKSFILNCGYGKGYSVKQITDIFKKI-KKGVDVRYQKRRPGDIAQVYANTKKFKKILRWKPKYN------------------------ 251778002 MQ-KKVLVTGADGFIGSHLCELLLENGYDVRAFVYYNSFNSWGWLDSLDKDGDIRDPNGVREAMK--GIDEVFHLAALIAIPFSYHSPDSYVDTNIKGTLNVLQASRELNTKRILITSTSEVYGTAKYVPIDENHPFQGQSPYSATKIGADRIAESFYRSFDLPLTIVRPFNTYGPRQS---------ARAVIPTIITQLLCGEKQIKL-------GLLTPTRDFNYVKDTANGFLEISKYEKTIGEEINI--ATSKEISIKDLASEIISQINKDATIICDEERKSEVNRLLGSNEKIKKLTNWEPKFTFAEGIKETIDWFR-VPENLAR--- 255038334 ----KILVTGAAGFIGFYLVKKLIGEGHEVFGIDNINDYYATSLLAAPWERVDLLNMDRLLELFEEHRFDYVVNLAAQAGIRYSIENPRSYLNSNVEGFFNILECCRQYPPRKLVFASSSSVYGLNEEQPFTEQKVDSPINVYAASKKSNELMAHAYSHLYKFTTVGLRFFTVYGP----------WGRPDMAPFLFADAILNDRPIKVFNNGQM------KRDFTYVDDIVDGIASVISADLSE-YYQVFNIGNGKPVDLLYFIQCLEKSLGKEAVKEMHPMVPGDIVSTWADTTQLEASTGYKSQTDIAHGVELFASWYKKYFK------- 139906370 ----KILVTGGAGYIGSHVVMLLCDEGHDVIVLDDLSLGTRDAIIRAVFIEGSILNNNDLSKSLLGV--EAVIHLAAFKSAGESMQNPQKYSENNVLGSRNLLCAMVEENVKNIIFSSTAAVYGLPKYLPLDEKHPLKPINHYGYTKLQTEKTIDLFSEDKQIKYINFRYFNAAGYDAQGRISTLEKNPANLIPSVMEVATGKRDKLLVFGNDFDTDDGTGIRDYVHVSDLARAHLAAI-KLLSKNQSATINLGSEKQYSVMDVIKMTEKITGKEIPYEVVGRREGDPDMIYASSENAKNILKWTA--------------------------- 188580525 -DGRHVLVAGGAGFIGSHLVDALLARGARVVALDSFLTGRRDNLAHLARERFELVEADVTGPLPVLPRFDRIFNLACAASPPHYQADPMHTMMTSVVGTHHLLE-RAQADGARFLQASTSEVYGDPEVHPQTESYWTGPRACYDEGKRSAETLVFDFERVHRLDVRVARIFNTYGPRMRA--------DDGRVVSNVVCQALAGEPITVYGNG------EQTRSFCYAADLVDGLMRLMDRETSPGGP--VNLGNPREMTVAELVDLVTRMTCTRSAVVRRPLPVDDPQRRRPDITRARDLLGWAPQVPLEQGLEATIAWFAK---------- 228470351 LTSRTVLVTGVAGFIGGALAKRLLNDSIKIIGVDNLTSYYDEYGDRFVFIEASIANKEVVEQLFAQYRPSVVVNLAAQAGVRYSISHPDTYIESNLIGFFNILEACRHSYVDHLIYASSSSVYGSNTKVPYSVDDKDNPVSLYAATKKSNELMAHAYSKLFNIPATGLRFFTVYGP----------------CGRPDMAYFGFTETLLNDGTIQIFNYGNCKRDFTYIDDIVEGIIRIMRHAPERKVGEDYNIGNNNPENLLDFVQTLQEEYDFAAHTKLVPMQPGDVPITYADTTPLEEDFGFKPNTSLREGLRAFVQWYVK---------- 136365306 MKVMTVLITGAAGFIGFHLSKKLLLNGIDVIGVDNINNYYDPKLKKFDNFKINIEDSKSLEEALNKIKPKKIINLAAQAGVRYSIDNPNSYIQSNLVGFANILECCRNLEVENLIFASSSSVYGMNSNIPFSEDNVDHPISLYAASKKSNELMAHAYSHLYKIPVTGLRFFTVYGP----------WGRPDMALFLFTKSIIEGKPINVYNHGNMIRDFTFVDDIVESIFKLLNKPAYSESKFDSAPYRIFNIGNSNPTQLNDYIDAIENSLGIKATRNYLDMQQGDVAITSSDCEALENWIGFKPYTPVELGIEKFINWYKDFYN------- 142390569 ----KILVTGGLGFIGSNLINLLLKKNYKVLNIDKVTYASNKKNKNYKFIRCDLNNPKKLKEIIAKYKPIGIFNVAAETHVDRSIDGPKNFINSNIIGTFNILEILRKQKIIKLIHISTDEVFGDIIRGRSKEDDAYKPSSPYAASKAASDHLVTSYIRTYKVPAIVTNCSNNFGPRQ---------HPEKLIPKIIYNILNNKN-------LPIYGKGKNSREWIYVED----HCEALIKIFREGKGEFYNIGSNFNKDNLDVAKTLKKLLGKNVKIIFVKDRPGHDMRYAINSNKLKKQLKWKPKVSFMNGLEKTFNWYINNQKYYSN--- 71023949 -QKPRILIPGGAGYIGSHVALVLLTRKYRVTVLDNYHNAFPTAVKRVDVFKGDLRSKQDIAKVFGSYSGDDVILCAALKAVGESSEIPIDYYDVNVGGLVNLLKVMHDNGCNRLVYSSSATVYGTPPKVPIPESTRLAPESVYGRTKWMSEIIIRDVCDAYPFRAIGLRYFNPAGAHKSGKIGEDPRGKPGNLLPLLAQMAVGKYREKVFGNDYPTPDGTCVRDYIHIEDLAGGHVNAVEKIETKGKYRAFNLGKGVGMSVLNMVDAMKKVSGYEFPYSIVERRTGDVPDLTADPSLAEKELGFKATKNLDDMAQDLWRWQSGNPQGYGEQ-- 291288293 ----KILVTGTAGFIGHHTALKLALRGDDVIGLDNINDYYDVRVKYGRFVKINLEDRENITKLFETEKFDAVCHLAAQAGVRYSIDNPHAYIKSNIDGFMNILESCRHTGVKNLCFASSSSVYGLNKEIPFTSHSVDHPISLYAATKKSNEMMAHTYSHLFDIHTTGLRFFTVYGP----------WGRPDMALFIFTKAALEGKPINVFNNGEMFRDFTYIDDIVEGVVRVLDNPAKPDADFNGTDPKIYNIGNSVPVNLMDFIKAIEAKLGKTIEKNMMPIQPGDLHTTYADASDLTLHTGYKPSTSIEDGVGRFIDWYLDFY-------- 135452512 --GKKILITGGAGFIGSNLCEFFLNLGAIVICMDNLATGKMINIKNFSFLNADIRNIEDCQK--ACAGVDYILHQAALGSVPRSIKDPITSNEVNINGFLNMLVSAKDNNVKRLVYAASSSTYGDSQKMPKIEDVIGKPLSPYAITKYVNELYAEIFSSIYGLETIGLRYFNVFGRKQD------PNGAYAAVIPKFVNKLINEESPVINGD------GSFSRDFTYIDNVLEANLLSLLTENKKALNTVYNIAFGERNTLNDLIKYLVSYLINNIEIIYGPVRFGDIPHSHASIDKAKKLLNYNPQYSLNKGLKKAVKWYWKN---LKNEK- 78358730 ----NYLVTGIAGFIGSAVARALLRQGHQVTGVDNLTTGYRDNVPAGAFIKADCQDAALYDTVLPRTPFDAIFHIAGQSSGEVSFDDPAYDLRTNTESTLHLLRFARRTGCTRLIYASTMSVYGCQPDEPVHETAPAAPLSFYGVGKLASEHYLRLH-EQFGIRSTALRLFNVYGHGQNMDNMRQGMVSIFMAMMLRN------------GHIHVKGSPERYRDFVHIDDVVRAFLLCLGQQRSHGEVINI--AGSGRVTVGQLVEELRALHPAPVTVEFSGCTAGDMHGIHADKDKARTVLGYTPQVSLRQGLEDMYR-------------- 153261 MSGK-YLVTGGAGYVGSVVAQHLVEAGNEVVVLHNLSTGFREVCRRVPRSS---RRHPGRRQVRGRLSFDGVLHFAAFSQVGESVVKPEKYWDNNVGGTMALLEAMRGAGVRRLVFSSTAATYGEPEQVPIVESAPTRPTNPYGASKLAVDHMITGEAAAHGLGAVSVPYFNVAGA--YGEYGERHDPESHLIPLVLQVAQGRREAISVYGDDYPTPDRPVCATTSTSPTWPRPTCWPCAA--APGEHLICNLGNGNGFSVREVVETVRRVTGHPIPEIMAPRRGRDPAVLVASAGTAREKLGWNPSRDLA-IVSDAWE-------------- 182439722 ----HLLVTGAAGFIGSQYVRTLLGPGVVVTALDALTYAGNPDNLRYRFERGDICDAALVDRVMAGQ--DQVVHLAAESHVDRSLLDASVFVRTNVHGTQTLLDAATRHGVASFVQVSTDEVYGSLEHGSWTEDEPLRPNSPYSASKASGDLLALAHHVSHGLDVRVTRCSNNYGPR--------QFPEKLIPRFITLLMDGHRVPL--------YGDGLNVREWLHVDDHVRGIEAVRTRGRA---GRVYNIGGGATLSNKELVGLLLEAAGADWSVEYVEDRKGHDRRYAVDSTRIQRELGFAPAVDLADGLAATVAWYHKHRSWWE---- 198282742 ---KRLLVTGAAGFIGANFCHYWLQQHPRAIALDALTYAGNRHNLEGRFVQGDINDALLVERVMTDHHLNVIVHFAAESHVDRSIHDPDAFIQTNILGTHNWLDGPQGWIPHRFHHISTDEVYGTPQDPAFHEETPYAPNSPYAASKAGADHLVRAYRHTYGLSTTTSNCSNNYGP--------YHFPEKLIPLIIVNILHNKPLP--------IYGDGRQIRDWLYVEDHCRGIATILEQGR---EGESYNIGGCNEWANIDIVRLIGEIMDSADLIQHVRDRPGHDRRYAIDATKITRELGFKPAVDFPTGIRQTIRWYLEHPQW------ 159033049 ----RIIVTGGAGFIGSEFVRSMLANDIRITVLDKLTYAGVRENLRHAFVHGDICDGDFLDQVVPGH--DAVVHFAAETHVDRSITGSAPFVTTNVLGTQLLLDAARRHGVGRFLHVSTDEVYGSIPVGSWSEESPLAPNSPYAATKAGSELLALACHRTHGMDVVVTRCSNNYGP--------YQFPEKVIPLFITRLLDGGKVPLYGDGQHV--------RDWLHVSDHCRGVELALRHGRA---GEVYHIGGGTELTNEQLTGHLLAATGRGWQVERVPDRKGHDARYSLDCTKAATELGYAPLVGFERGLADTVAWYAGNRSWWE---- 27380532 ----KCIVTGGAGFIGSHLVDRLLDDGHEVIALDNFVIGRSENLSRLKIVRADVTDRESISPYFS--GIDWVFHLAALADIVPSIESPIPYHRANVDGTVNVLEAAREAGVSRFVYAASSSCYGIPDIYPTPESAEIRPMYPYALTKNLGEQCVMHWCQVYKLPAVALRLFNVFGPR------HRTTGTYGAVFGVFMAQKLAGKPFTVVGD------GEQTRDFTFVSDVA-------DAFVTAARSDVSHEIFNVGSDNTYSVNRLVELLGGD--KVHIPKRPGEPDCTYADITKIKRVLKWTPKVKFEDGVATMLK-------------- 40388616 ---KTYLVTGGAGFIGSNFIHFMLKKYDDIINLDKLTYAGNENKPNYTFVQADICDKEFINNLFKHYDIDYVVNFAAESHVDRSIIEPEIFAKTNILGTVTLLNAARNAWQDKFLQVSTDEVYGLGKEGYFTEKTPLDPHSPYSSSKAAADLIVKAYYDTYKMPVNITRCSNNYGP---------YQFPEKLIPLMINNCLNKKP-------LPVYGDGMNIRDWLYVEDHCKAIDMVLHKGKM---GEIYNIGGHNERTNIHIVKTIISYIHDESLIRFVEDRKGHDRRYGIDPTKIKEELGWYPETKFEDGIIKTIKWYLENKEWMKN--- 297266706 -DRKRILVTGGAGFVGSHLTDKLMMDGHEVTVVDNFFTGRKRNVHWIGHENFELINHDVVEPLY--IEVDQIYHLASPASPPNYMYNPIKTLKTNTIGTLNMLGLAKRVGA-RLLLASTSEVYGDPEVHPQSEDYWIGPRACYDEGKRVAETMCYAYMKQEGVEVRVARIFNTFGPRM-------HMNDGRVVSNFILQAL-QGEPLTVYGSGSQTRAFQYVSDLV----------NGLVALMNSNVSSPVNLGNPEEHTILEFAQLIKNLVGSGSEIQFLSEAQDDPQKRKPDIKKAKLMLGWEPVVPLEEGLNKAIHYFRK---------- 296035482 ----RLLVTGGAGFIGANFVHQTVAEDVRVTVLDALTYAGNRASLDADFVHGDIADADTVNRLVA--DSDAVVHFAAESHNDNSLADPWPFVRTNVVGTFTLLQAVRDHDV-RYHHISTDEVYGDGDPGRFTEATAYNPSSPYSSTKASSDMLVRAWTRSFGIRATLSNCSNNYGP----------FQHVEKFIPRQITNLLDGVRPKLYGQG------RNVRDWIHVDDHNRAVWTVLEKGRI---GETYLIGADGEVDNRTVIAMLLEIFGRADDFDFVPDRPGHDLRYAIDSTRLRTELGWEPRYDFRSGLEATVQWYREHPEW------ 224436472 ------LLTGASGYIGSHTAYAFLKNDYNLVIVDDLSTGFIENITYLQFIQSNINDLQAMRTLLLKYQFEAIIHFAASLIVGESVLKPLQYYINNTINTTNLINLCVECNVNNFIFSSTAAVYGEPDVIPIDEDAPLLPINPYGASKMMSERVLSDTAKIENFNYVALRYFNVAGASMDNTLGQRSKNATHLIKVACECACGKRESMGIFGTDYPTKDGTCIRDYIHIDDLANAHLEALKYLQQTEQSNVFNVGYSQGYSVKEVIEIVKEVSGVDFKVIAESRREGDPIELSAKNEKILSLTHWRPKYDLKGIITSAYQWEKA---------- 144088122 ----KLIITGSAGFIGFSLCTKLLERGDELIGIDNHNDYYDPKIKEARIERIDLSDGKSLNKVFKDHKPQRVVNLAAQAGVRYSMENPLAYINSNIVGFANILESCRHNNIEHLVYASTSSVYGANTKMPFSEHDSNHPLSVYAASKKSNELMAHSYSHLYQLPTTGLRFFTVYGP----------WGRPDMALFKFTKAILENKPIEVFNYGKHTRDFTYIDDIVHTLDNPATNNSKWDPATSKVPWCIYNIGNNKPVHLMDYIDALEKALGKKAKVNFLPLQPGDVPDTYANINILKKKFDYQPSTSVIDGVFQFVKWYKSYYQ------- 212703801 -EPSTWLVTGVAGFIGSNLLEHLLKMGQTVVGLDNFLTGYPEAWSRFTFIEGDIRDLDTCRK--ACEGVDHVLHEAALGSVPRSIDDPILSNSCNITGYLNMLVAARDAGVKSFVYAASSSTYGDSPELPKVEDKIGHPLSPYAVTKYVDELYADVFARCYGFTTVGLRYFNVFGQRQD--------PYGAYAAVIPQWFASLLKKETVFVNGDG----ETSRDFCY-IDNVVQANLLASFAADEARNKVYNVAFGQRTTLNELFDLIREEVVRHMPETHRDFRAGDVRHSLADITRARTLLGYEPQFDVRTGLRLAGDWYAAN--------- 46241617 --NRRVLVTGGAGFLGSHLCERLIARGDEVVCVDNYFTGSRRHLLGNPNFETIRHDYVEVDQIFNLACPASPVHYQH---------DPVQTTKTSVHGAINMLGLAKRLNA-RILQASTSEVYGDPAVHPQPEEYWIGPRSCYDEGKRCAETLFFDYHRQHQLPIKVMRIFNTYGPRM--------HPNDGRVVSNFIMQALKGEPITVYGD------GSQTRSFCYVDDLIEGMIRLMDSPAE--VTGPINIGNPGEFTMLELAEHVVALTGSRSTIEHRPLPQDDPKQRRPDITKAKSLLEWEPTIPLRDGLERTIHYFRSH--------- 297193919 --------------------QHLLEAGHEVTVLDDLSTGFREGVPAGAFIEGRIQDAA--RHLDGSY--DGVLHFAAFSQVGESVAEPEKYWANNVGGTMELLAAMRSAGVRTLVFSSTAATYGEPVSTPITESDPTAPTSPYGVTKLAVDHMITGEAAAHGLAAVSLRYFNVAGA--YGAQGERHDPESHLIPLVLQVALGRRESISVYGDDYPTPDGTCVRDYIHVADLAEAHLLALDA-ATAGEHLICNLGNGNGFSVREVIETVREVTGHPVPEVVAERRGGDPAVLVASAARAQERLGWKPSRDLAGIVSDAWAFARRRENG------ 295132439 --KKRILITGGAGFIGSHLCKRMLDEGNEVICLDNYFTGSKKNIPYFEMVRHDITEYAEVDEIYNLACPASPVHYQ---------YNPIKTMKTSVMGTINTLGLAKRVNAKILQ-ASTSEVYGDPDVHPQPETYWIGPRSCYDEGKRCAETLCMDYHLQNGVDVKIIRIFNTYGPNMN--------PEDGRVVSNFIVQALKGEDITIFGD------GTQTRSFQYVDDLVEGMVRLM--GTPNGFWKPVNIGNQNEFTMLELAENVLNITGSKSKLVFKDLPKDDPKQRQPDISRADKYLGWKPKIQLEEGLKKTIAYF------------ 144051009 ----KLLVTGGAGFIGSAVVRLAIAQGHQVVVLDALTYAACLDNLKYRFVEGDICDRALLDQVLGDHRPEAVMHLAAESHVDRSIDGPGAFIETNITGTYTLLEAARCYWRFRFHHISTDEVFGLGKTGHFTEETPYAPNSPYAASKASSDHLVRAWHETYGLPVLLSNCSNNYGP--------YHFPEKLIPVIIINALAGKPLP--------IYGNGENVRDWLYVEDHANALLTVLTKGTI---GRSYNIGGENEATNIDIVREICAILDRLRPVNFVADRPGHDLRYAIDPTRIRTELGWR---------------------------- 149195143 --NKNILITGGAGFIGSNFIPYFLEKEYNIINLDKLTYAGNENNPRYKFIKGDICNRELVEYIFNEFDIQGVIHFAAESHVDNSIKNPDVFVKTNVNGTFTLMDVAYKYWMERFHHISTDEVYGDDPNELFTEETPYRPNSPYSASKASSDMIVRSYHHTYGMNTIITNCSNNYGP---------KQHDEKLIPTIIRNAIN-------LNPIPIYGDGKNIRDWLYVLD----HCKGIDLAYHKGKSETYNIGGRNERTNIYIANKICEILDYKDLITFVDDRPGHDRRYAIDASKIENELGWRADENFESGIVKTIEWYL----------- 209967266 ----RVLVTGGAGFLGSHLCDRLIADGNDVICVDNFFTGNIAHLLGHPRFELLRHDYVEVDEIYNLACPASPVHYQ---------NDPVQTTKTSVHGAINMLGLAKRLRARIFQ-ASTSEVYGDPDQHPQSEDNPIGPRACYDEGKRCAETLFFDYHRQHGVDIRVARIFNTYGPRM--------HPNDGRVVSNFIVQALRNAPITIQGDGRQT------RSFCYVDDLIDAIVRLMQAP--EGTTGPVNLGNPGEFTIRELADQVIGLTGSRSELVYRPLPVDDPMQRCPDITRARTLLGWEPRVPLREGLERTIRYF------------ 134960333 ----KVLVTGAAGFIGSAVAERLASENISVLGVDNLNSYYDVRLKQDRLEELNISDKKNVDFLFKKNDFNFIIHLAAQAGVRYSLENPQAYIDSNIQGFFNLLEASRIDRIEHFLYASSSSVYGGNNRLPYSESCNINPVSLYAATKASNELMAFSYSHLFNIPLTGVRLFTVYGP----------WGRPDMAVFSFVKKILSRDKLKLFNNGDMKRDFTYIDDVVEGIVRLLCSLPTSDYSSGNAASRIVNIGNSNPVTLMDFVSILENILGIKADIEFSAFQAGDVKSTFADMKKFKSLTGFEFQTPLLKGLKQFVDWYKDYYK------- 296036028 ----------------------LLERGHEVVVVDDLSTGNADAVPAGEFIEGDIAAVAGLGSGNSTPRFDGVLHFAAQSLVGESVERPEQYWQGNVVTTLALLEAMRLSGTPRLVFSSTAATYGEPERTPITEDAPTRPTNPYGATKLAIDHAITSYANAHSLAATSLRYFNVAGAYKGA--GENRVVETHLIPLVLQVALGQREKISVFGTDWPTKDGTAVRDYIHVLDLADAHLLALESSV-PGHHRIYNLGSGAGFTVREVISACERVTGLPIAAEDAPRRAGDPAVLIASSDRAVDELGWRPATDLDEIVSDAW--------------- 258654333 ----RILVTGGAGFIGSTFTRNVLTEGAEVVVLDKLTYAGNEDSDRYEFVQGDICDPDVVGKLVAAA--DAVVHFAAESHVDRSILGGADFVLTNVMGTQTLLQAALETKLTKFVHVSTDEVYGSIETGSWDENEPLLPNSPYSASKAGSDLLARAYAKTHQLPVTITRCSNNYGP--------YQFPEKVIPLFVTNLMDGQKVPL--------YGEGRNVRDWLHVDDHCRGIALVL---AGGRPGEIYNIGGGTELTNAELTAKLVAATGRDESFIVDPRGGGHDLRYSVDHGKISRELGYQPQVPFDQGLADTIAWYRDNRDWWE---- 144190324 -DRRRILVTGGAGFIGGAVVRRLLRETAQVFNLDKMGYASDEQVLRHQLLRVDLSDAQATAEAVQRADPDLVLHLAAESHVDRSIAGPEAFISSNVTGTLHLLQAVRAHWNFRMHHISTDEVFGLGPEGRFSETTPYDPRSPYSASKAASDHLVNAWHHTYGLPVVLTNCSNNYGP--------WQFPEKLIPVVILKAANSEAIPL--------YGDGLNVRDWLHVEDHVDAL---LLAACRGALGRSYCVGGFGELTNRQIVELICSSLDHAQLIQRVSDRLGHDRRYAIDPSRIQNELGWQPRHSLEEGLAETVNWYLTQQNW------ 284997372 ----KYLIFGGAGFLGSHLVESL--EG-DITVVDDLST--VKYLELPPNVKL-IKDR--VETFKTNEKFDFVIHLAARPSPEDYMQHPVETALSNSLGTYNALEIARKSDA-IFLYSSSSEIYGHAEIIPTPEDYWIGVRSCYDESKRFSESLIMSYFREYGLDVRIQRPFNVYGPRLR------EDGSYGRVVSRFIYQALKGEDITIFGDG------NQTRAFLYVSDWIEATKKMLFTQGLKGE--VFNVGSDKEVKIIDLAKMIIRLTNSNSKIKFLPPRADDPPRRAADITKAKKILGWEPKVDLEEGLKRTIEWFK----------- 23099537 ----KYLVTGGAGFVGSNIVRKLISNGESVRLLDNFSTGNKNNIADINDISGDFTNKAVVKEAMKGVDI--ILHQGAIPSVPKSIDNPILSNYANVNGTLTLLNAAVEEGVSRFVYAASSSAYGNNKRLPKQEDMIANPMSPYAVSKYTGELYCKVFYEIYGLETISLRYFNVFGPRQ-----NPHSKYAAVIPAFIESIIQNQSP-------IIYGDGTQSRDFTYIDNVVSANLLAATAKNLKGEVVNI--GTGTQIQLNDLVDRINNILGKNISAIHTKDRAGDVKHSLADIQRAKDIINYEPITTFDDGLIKTVEWF------------ 73539196 ------LVTGVAGFIGSNLLEALLRLNQRVVGLDNFLTGHRRNLHEFQFIEGDIRDLEQCRSAMRFHPVDFVLHQAALGSVPRSLEDPIATHSVNISGFLNMLVAARDAEVRAFVYAASSSTYGDHPGLPKTEDRIGKPLSPYAVTKYANELYADVFRRCYSLRAIGLRYFNVFGPRQDPN-----GAYAAVIPRWISALLRRE-------TVYINGDGETSRDFCYVANAVQANLLAALSCSGDAESQIYNVAVGDRTTLSTLFQLLRDGLRPDTQPTYRAFRAGDVRHSQADIGKAQRLLGYQPTHRIGDGLAEAMHWYVQLHR------- 254250562 ---KHVLVTGGAGFIGANFVRHLLESDVSVVTLDLLTYAGSLDNLRHRFVLGDICDRPLVESLLRDHAIDTVVHFAAESHVDRSIDGPGEFVRTNVLGTWTLLDACRQIWLNRFHHIGTDEVYGGPDDPPFCETTPYAPNSPYSATKASSDHLVRAYFHTYGLPVTTTNCSNNYGPR--------QHGEKFIPTVIRHCVEGTDIP--------VYGDGANVRDWLYVDDHCRAIDAVIRRGTI---GETYTVGGCNEWRNVDIVALICTLLDHARLVRFVTDRAGHDRRYAIDAGKLARELQWRPAESFETGIVKTLDWYL----------- 218960618 ----RVIVTGGAGFIGANYLHTLFADTGKILNIDKLTYGNLESLQRYFFEQADICDAPKMKEIMHQFQPDTIVHFAAESHVDRSIDGPMEFVQTNLVGTATLLNSALEYYRFRFHNVSTDEVFGLGENGMFTEETPYDPSSPYSASKAGSDHLVRAWQRTFGLPITISNCSNNYGP---------YQFPEKLIPLMILNCLAHQP-------LPVYGKGINVRDWLFVTDHCEAINIIIRK---GKVGETYNIGGHNEMKNIDIVTTICKILDYTELITFVQDRPGHDLRYAIDATKIEKELGWKPAETFATGIRKTVAWYLENKQWWQN--- 209363864 MRKPIAIVTGGAGFIGSHMVDLLLDCGFQVRVIDNLKGGHRRNLEHRTFEIKDICELSAPHPLFENV--DYVFHFAGIGDIVPSIENPIDYLQTNVMGTVRVLECARAANVKKLVYAASSSCYGLA-DVPTREDHPIAPQYPYALSKYLGEEAAFHWFQVYGLPVNSIRIFNAYGTRVRT------TGVYGAVFGVFFKQKLADKPFTVVGD------GTQRRDFLYVTDVAR---AFLKAAETRKVGETWNLGAGNPQSINRLVELI------GGEVEYIPKRPGEPDCTWADISKIKRDLGWEPTITFADGVSRM---------------- 257388087 LSDRSVLVTGGAGFVGGQLVQTL-APDNDVTVLDDLSTGERDRVPDDTFVHGDVRDQRKLKQ--EIEAADVVFHEAAVVGVPASLRDPPRSNHVNTGATVQLLDYARQYD-TRVVLASSAAIYGEPESVPIEEDHPLEPTSPYGVDKLAVDHYARVFAQQYDLPVVPLRYFNIYGPR-------TGPNPYSAVVDVFLEQARSGDPITVHGTG------EQTRDFVHVDDVVQAN---LRAATTDEVGVAYNVGTGSSVSIAELAELIRTATDSDSPITHTDERPGDISDSEADISRARERLGYEPTVDLRSGIDRLVD-------------- 136039135 ---KNILITGGAGFIGSHVIRKFVKKNYQIFNLDALTYAGNESLPNYTFLKGNICDQNYIKSIFKKYKFEGVIHLAAESHVDRSISNPSDFALTNILGTINLLNAFKNWDNKLFYHISTDEVYGLGINGYFKEDSPYDPNSPYSASKASSDHFVRAYGETYRLPYIISNCSNNYGPNQF---------PEKLIPLFINNIINKR-------ELPVYGDGNYTRDWLYVEDHANAIDLVFH---NGVRSETYNIGGFNEWKNIDLIKILCQKMDLKLNIRYVKDRPGHDLRYAIDASKINKDLGWSPSVTFEEGLSTTID-------------- 291288341 ---KRVLVTGGAGFIGSHLCERLLEQGHEVLCVDNLFTSRKDNIKKL----MDNKDFEFMRHDVTFVEVDQIYNLACPASPVHYQFDPVQTTKTSVMGAINMLGLAKRLKIPVFQ-ASTSEVYGDPEIHPQPE-SYKGSVNPYDEGKRCAETLFFDYKRQHGLDIKVVRIFNTYGPKM--------HPQDGRVVSNFIMQALRGEDITIYGEGKQT------RSFCYVDDMVDGFMKMM--NTPEGFTGPVNLGNPNEFTILQLAELVIKIIGNKNNIIFKPLPQDDPMQRKPDITLAKRELEWEPAVMLEEGIVKTIEYF------------ 124024227 --SRCVVVSGAAGFIGAALVQRLLAQGDRVIGIDNLNDYYDPSLKRARLAQIELEDGAGIEELFKAEKPQVVVNLAAQAGVRYSLENPAAYIQANLVGFGHILEGCRHHGVQHLVYASSSSVYGGNRNLPFHEQQPVHPVSLYAATKKANELMAHTYSHLYGLPATGLRFFTVYGP----------WGRPDMAPMLFAKAILAGEPIKVFN------YGKMQRDFTYIDDIVEGLLRCCDKPATANPHRLFNIGNSQPIELMHFIELLEKSLGREAVKDFQPMQPGDVMATAADTSALEAWVNFRPSTPIAEGVECFSQWYRSFYE------- 159902007 ----TVLVTGGAGFLGSHLCDRLIERGDEVICLDNFFTGNKDNVRHLGHDRFELVRHDIVHPFY--IEADRIFNLACPASPEAYQHNPIKTIKTSTVGMVNVMGLAKRCGA-RVLHASTSEVYGDPQVHPQTEDYWIGPRSCYDEGKRIAESLMMNYHEAHDVQIRIIRIFNTYGPRMD--------PNDGRVISNFINQALRGEPLTIYGE------GTQTRSFCYCSDLIEGMLRLMDQDDHTGP---VNIGNPTENTMLELAEAVIAAVGSSSTIAQLPLPKDDPQRRCPDITLAKQWLDWTPQVDLQQGLGNTIEWYRK---------- 295401171 ----KILITGADGFIGSHLTEELVRQGYDVKAFVYYNSFNSWGWLDTSPESGDIRDPHGVKEAMKGC--DVVLHLASLIAIPYSYHSPDTYVDTNIKGTLNVLQAARELDIQKVVHTSTSEVYGTAQYVPIDENHPLQGQSPYSASKIGADQMAIAFYRSFETPVAIIRPFNTYGPRQS---------ARAVIPTIITQIASGKRKIKL-------GSLHPTRDFNYVKDTVNGFISVMKHDESIGEVINI--GSNFEVSIGETAKLIAEIMGVDIEIETDDARISEVERLWADNSKAKALLGWEPAYGFKRGLKETIEWFTD-ERNLSQ--- 268322278 MTSTHILVTGGAGFIGSTYVRTLLGDGVKVTVLDRLTYANLDPVREHPFVRGDITDSALVGMLMA--RVDQVVNFAAESHVDRSLASSAPFVRTNVVGTQVLLDAALQAGVRTFVQISTDEVYGSIAEGSWSEERPLAPNSPYSASKAAADLLALSYHRSHGLDVRVTRCSNNYGS---------HQYPEKIIPLFVTNLLDGKK-------VPLYGDGRNVRDWLHVDDHVQGVELV---RTAGRPGEVYNIGGGTELTNRELADLLVTACDADDSVEYVADRKGHDRRYSVDCTKIRTELGYRPVRNLTAGLAETVAWYRGNRKWWE---- 148907389 -QGKNILVTGGAGYVGSHTSLQLLLGGYRVVVIDNLDNSSEEAIKRVTFHKIDLLDKEAMEKLFLSTKFDAVIHFAGLKAVGESVAKPLLYYKNNIVGTINLLETMVSHGCKKLVFSSSATVYGQPKEVPCTEDFPICAMNPYGRTKLFIEEICRDHRADPDWKIILLRYFNPVGAHPSGFIGEDPRGPNNLMPFVQQVAVERRPELTVFGNDYQTNDGTGVRDYIHVMDLSDGHIAALNKLFKTEDCEVYNLGTGRGTSVLEMVADLKRHLGRKF--------------------------------------------------------- 147677416 ----KLLVTGGAGFIGSNFIHFIHRKDWQIVNLDKLTYAGNLKNLEGRFVRGDIADPDVVNEL-AGERPDAIVNFAAESHVDRSISNPSPFIDSNVKGVQVLLEAARRFGIKKFIQVSTDEVYGLGPDGAFNENSPLAPNSPYSASKAAADLLCRAYYKTYHLPVIVTRCSNNFGPRQYP----------EKFIPVVITCALANRPVPVYGDGL------NVRDWLYVAD----HCRALEAVILAGRGEVYNIGGGRELTNLELAGEILRLLGKPASIRFVADRPGHDRRYAVDSSKIRRELGWRPVCEFEKALGETVRWY------------ 118587698 ---KTVLVTGSAGFIGYFLCTRLLKDGFRVIGLDAMTDYYDVRLKERRQQMLPINDRDLLMSLFRTERPDFVIHLAAQAGVRYSIEAPRSYLESNICGTFELLEAARAFPPEHMLLASTSSAYGANTEMPYTETDKADHMSFYAATKKATESMAHSYAHLYDLPVTMFRFFTVYGP--------WGRPDMALFKFTKAILEGKPIDVYNYG--------DMRRDFTYVEDLVEGIRLLMDAVPREGDSRVVNIGNSTCVQLTEFIEAIEVATGRTAERNLMPIQAGDVPATWANADLLQSLTGYRPKTTVQDGVARFVEWYRDYYR------- 32265879 ------LLTGASGYIGSHTAYCLLKNNYHILIVDDLSTGFKENIAYLQFVQSNINDISKMRTLLLHYKFEAVIHFAASLIVGESVLKPLEYYTNNTLNTTNLIALCIECGITKFIFSSTAAVYGEPHTIPIDENAPLLPINPYGSSKMMSERILYDTSAFKNFNYVALRYFNVAGASMDNTLGQRSKNATHLIKVACECACGKRESMSIFGTDYPTKDGTCIRDYIHIDDLASAHLEALTFLQHTQTSNIFNVGYCKGYSVKEVIDVVKEISGMDFKVIESARREGDPIELSAKNEKILSLTQWKPKYDLRVIVKSAYEW------------- 157693977 ---KTYLVTGGAGFIGSNFIAFLLDKDIKIINLDKLTYGNLENLINYDFIKGDINDSVLLNEIFENNEIDYVVNFAAESHVDRSIENPSIFVETNVLGTLNLLNTAKKFWQKKFIQISTDEVYGLSNEGYFTEETPLDPHSPYSASKASADLLVQSFFDTYKFPVNITRCSNNYGP--------FQFPEKLIPLVIHQCIEKKQIP--IYGDGLNVRDWLFVEDHCSAVDLVIKNGQLGEVYNIGGHNEKTNIGLVNGYLNEEVDENINKEL-----ITFVKDRKGHDRRYAIDPTKIEKELQWKPKYHFETGIIKTIDWYLDNSEWLNN--- 86356998 ---KRIMVTGGTGFLGSFLCERLLREGNDVLCVDNFYTGSRDNVLHLLDDRHDITFYVEIDEIYNLACPASPVHYQH---------DPVQTVKTNVHGAINMLGLAKRTKAKIFQ-ASTSEVYGDPAVHPQPEESPIGPRACYDEGKRCAETLFFDYHRQYGVEIRVARIFNTYGPRMQTNDGRV--------VSNFIVQALRNEPITIFGDGRQT------RSFCYVDDLIDGFIRLMAAPA--GVTGPINLGNPGEFQVRELAEMVIEMTGSKSGIVFKALPIDDPTQRKPDISRATQQLGWQPKVNLREGLERTIAYF------------ 255036759 ---KRVLITGAAGFLGSHLCERFLKEGMYVIGMDNLITGDMRNIEHMPDPNFEFNHHDVTKYVHVPGELDYIMHFASPASPIDYLKIPIQTLKVGAMGTHNLLGLARAKK-SRFIIASTSEVYGDPLVHPQTEDYWIGPRGCYDEAKRYQEAITMAYHRYHGLETRIVRIFNTYGPRM-------RLNDGRVLPAFMGQALRGED-------ITVFGDGSQTRSFCYVDDLVEGIYRLLM----SDYSLPVNIGNPKEITIGQFAEEIIKLTGTDQKVVYKPLPQDDPKQRQPDISKAKEILGWEPKVSREEGLRITYDYFRSLPK------- 294505718 ----KAIVTGGAGFIGSHLVEELIIKGFEVHIIDSMISGKQENIHPLATLHVDICSKQA-RQIILQEKPNIVFHLAAQADVSTSINDPQYDADVNVKGTINILEACRDASVDKIIFASTSAVYGELQKDLITEMDPTAPISYYGLSKLTAESYIRLFSRLYGLSYTILRYGNVYGPRQTP----------KGEGGVVAVFLDRLDKGTMLNIH---GDGEQTRDFIYVKDIVQANIAAID----KGHQEIIHASTTQRTSVNRLLKELEKIHGSKINISHTEGRPGDIKHSCLDSKKAHKLLEWRSQVSIFNGLTETYTFLKNN--------- 262195081 -----VAVTGGAGFIGSHTVDRLLAAGCRVVVLDNLSTGKRENLAQHAGEETDIADFAPLAALTDEHGPVQIIHLAAQTSVVRSVEQPLHDIRINYAGTAQVLEYARHRGVAKVVLASSAAVYGDTEELPVRETLPTRPLSPYGANKLGSEQLLYYYSAVHGVGTTALRFFNVYGPRQD------PKSPYSGVISIFADRAMAGKPLTIFGD------GEQTRDFVYVGDVSRAVAQAC--LGDEGDRAIINIGTGSETTVNELARTIVSLCGPEVAISHSDARPGEIARSVAAVERMRDILGLRAETELAAGLRETLAW------------- 20092575 ----KLLVTGGCGFIGSNFIRYMLGKHYQIINLDKLTYAGNKNNPNYFFVKGDICDPIVVNEVMK--KVDHVVHFAAESHVDRSIEDGSVFVRTNVLGTNTLLQSALANNIKKFVHISTDEVYGSIKEGSFTETDKLNPSSPYSSSKAGSDLLAMSYYTTYGLPVCITRCTNNFGP----------YQYPEKLIPFFISRLAEGKKVPVYGTGL------NIRDWIYVEDHCSAVDFVLH---NGSSGEVYNIDGGNELTNLEITHRLLKMLGKDESIEYVEDRKGHDFRYSLDGSKLKK-MGWKPQYDFDTALEQTARWYVENRWWWE---- 194288727 -DRKRILVTGGAGFLGSHLCERLVRAGQDVLCVDNFYTGTKENIAHLLGRRHDVTFYVEVDEIYNLACPASPVHYQH---------DPVQTTKTSVNGAINMLGLAKRLRA-RILQASTSEVYGDPEHHPQQEGYWVGIRSCYDEGKRCAETLFMDYHRQHGLDVRIARIFNTYGPRM--------HPADGRVVSNFITQALTGQPLTVYGNGAQTRA------FCYVDDMVDALVRLMEA---PASGTPVNLGNPCETTMLEIAQAVLRATGSPSRIEMRPLPADDPHQRCPDITLARQLLGWEPTTALEQGLRRTVDYF------------ 135837199 ----TILITGGAGFIGSHLVRLFVNKNVKIVNLDKLTYGNLENLKDYFFEKGDIVDAAYIDELFQKYQFEGIIHLAAESHVDRSITNPLEFIQTNVIGTFNLLHSAKKLWADNFYHVSTDEVYGLGKEGLFTESTPYDPKSPYSSSKASSDHFVRAYGNTYGLPYIISNCSNNYGENQFP----------EKLIPLFINNIRNNKALPVYGDGMFT------RDWLYVVD----HAVAIDLLFHKGKGDTYNIGGFNEWTNIDLIKLLCQIMDKKSLISFVKDRPGHDKRYAIDASKINKELSWEPSLQFAEGLEKTVDWYLSNQDWLDS--- 294813086 ---------------------RLLAAGHRVTVLDNLSTGFREGVPEGEFIEGDIRDAA------KWLDPDAVLHFAAFSQVGESVAHPEKYWENNVGGTMALLSAMRSARVRTLVFSSTAATYGEPVRTPIAESDPTAPTNPYGASKLAVDHMIGGEAAAHGLAAVSLRYFNVAGAHRGQ--GERHDPETHLIPLLLDVALGRRESIAVYGDDYPTPDGTCVRDYIHVADLADAHLLALGA-ARPGEHLICNLGNGNGFSVREVVDTVRRVTGHPVPETAAPRRAGDPAVLVASSETAGERLGWSPARPLTGIVADAWEF------------- 227549715 ME--RVLVTGGAGFIGTNFVRLLRERGVHITVLDALTYAGNRANLDGKFVHGSVTDAQLVGGLVA--DSDTVVHFAAESHNDNSLADPSPFVHTNVVGTFTLLEAVRRFGV-RFHHISTDEVFGDGGDEKFTETTAYNPSSPYSATKAGSDHLVRAWVRSFGIKATISNCSNNYGP----------YQHIEKFIPRQITNILDGRPAKLYGSGA------QVRDWIHVDDHNDAVLAILER-GKIGETYNIGVG-GTHVTNKQVVEMICEIMG--GTYEHVADRPGHDQRYTMDATKLTRELGWQPHHELRTGLERTIAWYAEHENWWRD--- 168029557 -----VLVTGAAGFVGSHVSLALKKRGDGLVGIDNFNDYYEVSLKRARQIEGDINDAALLKHLFDRIQFTHVMHLAAQAGVRYAMQNPMSYIHSNIAGLVTLFEASKNANPQAVVWASSSSVYGLNSKVPFSEADRTDPASLYAATKKAGEELAHTYNHIYGLSVTGLRFFTVYGP--------WGRPDMAYFSFTRDILKG-----KVINIYKGPHDRDLARDFTFIDDIVKGCVASLDTSGRSTGSGTFNLGNTSPVTVPILVEYLERHLKVNAKKEFIKMRNGDVPFTHANVSLAQTQLGYKPTTNLDTGLKKFVNWYVKYY-------- 220923116 -RTKRILVTGGAGFLGSHLCEQLLGQGHEVLCVDNFFTGNVEHLLKNPSFELLRHDYVEVDEIYNLACPASPIHYQ---------FDPVQTTKTSVHGTINVLGLAKRVKAKVLQ-ASTSEVYGDPEMHPQAEEYWIGPRSCYDEGKRCAETLFFDYHRQHALQIKVARIFNTYGPRM--------HPDDGRVVSNFVVQALSNRDITVYGDGRQT------RSFCYVDDLVQGLIAMMETDSR--VTGPINLGNPGEFTIRELAELVVELTGSRSEIVYKPLPQDDPRQRKPDIDRATRILGWRPAIDLREGLVRTIEYFRA---------- 303325985 ----RWLVTGVAGFIGSNLLEHLLKMGQTVVGLDNFLTGYPEAWKRFTFIEGDIRDLDTCRKACEGAR--HVLHEAALGSVPRSIDDPLLSNSCNIDGFLNMLVAARDAKVESFVYAASSSTYGDSPELPKVEDKIGRPLSPYAVTKYVDELYADVFARCYGFTSIGLRYFNVFGQRQD------PYGAYAAVIPQWFASLIKGETVYINGD------GETSRDFCY-IDNVVQANLLASRARAEARDKIYNVAFGQRTTLNELFLLIREEVARHLPAVHRDFRAGDVRHSLADISRAEKLLGYEPRYDVREGLRLAGDWYAAN--------- 296114230 ----RILLTGGCGFIGSAVVRHVIRHTHEIINVDCMTYAASSEALEDRSERHDITDPAAMARLFAHYQPDAVMHLAAESHVDRSIDGPDTFVRTNVMGTSVLLDAARHYWQFRFHHISTDEVFGAAQDAPFTETTPYDPRSPYSASKAASDHMVRAWHHTYGLPTFVTNTTNNYG--------IWHFPEKLIPLMIINAVEGKPLPVYGAGQ--------NIRDWLFVEDHAEALVLAVEQGR---PGETYAIGARQERTNMEVVQALCAILDELHPIHHVQDRPGHDFRYAIDPSHAENMLGWRARHSFEQGLRKTIQWYLDNAAW------ 145594754 ----RILVTGGAGFVGSQYVRTLLDQGYEITVLDLLTYAGRRTNLRLTFVQGDI--GDRGLLLDLLPGHDAVVHFAAESHVDRSLEDASPFTTTNVLGTQTLLECCRRLGIGRIVQVSTDEVYGTIAEGSWSEEHPLLPNSPYAASKAAADLLARAYHRSYGLPVVVTRCTNNYGP----------YQHVEKVIPRFVTNLLTDQPVPLYGDGL------NVREWLHVADHCRGVQLALEKGR---EGEIYHFGGGVELTNRELTALLVELCGASWDVRLVTDRKGHDRRYCLDDGKARRELGYAPQVSFESGLAATVSWYQDNRAWWAER-- 88603383 --SKRYLVTGGAGFIGSHLSQALAARGDRVIILDSLDSGKSDLLEDDHVEFIEDTILNGSRLVSLCNGIDGIFHLAALVSVQRSIDDPRLNHRINIDGLFEVFEAARLARVPKIVLASSAALYGNDYLPPHKETFASVPLSPYAVGKCLSELYAAVYTDLYGVHSVCLRFFNVYGPKQD------PSSPYSGVISKFMDAISRDDGFTIFGD------GEQTRDFVYVLDVVQALILSMEKSV----SGVFNVGTGASVSINHLARTIMEVSGKKVGIRYLDARDGEVRHSCADISKISDGMGYKPGYSLIEGLSETYSWWIE---------- 211906522 --GMSVLVTGAAGFVGTHVSLALKKRGDGVVGLDNFNNYYDPSLKKARKVEGDLNDAKLLAKLFDVVAFTHVMHLAAQAGVRYAMENPNSYVHSNIAGLVTLLEICKSANPQAVVWASSSSVYGLNEKVPFSEADRTDPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGP----------WGRPDMAYFSFTRNILQGKPITIYR---GKNRVDLARDFTYIDDIVKGCLGSLDTSGKSTGSGIFNLGNTSPVKVPELVNILERHLKVKAKRNIVDMPNGDVPFTHANISLAQREFGYKPSTDLQTGLKKFVRWYLSYY-GYNNRK- 126465202 ----RILVTGGAGFIGSHLVDELLSRGYYVRVIDNLSSGSLRNIQHHMGEKGDLKNIDDINNSLK--DIDAVFHLAANPEVRLSTTSPEIHFRENIVATFNLLEAIRRNGVKVLVFASSSTVYGDPQIIPTPETHEIRPISVYGASKAACESLICSYAHLYGFKALSLRYANIVGPRLNHGVIYDFILKLKRNPEI----------------LEVLGDGTQKKSYLYVKDAVDATLYVFERINKTYD--VYNIGNEDWITVREIAEIVAEAMGVTPRIIYGRGWPGDVKYMLLSIDKLKK-LGWKPKYSSREAVKLTAN-------------- 156321311 ----KILVTGAAGFIGFHLSERLLAEGHTVVGIDNINDYYDVNLKYARLKELGINIYEKLFQLFTSEKFDVVCNLAAQAGVRYSIENPDVYIQSNIVGFLNILECCRHHEIKHLVYASSSSVYGANKKVPFSEEDVDNPVSLYAATKKSNELMAHTYSHLYKIPTTGLRFFTVYGP----------WGRPDMAPILFADAISNNLSIKVFNKGDM------ERDFTYIDDIVEGVKRVIEKPVERREYKIYNIGNNDSIKLLDFIREMETSIGKEAVKEMLPMQMGDVKRTWANVDGLINYYDYKPKVKVAEGVSRFVQWYIRY--------- 257064136 ---KTYLVTGGAGFIGSNFVLYMLKKDIRIVNVDKLTYAGNEDDPRYAFRQVDICDREAVKAVFDEFDVDYVVNFAAESHVDRSIANPQAFVETNVDGTVNMLQCAKEAWGVKYLQVSTDEVYGDRPDEFFVETRPLDPHSPYSASKASADFFVKAFGDTYKLPYNITRCSNNYGP---------YQFPEKLIPLMLNNCLQHRD-------LPVYGDGMQVRDWLYVED----HCKAIDMVINDGVGEVYNVGGHNERSNIFIVETIIDYVKGEQLIKHVTDRKGHDRRYGIDPEKIKRDLGWYPETKFEDGIKKTIAWYLDNQDWVAN--- 142204440 --GMRILVTGGAGFLGSHLADRLITEGHEVIAVDNFFTGSKDNIWHHNQRHFEIIRHDVTFPLY--LEVDAIYNLASPASPIHYQRDPVQTTKTSVLGAINMLGLAKRLGVKIFQ-ASTSEVYGDPEVHPQPESYWIGPRACYDEGKRAAETLFFDYHRQHGMPIRVARIFNTYGPRM--------APDDGRVVSNFVLQALRGEPLTIYGDG------TQTRSFCYVSDLVDGFVKLMDN--DKDLVGPVNLGNPGEFTMNELAEAVLEVTGSSSSIEYKPLPEDDPKQRRPDISLATQELGWEPTVQLREGLEHTVQYFR----------- 212224994 ----RLLVTGGMGFIGSNFIRYILEKDWEVINLDKLGYGSNEDNPRYTFVKGDIADFELVKELIK--KVDAVVNFAAESHVDRSISSPEHFLKSNVIGVYTILEAIRKENPERLVHVSTDEVYGDILEGSFTEKDALMPSSPYSATKAASDVLVLGWTRTYSLNASITRCTNNYGP--------YQFPEKLIPKTIIRASMGLKIPIYGTGQ--------NVRDWLYVEDHVRAIEAVLLKGEPR---EIYNISAGEEKTNLEVVKTILELMGRDESIEFVEDRPGHDLRYSLDSWKITRDLKWRSKHSFEEGIRKTVKWYLENEAW------ 143415253 -----ILITGGAGFIGSNLIHYWLAQGDIIVNFDALTYAGNESNPNYRFFQGDLRKQEQLDEVFKQFSIDSVIHLAAESHVDRSINDPSPFIDSNIKGTFQLLETARNHWQHRFLHVSTDEVYGTGKERLFTEQTPFAPNSPYSASKASSDHLVRAWHHTFGMDTLITHCSNNYGP--------YQFPEKLIPLMIRNSLLRKELP--------VYGDGLQVRDWLYVED----HCEALDVVFHRGQSESYNIGGLNEWENLSMVRHICQFMDCLNLIHHIQDRPGHDRRYAIDASKIQNQLGWKPRHQISEGLEKTMRWYLDHQEWVEQ--- 115523529 MSKPVAVVTGGAGFIGSHVVDVLIDLGFAVRVIDNLSGGHRSNLAHHQSVEADIRTLMLGHSAFDGAKF--VFHLAGIGDIVPSIEKPIDYMDVNVQGTVRVLECARAAGVAKLVYAASSSCYGLAA-TPTREDHPIEPMYPYALSKYQGEQAVLHWHKVYGLPVNSICIFNAYGPRVRT------TGVYGAVFGVFFRQKLAGKPYTVVGD------GTQARDFIYVRDVAEAFVAAAVTPIA---GERFNVGAGNPQSINRLVEIL------GGEVVYVPKRPGEPDVTHADIGKITTQLGWKPTVPFEQGVANMLRW------------- 149727266 -DRKRILITGGAGFVGSHLTDKLMMDGHEVTVVDNFFTGRKRNVHWIGHENFELINHDVVEPLY--IEVDQIYHLASPASPPNYMYNPIKTLKTNTIGTLNMLGLAKRVGA-RLLLASTSEVYGDPEVHPQSESYWVGPRACYDEGKRVAETMCYAYMKQEGVEVRVARIFNTFGPRM-------HMNDGRVVSNFILQAL-QGEPLTVYGSGSQTRAFQYVSDLV----------NGLVALMNSNVSSPVNLGNPEEHTILEFAQLIKTLVGSGSEIQFLSEAQDDPQKRKPDIKKAKLMLGWEPVVPLEEGLNKAIHYFRK---------- 239907496 ----RLLVTGGCGFIGSNFIRDMLTRGISIVNLDLLTYAGNRQSLRYHFVRGDIANSELALYLLEEHKIEAVVNFAAESHVDRSITDATPFVQTNVLGAQSLLDAARHYGVRRFVHVSTDEVYGTGPDGKFSEATPLAPNSPYSASKAGADMLMRAAYETFGMDVVVTRCSNNYGP--------YQFPEKLIPLMYSKAMADEALP--------VYGDGLNVRDWIYVIDHCRGVELALMKGR---PGEVYNFGGDAEKPNIEVVRTILAALGKPESIRYVTDRPGHDRRYAMDFTKAARELGFAPAWDFTRGIAETMAWYRANGDWLDS--- 82701405 ------LVTGVAGFIGSNLLEALLKHNQKVVGLDNFSTGYLRNLEQIRFIEGDICQLETCTNACQGVDF--VLHQAALGSVPRSIQDPIRTNEANISGFLNMLVASRDAQVRRFIYAASSSTYGDHPDLPKVEAVIGRPLSPYAVTKYVNELYAEVFARCYGLDSIGLRYFNVFGPRQDPNGAYAAVIPQWVSALIRNQTL------------YINGDGETSRDFCYIDNVVQANLLAALSDNTGAVNQIYNVAVNERTSLNQLYGMMRELLLEKHRPTYVDFRKGDVRHSQADITKATQLLGFEPSHRIGEGLRQAMGWYIAH--------- 307133571 ----RVLVTGGAGFIGSAYVRELLSGAYQVTVLDLLTYAGNLANLRFTFVHGDIGDRALLEKVVPGH--DAVVSFAAESHVDRSIAGAADFLRTNVLGVQRLFDACLEAGVGRVVHVSTDEVYGSIGSGSWDETAPLAPNSPYAASKASSDLLARSYHVTHGLPVVITRCGNNYGP--------YQHPEKAVPRFVTRLLTG--------GTVPLYGDGTNVRDWVHVTDHCQGIQVAAEH---GAAGEVYHVAGTRELTNIELTDRILAACGAGWRVEKVPDRPGHDRRYSLADAKLRT-LGYAPRISFEKGLAETVRWYAKN--------- 159903825 ------LVTGAAGFIGAALVKKLLKNGEKVIGIDDLNSYYDPGLKQARLDEIQLEDMDSLRELFLEKSPSVVVNLAAQAGVRYSIENPSAYLNSNLVGFFNILELCRHHSVENLIYASSSSVYGGNRNLPFVETQPVHPVSFYAATKKSNELMAHSYSHLYKIPATGLRFFTVYGP----------WGRPDMAPMIFAKAIFSGKPINIYNQGEMLRDFTYIDDCCYKPATPNSNFDSLNPDPSSASHRIFNIGNSEPIELLRFIELLEDSLGIRAIKNMLPMQLGDVVATAADTNLLEKWIDFRPRTSIEEGVKMFTKWYRDFYK------- 143901257 ----RLFVTGGAGFIGSNFVRMALREDAEITVFDALTYSNLEAVKDSPRYQGDIRNEDAVRSALPGH--DAIIHFAAESHVDRSVHNSRIFVETNVVGTQTLLDQALALGIPRFVHISTDEVYGSIDSGSWNENEPLLPNSPYSASKAASDLLVRSYFVTHGLNASITRCSNNYGPH--------HFPEKVI--PLFVTNLLDGHPVPLYGDGL------NVRDWLHVDDHCRGISLVLQKGQ---PGEIYNIGGGTELSNTELTDTLLAATGRKSFVTAVRDRKGHDRRYSVDISKISHDLGYQPQVGFTSGLADVVQWYRDNRQWWE---- 307710514 -EYKKIIVTGGAGFIGSNFVHYVYKNDVHVTVLDKLTYANIEEILGDRVELVDIADAELVDKL--AAQADAIVHYAAESHNDNSLNDPSPFIHTNFIGTYTLLEATRKYDI-RFHHVSTDEVYGDGPGEKFTAETKYNPSSPYSSTKAASDLIVKAWVRSFGVKATISNCSNNYGP----------YQHIEKFIPRQITNILSGIKPKLYGEG------KNVRDWIHTNDHSSGVWTILTKGQI---GETYLIGADGEKNNKEVLELILKEMGAADAYDHVTDRAGHDLRYAIDASKLRDELGWRPEFTFEAGLKETIKWYADNQEWWKAEKE 146321160 ---KNIIVTGGAGFIGSNFVHYVYNNDVHVTVLDKLTYANLEAILGDRVELVDIADAELVDKL--AAKADAIVHYAAESHNDNSLNDPSPFIHTNFIGTYTLLEAARKYDI-RFHHVSTDEVYGDGPGEKFTAETNYNPSSPYSSTKAASDLIVKAWVRSFGVKATISNCSNNYGP----------YQHIEKFIPRQITNILAGIKPKLYGEG------KNVRDWIHTNDHSTGVWAILTKGRM---GETYLIGADGEKNNKEVLELIEKMGQPKDAYDHVTDRAGHDLRYAIDASKLRDELGWTPQTDFSQGLEETIQWYTDNQDWWKAEKE 156744183 ----RVLITGGAGFLGSHLCDRFLAEGHTVVAMDNLITGNTDNIAHAGHPRFSFIKHDVTNYIFVEGPLDAILHFASPASPVDYLELPIQTLKVGALGTHKALGLAKDKKA-RFLLASTSEVYGDPQVHPQPETNPIGPRGVYDEAKRFAEAMTMAYHRYHGVETRIVRIFNTYGPRMRLRDGR--------VVPNFIQQALRGEPLTIYGD------GSQTRSFQYVDDLVEGVYRLLF----SNEVEPVNIGNPGEFTIKAFAELVNALTDNKAGVVYKDLRQDDPQVRQPDIAKARRILGWEPRVSLEEGLRRTIPWFRE---------- 296111856 -EYKNILVTGGAGFIGANFVRYIVEEHPDVTVLDKLTYAGNKENLAGLPEDGDIVDAPLVDQLVS--ETDAVIHYAAESHNDNSLKDPSPFVQTNIIGTYTLIEAARKYN-KRFHHVSTDEVYGDGAGEKFTSESQYRPSSPYSSTKAGSDLLVRAWVRSFGLQATISNTSNNYGP---------YQHIEKFIPRQVTNIIAGIKPKL-------YGAGKNVRDWIHTYDHATAVWAILTQGKIGETYLVGADGEKDNITVLRAI--LKDMGKAEDDFDFVKDRSGHDLRYAIDATKIREELGWTPKYDFETGLADTIKWYQDNQSWWQAEK- 117923476 ----TYLITGGCGFIGSHLADALLARGDGVRILDDLSTGKRENVQGTCEIIGDVADSQTVRQAMQGVDGC--FHLAAVASVARSNEDWVGTHRINQTGSVNVFDAARHAKDGQVVYASSAATYGACQTLPIHEDAPRNPLTAYGADKLGSELHAVVASGVHGVPTCGFRFFNVYGPRQD------PSSPYSGVISIFTNRMRVGQDVTIFGD------GGQTRDFVYVADVVAHLLAGMDR--ATGEAKVYNVCTGREITLLQLALMIRSLLDSKIAIHHGEPRAGDIRESLGDPRRATAELGVRAEITLEDGLKRLLD-------------- 298384678 LENKVVLVTGAAGFIGANLVKRLLNESVKVIGIDSITEYYDVRLKYERLQELSIAKKEIVESIFTNYHPQVVVNLAAQAGVRYSITNPDAYIESNLIGFYNILEACRHHSVEHLVYASSSSVYGSNKKVPYSTDDKDNPVSLYAATKKSNELMAHAYSKLYNISSTGLRFFTVYGP----------CGRPDMAYFSFANKLLKGETIQIFN------YGNCKRDFTYIDDVVEGMVRIIQHTPEKRNGEDYNIGNNSPENLFDFVTILQEEYNFNKHKELVAMQPGDVPVTFADTRPLEDDFGFKPGTSLQIGLRRFVEWYAKYYR------- 218442749 MQNKRILITGGAGLVGSHIADLLVKEGTEIIILDNFTRGRLENLAWAKEHEGDIRDRQLLVEVMNGV--DVVFHQAAI-RITQCAEEPRLAMEVLADGTFNVLEAAVKTGVTKVVAASSASIYGMAEDFPTTESHHYNNRTLYGAAKTFNEGLLRSFYEMYGLDYVALRYFNVYGPRMD------IYGVYTEVLIRWMERIASGQPPLIFGNGKQT------MDFVFIEDIARANILAAKANVTD---EVFNIASGVETSLNELAFCLARVMGSDLIPQYGAERVNPVQRRLADTSKAKDLLGFEATVSLEKGLERLVNWW--YEQKLTKE-- 187736213 --SKRILITGGAGFIGSHLSERLLREGHEVICMDNFFTGSKQNILH-------LTDYPGFEVIRHDVEVDQIYNLACPASPPHYQFDPIHTMKTSVLGALNMLGLAKRCKA-RILQASTSEVYGDPMVHPQPETYWVGVRSCYDEGKRCAETLFMDYRRMNGVDVRIIRIFNTYGPRMN--------PNDGRVVSNFIVQALKGEDITIYGTGKQT------RSFQYVDDLVEGMVRMMD---TEGFSGPVNLGNPEEFTMLELAEKVIEMTGSSSKTVFRPLPLDDPTQRKPDIRLAKEKLGWKPHITLEKGLEKTIAYFR----------- 138261974 ---KHILVTGGAGYIGSHACKALAKAGYTPVTYDNLIYGHEWAVQWGPLIIGDIADPDRLRHTIQQYNPEAVMHFAAYAYVGESVQDPAKYYINNVSGTLQLLDVMREQTIHHLIFSSSCATYGTPNQLPITEDHDQNPINPYGRSKWMIETVLADYAKTYGLRSISLRYFNAAGADPDGLIGEAHEPETHLIPLVLEAASGKRPYVTIYGDDHLWG-------------------------------------------------------------------------------------------------------------------- 167770813 ----KLLVTGGAGFIGSNFIFYMQKKDYNLLCVDKLTYAGNLATLAPVMERADIAGREAIYGIFEAERPDIVVNFAAESHVDRSIEDPAIFLKTNVMGTQVLLDACRKYGISRYHQVSTDEVYGDRPDLFFTEQTPLHTSSPYSASKAAADLLCNAYHRTYGLPITISRCSNNYGP----------YQFPEKLIPLMIANALANKPLPVYGQGL------NVRDWLYVEDHCVAIDRILERGQA---GQTYNIGGHNEMRNIDIVKLICRALGKPESITYVTDRKGHDMRYAIDPTFIHEQLGWLPATKFSDGIQRTIEWYLNNRSWWEE--- 85712154 ----KVLVTGTAGFIGHFTALRLIDMGHEVVGLDSINDYYDINLKYGRLREFDVAEIDYLKSLFESENFDAVCNLAAQAGVRHSLEKPQAYVESNIVGFVNILEACRDSGVKNLSYASSSSVYGLNESMPFTSDSVNHPVSLYAASKKSNELLAHTYAHLFGISCTGLRFFTVYGP----------WGRPDMAPYIFTKAAFNEETIKVFNHGKMKRDFTYIDDIVDGVVRVIENPCKADKSWDPAPYKIYNIGNNEPVELLDFINAVESAVGKKIRKEYTPMQPGDVVATYADVSDMITCLGYKPSTSVKDGMVKTVEWYRSFYN------- 159479892 --GKRYLVTGAAGFIGYHSAAKLRSRGDVVVGLDNFNDYYPVSLKRAPVVELDLNDQEGLGELFRLCSFTHVLHLAAQAGVRYAARNPFAYIQSNIAASVSLMETMRLQKPMPLVYASSSSVYGLSKRFPFTEDDRDRPASLYAATKRSLELLAHSYFNIYRMSVTGLRFFTVYGP----------WGRPDMSVMAFSRNIVDGKPIRVFQGPNGT---ELARDFTFVDDIVAGVCGALDTAAPSNDPHIYNLGNTQVHTVTEMVRTLEELLGIKAIIRYQPLGAGDVLRTNANITTAHNELGYTPQTNLRAGLQAFVEWYFQYY-------- 261855245 LESPRALVTGADGFIGSHLVEMLVSRGYTVRALAQYNSGWLEDVAYKDAIEVDIRDAHFCRHMMK--DVDVVFHLAALIAIPYSYIAPDSYVDTNVRGTLNVCQAALDAGVKRVIHTSTSEVYGTARYVPIDEKHPLQPQSPYSASKIGADAIAQSFQNSFSLPLTVARPFNTYGPRQS---------ARAVIPTIISQIASGK-------QRIELGDLSPTRDFNYVEDTCRGFIALAESDATVGEVVNI--GSNFEISIGDTLHLIRELMGSDIEVVHDDQRVSEVFRLWCDNSKIHSLTGFKPEVDIRQGLARTIDWFT-NPDNLRR--- 298676145 ----NILVTGGAGFIGSHIAEYFLSEGHNVRIVDNLSSGYQENIPEAEFVNEDI--YSVSPDVFEGV--DYVFHEAALVSVPVSCQQPEEAFRINTLGTMNVLQNSLDAGVEKVVLASSAAVYGNNPILPKMEDMLPEPASPYAISKMDCE-YLAGMFHDKGLRTTCLRYFNVYGPRQDPN-----SPYAAVIPIFMKRAKEGKD-------LVIYGDGTQTRDFVNIQDVVRANVAAMD----NGDGDVFNVATGTSVSVQEIAETIIEITGSSSDIVYEEEREGDIKDSVADVSKISGW--WESKVDLEEGLKNF---------------- 254172830 ----KLLVTGGMGFIGSNFIRYVLEKDWEVINLDKLGYGSNPANLKDIEEKGDISDFELMKELVK--QVDAVVNFAAESHVDRSISSPDHFLKSNVIGVYTILEAIRKESPERLVHISTDEVYGDILKGSFREEDALMPSSPYSATKAAADSFVLGWARTYKLNASITRCTNNYGP--------YQFPEKLIPKTIIRASMELKVPIYGTGQ--------NVRDWIYVEDHVRAVERVL---LEGEPREVYNVSAGEEKTNLEVVKTILKLLGKDESIEFVEDRPGHDLRYSLDSWKITRDLKWRPRYSFEEGIKLTVEWYLKNEDW------ 118372990 -QTETILLTGGLGFIGSHTCVELYKYHYKIAILDNLSNPEVDEKEFFSFHNIDVLDLDALNKMFQSFADNFIIHFAGKKAVGESVKNPILYFENNVCGTLNLMKMVEKFQIKNFIFSSTATVYGETDN--CDEDNLLNPLQSYAQTKTCCEFLMKAMCAAHPVRMVCLRYFNPAGAHSSGLIGDSPVYPNNLFPFLEQVVIGKREKLYIFGNDYNTYDGTGVRDFIHVVDLACAHISAIDYLSKLNDTEAINIGTGSGISVLDTVTTYSKVIGRQIPYEFTKRRDGDVGQLVAKAEKASKILNWKAVKTLEDICRDSYNFIQKNPNGI----- 281356169 ----RVLITGGAGFIGSHIAEYFQGK-AEVRILDSLRSGFKKNLLDVEFIEGDIRDRITVAK--AMEDVDYVFHLAAMISVPESMTKIIECIDINNTGMLIVLEEAAKAGVKKLCFSTSAAIYGDNPVVPKVETMFPEPKSPYAITKLDGEYYCKMFNDTGKLKTACLRYFNVFGPRQD------PKSAYAAAVPIFTAKAVANEPLTIFGD------GEQTRDFIYVKDIVAANVFMATHDF----SGVYNVAYGGKITINDLAKEIIRLTGSKSEIQYLPERIGDVKHSMAAVDKLKA-TGFKPSCSFAEGMAATVAYFQ----------- 154174154 ----NILVTGGLGFIGSNFIPYFINKDYNIINLDKITYGNISDLSRYKLIEGDICDRLLLESIFDKYSIKEVIHFAAETHVDNSINKPGIFIETNVLGTFNVLDVAKSFWMCKFYHISTDEVYGTGDSGYFTEKSNYAPNSPYSASKASSDMIVRSYNRTYGMNTLITNCSNNYGPN----------QHIEKFIPTIIKNAIKNKPIPIYGDGKNIRDWLYVLDHCKAIDAVFHNSLPGEKYNIGGKCERTNLDIANKICILDKKIKPKKISSYKQLIVFIKDRAGHDRRYAVDTSKIETALGWTPEENFDSGIDKTVEWYLR---------- 224438232 ------------------------------------------------------------------------MHFAAFAYVGESVKDPSKYYYNNVANSLNLLESMRKANVKNIIFSSTCATYGHPLHLPITESHPQNPINPYGYSKLVVENMLKDFSHAYGLEYVILRYFNAAGASMLFNIGESHSPETHLIPLLLQTALGQRETLSIYGDDYPTKDGSCIRDYIHIDDLANAHILALKYLLNGGESEAFNLGNGSCFSIFELLECASRLCGKQIPYTIESRREGDPAVLIGDSSKAKQILGWKAHFDIETILSSALAWHS-NPR------- 307818163 ----KVLITGVAGFIGSSIARALLAEGAEVRGLDNLSTGKLENIEGIDFRHGDVQDAVAVADACKGV--DYVFHEAAIPSVPVSVADPVGTNGPNLIGTLQVLEAARQAGVKRVVYAASSAAYGDSPELPKREDMAPAPLSAYAVQKLTGEYYLTSYAKMYGLETVSLRYFNIFGPRQD------PTSQYSGVLARFISQMLAGTKPTIFGD------GSTSRDFTY-IDNVVSANLLAAKSGSHVAGKVFNVATGTSVTLLEAYEEVRRITGYAGDLDFKPERVGDIKHSVADISLARKELGYGVVADFAAGLEETIAWYR----------- 154247496 ----RYLITGTAGFIGFHLAKRLLDEGHFVVGYDGMTKYYDVALKEKRHVIAALEDKDALEKAAELAEPDVIVHLAAQAGVRYSLENPRSYIDSNLVGSFNVIDLASRFKPKHLLLASTSSVYGSNEKVPFAESDKDEPMTIYAATKKSMEVMAHSYSHLHKIPTTAFRFFTVYGP----------WGRPDMALFKFVDAIRNDRPIEIYGEGKMSRDFTYIDDLIEPPDEANRVTAEIDTLSHHAPFRIVNIGGGQPVALMRFVETIEESMGKPAVRKMLPMQQGDVPRTFAAPDLLVALTGFKPQIEIEVGVRRFAEWYQEHY-------- 190895176 ----RFLVTGTGGFIGFHLARRLLEEGHSVTGFDGMTRYYDVSLKERRHAILSLENRERLTEAFNIAQPDVVVHLAAQAGVRYSLENPDAYIGSNLVGSWNVLDLCRQFRPNHLLLASTSSIYGANSKIPFEESDKDEPLTLYAASKKSMEVMAHSQSHLHKIPTTAFRFFTVYGP----------WGRPDMALFKFVSAILNGKPIDVYGHGQMSRDFTYIDDLVEAIVRLIPVIPQIDNVSMQAPFRIVNIGGGQPVGLETFIETVEQALGQKALRNMLPMQQGDVPRTFAAPELLRALTGYTPQTPVAEGVRRFVEWYRQ---------- 297848304 -----VLVTGAAGFVGTHVSAALKRRGDGVLGLDNFNDYYDTSLKRSRQVEGDINDLSLLKKLFEVVPFTHVMHLAAQAGVRYAMENPSSYVHSNIAGFVNLLEVCKSANPQAIVWASSSSVYGLNTKVPFSEKDRTDPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGP--------WGRPDMAYFFFTRDILKG-----KAISIFQGANHGTVARDFTYIDDIVKGCLGALDTAEKSTGSGVFNLGNTSPVPVTELVSILERLLKVKAKRNMMKPRNGDVAFTHANISWAEREFGYKPSTDLQTGLKKFVRWYLGYYKQQAGKK- 297853126 -KPKNILITGAAGFIASHVANRLIRSDYKIVVLDKLDYCSDLKNLDPKFVKGDIASDDLVNYLLITENIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTQIRRFIHVSTDEVYGETDEDAAHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPN--------QFPEKMIPKFILLAMSGKPLPIHGDG--------SNVRSYLYCEDVAEAFEVVLHKGEI---GHVYNIGTKRERRVIDVARDICKLFGKDPEIQFVENRPFNDQRYFLDDQKLKK-LGWQERTTWEDGLKKTMDWYTQNPEWWGD--- 143131074 ----NIIVTGAAGFIGMHVSKKLLDDGHNVTGIDNLNTYYDQTLKQNRFYKQDIANKNTIMEIFLEANAECVINLAAQAGVRYSLIDPDTYGSSNLVGFLNILEACRNYKISHLIYASSSSIYGSNEEKPFKETHNTHPISLYGATKKANEIMAHSYSHLFKIPTTGLRFFTVYGP----------WGRPDMALFKFTKAILENKPVDIYNHGNMVRDFTYIDDVVHATTSTNYNTQKPDASTSNSPWRIFNIGNGKPTHLMDYISAIEKALGKEAKKNFLPMQKGEVLETFSDTQSLENWTGLKPGTSVMQGVENFVEWYLSYYK------- 140398946 ----RILVVGGAGFIGSHMMKTLVKAGHDPVAFDNLSTGHADAVIYGELVEGDLAKIDHIKDVLVNGHFDGVMHFASNIEVGESVANARKYYTNNVMNTLNLIHAMMDEGLNNLIFSSTAAVYGTPEHTPIDEEHPCRPINPYGKTKHIIESVLADYRDAHGFASTALRYFNAAGADPDGELGERHEPESHLIPLVIQAALGQRPDIKIYGTDYDTRDGTCIRDYIHVTDLCDAHLLAMD--------------------------------------------------------------------------------------------- 119509713 ---KTI-VTGAAGFIGSHLVEALLQQGKEVIGIDQFNDYYDPILKHAPNFTLIAGDIQFLDWQTLLQDVEVVYHQAAQAGVRASWGEFRNYTERNISATQILLEAAKDQDLKRLVFASTSSVYGDAETLPTHEKIPPQPVSPYGITKLAAERLCRLYHKNFGVPMVALRYFTVYGPR--------QRPDMAFHKFFKAILQDEAIPIYGDGQ--------QTRDFTFVSDVIAANL--AAATVPQAVGEIFNIGGGSRVVLAEVLETMAEIVGKPIQKNYIEKAMGDARHTAADVSKARQILGYQPQVSLREGLTQEWQW------------- 302669885 LSGKRVIVTGAAGFIGSNLCMELIKRDIQILGIDNVNDYYDVGIKKDRFIKGNIADRAVIDKAFDEFKPEVVVNLAAQAGVRYSIENPDAYIEANLIGFYNILEACRHSYVEHLVYASSSSVYGSNKKIPYSTDDKDNPVSLYAATKKSNELLAHAYTKLYGIPSTGLRFFTVYGP----------AGRPDMAYFGFTNKLVKGETIKIFN------FGNCKRDFTYVDDIVEGVINVMEKAPDENENGVYNIGNNDPVNLLDFVDILQQEYDFEAHKELVPMQPGDVEVTYADVTPLQQDFGFKPNTPLREGLRKFAKWYKEYY-------- 51892508 -QSKKVLVTGGTGFIGSHVVQALLAEGARVRVLAHYNGGGHLGNLLAPQERGDLRDPDSVRRAVQGM--ERVLHLGALISIPYSYLDPRSYVDVNVTGTLNVLLACRDLGVERLVHTSTSEVYGTPDTVPIAETHPLRGQSPYAASKIGADKLAESFHRSYGLPVVTLRPFNAYGPRQSTRALIPTI-----------------LTQALWAPEIRLGSLWPRRDYTYVTDTA---QAFLRAGSAPGVEGMVNAGYGADVSVQELVEMALRLTGRRVPVVEAAERASEVARLLCDRTLAERRLGWRPQVTLEEGLRRTLAF------------- 293553223 ---KNIIVTGGAGFIGSNFVHYVVNNHVHVTVLDKLTYGNKENLAGLPSDRVDVADAELVDRLVKEA--DAVVHYAAESHNDNSLKDPFPFVQTNIIGTYTLLEACRKYNV-RYHHVSTDEVYGDGEGEKFTAETPYNPSSPYSSTKAGSDLLVKAWVRSFGLRATISNCSNNYGP----------YQHIEKFIPRQVTNILSGIRPKLYGEG------KNVRDWIHTNDHSSAVWLILTKGRIGETYLIGADGEEDNKTVMELI--LEMMGQPKDAYDHVNDRAGHDLRYAIDSTKLREELGWKPEFTFRDGLADTIKWYEEHEDWWKKEKE 282901458 ----RILVTGGAGFIGSHLIDRLMSNNHEVICLDNFYTGSKQNLLWLNNPRFEIIRHDITEPI--RLEVDQVYHLACPASPVHYQYNPIKTVKTNVMGTLNMLGLAKRVKA-RFLLASTSEVYGDPEIHPQTEDNPIGIRSCYDEGKRVAETLTFDYHRENKVDVRVARIFNTYGPRM--------LENDGRVVSNFVVQALRGNPLTVYGEGQQT------RSFCYVSDLVE----GLIKLMNGDYTGPVNLGNPEEYTILELAQTIQNMINPEVQIKFTPLPADDPRRRRPDITKAKTWLNWEPKISLQTGLKLTVE-------------- 309388545 MKH---LITGAAGFIGSNLAKELLNAGEEVIGIDCFTDYYSRDLKERNIKNIDNPNFTFLEKDLLQIDIDYIYHQAAQAGVRSSWEDFEIYNQNNILLTQKLLEAAREEQLKKFVYASSSSVYGDTDQLPMQEKNRLQPVSPYGVSKLAGENLAYLYYKNFKVPTVSLRYFTVYG----------QGQRPDMAFHIFIKAFLTGKEINIFGDG------KQSRNFTYVGDIARANI--LAAQKAPAGEIINIGGSGKGIVLNDTLDLIKELTNCNTKINYTSKVKGDVKHTSADTSKAKKLLGYQPQVSFKEGLKREVEW------------- 78355080 -SDMHILVTGAAGFIGFHLSRRFLAEGHTVVGLDCLNDYYDVQLKKDRLALLDLADDAAMDALFAREQFTHVVNLAAQAGVRYSIENPRSYIQSNLVGFGNIIEGCRHNGVKHLVYASSSSVYGLNTNMPFSHDNVDHPISLYAASKKANELMAHTYSHLYRLPTTGLRFFTVYGP----------WGRPDMALFLFTKAILEGKPINVFNEGHMRRDFTYIDDIIEGVVRVQWDGTAPDPSSSPAPYRIYNIGNNNTVELGEFIATLEECLGKKAVKNMMPMQPGDVEATYANVDDLIADTGFKPGTPLKEGIANFVSWYREYYK------- 7329195 ----NLLVTGAAGFIGSRYVHHLLEAGGEPTVLDKLTYGNVPDDPAVTFVRGDIADAPLVDSLMAEA--DQVVHFAAESHVDRSITSPGTFVRTNVLGTQMLLDACLRHGVGPFVHVSTDEVYGSIEHGSWPEHQPLCPNSPYSASKASSDLLALSYHRTHGLDVRVTRCSNNYGPH--------QFPEKLVPLFVTNLLDGLRVPL--------YGDGLNVREWLHVDD----HCLGVDLVRTQGPGEVYHIGGGTELTNRDLTGLLLDAFGVGWDVVDVADRKGHDRRYALDCAKAADELGYRPRRDFAEGIARTIDWYRDNRAWWE---- 298481048 ---KNIVITGGAGFIGSHVVRLFVNKEYKIINLDTLTYAGNEDRPNYKFVKMDICDFDAFYKLMQDEQVDSIIHLAAESHVDRSIKDPFTFAKTNVMGTLSLLQAVKLYWGKRFYHISTDEVYGAYGDDFFYETTRYNPHSPYSASKASSDHFVRAFHDTYGIPTIVTNCSNNYGP----------YQFPEKLIPLFINNIRYRKPLPVYGNGENVRDWLFVEDHAHAIDLI-FHKGTIAETYNIGGFNEWKNIDIIKVIINTVDRLLGRVEGEDMDITYVTDRLGHDARYAIDSTKLQKELGWEPSLQFEEGIEKTVRWYLDNQEWLDN--- 84684380 -SRKRILVTGGAGFIGSHLIDRLLDQGHEVICLDNLFTGNIDHLHGNPRFEFMRHDYVEVDEIYNLACPASPVHYQH---------DPVQTTKTSVHGAINMLGLAKRLRCKIFQ-ASTSEVYGDPSVHPQPESYWIGTRSCYDEGKRCAETLFFDYHRQHGLEIKVARIFNTYGPRM-------HHADGRVVSNFIVQALSGRD-------ITIYGDGSQTRSFCYVDDLVEGFLRLM--ATDEDVTGPVNLGNPREFTIAELAEQVVAMTGSGSKIVYEPLPQDDPKQRRPDIGLAKSTLGWEPSVQLEDGLVRTVDYF------------ 171463073 -----ILVTGGAGFIGGNFVLDWLSAAEGIINLDKLTYAGNKNDPRHIFVHGDIGDKELVAKLLKEHKPRAIVNFAAESHVDRSIHGPADFVETNIVGTFNLLECAREHWNFRFHHVSTDEVYGSLTDPAFTETNPYEPNSPYSASKAASDHLVRAWFHTYGFPVVTTNCSNNYGP--------YHFPEKLIPLVILNALNSKPLP--------IYGDGRQIRDWLYVGDHCSAIREVL---AKGKLGETYNIGGWNEKANIDVVKTICSILDYVEQITYVKDRPGHDRRYAIDASMVERELGWRPAETFDTGIRKTVLWYLDNPVWIE---- 194364288 -DQKRVLVTGGAGFLGSHLCDRLIAAGHDVLCVDNFYTGNVDGLLGHPRFELMRHDYVEVDRIFNLACPASPIHYQ---------QDPVQTTKTSVHGAINMLGLAKRLRA-RILQASTSEVYGDPEIHPQVEGYWIGIRSCYDEGKRCAETLFFDYWRQHQLEIKVMRIFNTYGPRM--------HPNDGRVVSNFIVQALKGDPITIYGDG------SQTRSFCYVDDLIEGMLRLMDSPADL--TGPINIGNPAEYTMLELAETVLRLVGGSSKIEYRPLPSDDPRQRQPDISLARADLGWEPRVGLEDGLKETIAYFR----------- 227824672 ----KIIVTGGAGFIGSNFIFHMLKSHDYIICLDKLTYAGNLSTLHFRFVRADICDRKAVDALFEEEHPNMVVNFAAESHVDRSIENPQLFLETNIIGTSVLMDACRKYGIQRYHQVSTDEVYGDRPDLFFTEATPIHTSSPYSSSKASADLLVLAYYRTYGLPVTISRCSNNYGP--------YHFPEKLIPLMIINALHDKPLP--------VYGDGQNVRDWLYVEDHCRAIDLILQKGR---VGEVYNVGGHNEMKNIDIVKLICKALGKPESIHFVKDRKGHDRRYAIDPAKIHRELGWLPETKFADGIQKTIQWYLDNEEW------ 219683073 ---KNIIVTGGCGFIGSNFVHYVYNNHPDVTVLDALTYGNLENIKRVEFVHGNICDAQLLDELVPGH--DAIVHYAAESHNDNSIANPEPFVKTNVEGTFRLLEAARKYDV-RFHHISTDEVYGDDDPAKFTEETPYHPSSPYSSTKASSDMLVRAWHRTYGLRTTISNCSNNYGP----------YQHVEKFIPRQITNIIEGIRPKLYGDGL------NVRDWIHTEDHSSAVWTILTTGRLGETYLIGANGERNNLTVLHDI--LRVMGQPEDAFDWVKDRPGHDRRYAIDSTKLQTELGWRPTTDFESGLRQTVQWYIDNPQWWE---- 302875238 ---KKVLVTGADGFIGSHLTELLLEEGYDVRAFGWLDTLPKDKLNSIDVFTGDIRDPNGVRK--AMEGIDEVFHLAALIAIPFSYHSPDSYVDTNIKGTLNVLQAARDLNTERVLVTSTSEVYGTAQYVPIDEKHPFQGQSPYSATKIGADRIAESFYRSFNMPITIVRPFNTYGPRQS---------ARAVIPTIITQLLAGKEEIRL-------GSLTPTRDFNYVKDTARGFIEIAKSEKAIGEEINI--ATENEISIGELASELIRQINPKAKIICDDDRISEVERLLGSNKKIKSLTNWEPKYSFEEGIAETINWLKNNLDKYK---- 114563925 ----TILITGGCGFIGSALIRFILGTNHSVINIDKLTYAANPQSLRYHFIEGDICNGTLLTEVFNRFQPDAVMHLAAETHVDRSISGPAEFIQTNIVGTYQLLEASRQFYNFRFHHISTDEVFGDPDTGYFNEQSAYSPSSPYSASKASSDHLVKAWHRTYGLPTLISYCSNNYGP--------YQHPEKLIPLTITRALAGKIIPIYGNGQ--------QVRDWLYVDDHVEALITILTL---GNVGETYTVGGNNEQTNIQVVASICQQLGFSSLIQHITDRPGHDRRYAIDASKLTTTLHWTPKHNFSDGLRQTVQWYLAHYQ------- 309802209 ---RNIIVTGGCGFIGSNFVHYVVNNDVHVTVLDKLTYGNPENIAGLPEDRVDICDADLLDRIVPGH--DAIVHYAAESHNDNSIADPEPFLHTNVEGTFRLLEAVRKYGI-RYHHVSTDEVYGDDDPAKFTESTPYHPSSPYSSTKAASDLLVRAWTRTYGLRTTISNCSNNYGP---------YQHVEKFIPRQITNILEGIRPKL-------YGKGENVRDWIHTEDHSSGVWTILTKGRVGETYLIGANGEKNNITVLRMI--LKMMGQSEDAFDWVKDRPGHDRRYAIDSTKLQTELGWTPTTDFESGLSQTIDWYTENRQWWEK--- 229825469 MQRKKVIVTGGAGFIGTNFIYYVLKKHNEYICLDKLTYANLEEALKNPFIKGDIADREFVYKLFEEEKPEQVVNFAAESHVDRSVLFPDEFLRTNITGVGVLLDACRKYGIERFHQVSTDEVYGDREDLFFTETTPLNPSSPYSSSKASADMIALAYHRTYGLPVTISRCSNNYGA--------YQFPEKLIPLMIYNAEHDKELP--------VYGEGINVRDWLYVEDHCIAIDLILEK---GKFGEVYNVGGHNEKKNIDVVKTILAATNKPESIKYVTDRPGHDLRYAIDPKKIGEELGWKPLTPFEKGIEYTIKWYLDNSKWMEN--- 144191412 ---KRILVTGGAGFLGSHLCERLVDAGHDVICVDNFFTSQKTNVAHLGCGNFELLRHDIIHPI--WLEVDEIYNLACPAAPGHYQFNPIKTMKTSVMGAINVLGMAKRCRAKVLQ-ASTSEVYGDPEVHPQPESNPIGPRACYDEGKRAAETLFMDYHRHNGVNVRIVRIFNTYGPRM--------HPFDGRVVSNFIRQAMAGEPITIFGD------GSQTRSFCYRDDLVEGIIRMMDGPDDFPGPVNI--GNPGEFTIRQLAEMTLELTGSQSPLIEKPLPVDDPERRRPDITLAKERLGWEPTVPLREGLTKTIDWFS----------- 84498710 ----RILVTGGAGFIGSNFVHLTLATGCEVTVLDALTYAGHRASLDGRLVEGDITDLTLVDRLVSEH--DLVVHFAAESHNDNSLADPWPFVHTNVIGTFTLVEAVRRHAV-HYHHVSTDEVYGDDDPGRFTEATAYNPSSPYSATKGASDLLVRAWVRSFGLQATISNCSNNYGPRQ---------HVEKFIPRQITNILDGVRP-KLYGDGL------NVRDWIHVDDHNRAVWAIIDRGQI---GETYLIGADGEVDNGTVVRDILGLMGEADAFDHVTDRPGHDRRYAIDSSRLRTELGWTPEYSFREGLAATIDWYRDNEEWWRPMKD 261406329 ----KMVVTGGAGFIGSHLVNGLVNQGYEVHVIDNLTTGEPGRLHSEAILHVADVNSQQTTAYISVLKPDVVFHLAAQADVQRSIQEPRLDADANVMGTLNILDACRKAGVRKIVFASTAGVYGDLERSQLTEDDPVNPVSFYALSKVAGEQYIRLYHRFFGLQYTILRYGNVYGPGQTA-------------KGEGGVVAVFGERLYQGAPLPIYGDGLQTRDFIYVKDVVDANLASILH----GDQSVLHVSTGTDHSVNTIVDLISRLHPDRIDVDYLPAKIGDIRHSCLNNERTRERLRWSPLFSLEEGMEETYRW------------- 271969517 ----RILVTGGAGFIGSNLVDRLLADGHEVMAVDDLSSGDRGNLVAARLHQMDVRDPA-LIGLVAEWKPEVVCHLAAQISVRKSVADPVHDARLNVEGTASVLTAAHHGGTRKVVFASSVAVYGMPAVIPVPGDAAVDPRSPYAASKLSAETYLAAFKALHGIDYTTLVLANVYGPRQSPDGEA-------GVVAIFTDALINGTPTVLYGDGTQTRDYVF---------VDDVVDGFARACGSAGNGRRFNLGTGVETTDRALHTLVAEAVGAADGPGRAEARPGDLPAMAVDPAPAIEGLGWRPQTDLATGLKATVDW------------- 242094990 -----VLVTGAAGFVGTHCSLALRKRGDGVVGIDNFNNYYDPSLKKARRVEGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPASYVHSNIAGLVSLLEACKDADPQAVVWASSSSVYGLNDRVPFSEAHRTDPASLYAATKKAGEEITHTYNHIYGLSVTGLRFFTVYGP----------WGRPDMAYFSFTRNILQGKPITVYRGRDHVALA---RDFTYIDDIVRGCLASLDKKRGPAQYRIFNLGNTSPVTVPTLVAILERYLRVKAKKNVVEMPNGDVPYTHANISLAREELGYKPTTSLEMGLKKFVRWYLSYY-------- 23016820 ---KRVLVTGGAGFLGSHLCERLLAENCDVLCVDNFFTGTKEHLIGNPYFELIRHDYVEVDEIFNLACPASPIHYQ---------RDPVQTTKTSVHGAINMLGLAKRVGAKIFQ-ASTSEVYGDPEVHPQPEDNTIGPRACYDEGKRCAETLFFDYWRQHALRIKVARIFNTYGPRM--------HPNDGRVVSNFIVQALEGRDITIYGDGSQTRSFCFCSDLIEGFIRLMN--------SGDDVTGPINLGNPGEFTMLELAETVLRLTGSKSKLVFMPLPADDPKQRQPNITLAKQVLGWQPTIPLEEGLARTIAYFRE---------- 229095803 ----NILVTGGAGFIGSNFVHYMLQETYKIINFDALTYSNVKSLQDHPFVKGEIQNGELLEHVIKERDVQVVVNFAAESHVDRSIEDPIPFYDTNVIGTVTLLELVKKYPHIKLVQVSTDEVYGLGKTGKFTEETPLAPNSPYSSSKASADMIALSYYKTYKLPVIVTRCSNNYGP--------YQYPEKLIPLMVTNALEGKNLPL--------YGDGLNVRDWLHVKDHCSAIDIVLHKGRL---GEVYNIGGNNEKTNVDVVEQIITLLGKEKDIEFVTDRLGHDRRYAIDAHKMKNEFDWEPQYTFEQGLKETVEWYENHIEWWK---- 120434517 ----KILVTGAAGFIGFHLCEKLVKENHEVIGLDNINDYYSQDLKLARFFRMNLEDRDSLPELFKKENFDIVCNLAAQAGVRYSLENPEAYIDSNLVGFANIIECCRNHHIKHLIYASSSSVYGQNKKIPFSTDDRDEPISLYAATKRSNEIMAYTYSHLYKLPTTGLRFFTVYGP----------WGRPDMAMFLFADAITNGKVLKVFNEG------NLERDFTFVDDIVNGVMAVVNRVPSEKDSKYNLFNIGNPINLLNFIKEIEDQLGLSAEKQLMPMQPGDVDKTWADSKPLMENFDYQPSIEIKEGVQKYLQWFKMYYNS------ 148553767 -----ILITGAAGFIGMHCSLRLLARGDSVVGIDNLNDYYPVQLKRDRVARVDFADHAALEAALAGLEIDRIIHLGAQAGVRYSIENPRAYAQSNLVGHLNILELARERRAGHLVYASSSSVYGGNETLPFVEDRVDHPLSLYAATKKADELMSETYAHLYRLPQTGLRFFTVYGP----------WGRPDMALWLFTDAILRGRPIQVFNGGEMRRDFTYIDDIVTGVVAALDHPPADDGQAKAGGSVVYNIGNHRSEELTRLIDLIEAACGRPAIREMKPMQPGDVRETFADIGAIERDLGFRPTTDISDGVPRFVDWFRDY--------- 305665784 --GKTVLITGGAGFIGSNFIKYFLAKNTKVVNLDKLTYGNLENLDRYSFVEGDICDYHLVKTIFKEKSIKGLIHFAAESHVDNSIKDPNSFIKTNIEGTSVLLEVAKEFWNSRFHHISTDEVYGLGAEGVFTEESKYAPNSPYSASKAASDFLVRSYNHTYGLNIVTTNCSNNYGPN----------QHDEKLIPTVIRKALNDEPIPIYGDG------KNVRDWLYVID----HCEALNTVFNKGRGETYVIGGNCEYNNLYIAIKICDILDYQDQITFVENRLGHDYRYAIDFSKISEELNWEPKENFESGIRKTIKWYQKY--------- 33862388 ----RVLITGGAGFIGGAVVRRLLESQANVFNLDKLGYASDRQNDRYKHLHVDLADSAATSAAVVTADPDLVMHLAAESHVDRSIDGPKAFIESNVNGTFNLLQAVLSHWHFRFHHISTDEVFGLGPIGRFSEITPYDPRSPYSASKAASDHLVSAWHHTYGLPVVLTNCSNNYGP--------WQFPEKLIPIAILKAVAGEPIPL--------YGDGTNIRDWLYVEDHVEALLLAATR-GGLGESYCVDHGSPSERTNRDVLETICNLMDSLRPITRVSDRPGHDRRYAIDAKKITNDLGWKPRHSFEEGLEATVAWYLDNLDW------ 21323099 ------LVTGGAGFIGANFVRQTVEQHPEITVLDKLTYGNADNLKGLPDIEGDICDAELVDSLVKDHDIT--VHFAAESHNDNSLNDPSPFVHTNLIGTFVLLEAVRKHN-KRFHHISTDEVFGDDDPNRFTETTAYKPSSPYSATKAGSDHLVHAWIRSFGIQATMSNCSNNYGP----------YQHIEKFIPRQITNILAGLTPKLYGTG------EQVRDWIHVDDHNDAVHLILSK--GKIGETYIIGADNDHVNNKQVIELICELMGLKNAYEHVADRPGHDMRYAMDSTKLRTELGWAPKYTMRKGLEQTIDWYRENEAW------ 186685240 ----RILVTGGAGFIGSHLIDRLMTEGHELICLDNFYTGHKRNILKWLGHRHDITEPIRLE-------VDQIYHLACPASPVHYQYNPVKTVKTNVMGTLNMLGLAKRVKA-RFFLASTSEVYGDPEVHPQTEENPIGIRSCYDEGKRIAETLAFDYYRQNKVDIRVVRIFNTYGPRM--------LENDGRVVSNFIVQALRGNPLTVYGD------GSQTRSFCYVSDLVEGFIRLM----NGDYVGPVNLGNPGEYTILQLAQAVQNMIDPDAQIKFEPLPSDDPRRRQPDITKAKTLLNWEPTIPLQEGLKLTIEDFRDRIQG------ 297526531 MSS-RILVTGGAGFIGSHLVDELLRRGYYVRVLDNLSSGSLKNIQHHIGEKFDFLDLKNMDIINNSLDIDTVFHLAANPEVRLSTTDPEIHFRENIVATFNLLEAIRRSGVEVLVFASSSTVYGDPQIIPTPETHEIRPISVYGASKAACESLICSYAHLYGFKALSLRYANIVGPRLNHGVIYDFILKLKKNPEI----------------LEVLGDGTQKKSYLYVKDAVDATLHVYDRISKTYD--VYNIGNEDWITVREIAEIVAEAMGVSPRIIYGRGWPGDVKYMLLSIDKLKK-LGWKPKYSSREAVKLTAE-------------- 307819758 MHWKTVLVTGGAGFLGSHLCDALLQRGSRVIAVDNLSTGRLKNIAHFSFEEHDICEP------FDYGAVDFVFNMASPASPPGYMKLGVETLRVGSVGTEQTLQIARRYQAGYL-HASTSECYGDPKEHPQTEEYWIGPRSVYDEAKRFSEAMVMAYHRYYKVNTHLVRIFNTYGPRLD--------PKDGRVISNLIMQALAGEDLTIYGD------GSQTRSFCYVSDLIAGILALAETS----EATPVNIGNPDEFTILSAAEIVKELTGSKSKIGFAPLPEDDPKQRQPDISKAGRLFGWKPKVALREGLGLTIPYFQQ---------- 310829412 ---KTVLVTGGAGFIGSNFVKYMLDKDYKIVNLDLLTYAGNEDNPNYEFVKGDIADRSFIGDLFQKHHFDLVVNFAAESHVDRSIENPEIFVQTNVMGTQVLLDAAKNLWVKYLQVSTDEVYGALGKTGMFTETTPIQPNSPYSSSKAGADLLVRAYGNTFKFPMNITRCSNNYGP--------YQFPEKLIPLMISNVVELKNLP--------IYGDGMQIRDWLHVKD----HCSGIDTVLHKGRGEVYNIGGNNEKANIEIVDLIIANVKSDDLKIHVEDRLGHDRRYAIDNTKITTELGWSPSYTFEQGIVETIDWYLNNQDWLKQ--- 294497874 ---KRVLVTGGCGFIGSHMAELLYQNGFEVKVIDNLSTGKIANLRGISFHYGDIV-SEELDKVFAEFKPHYVVHQAAQVSVAHSVTNFHHDANVNIQGTINIINACKKHGAEKIIFASSAAVYGNTNVTPITLTHPTSPASPYGLSKFTSEEYLKLAKQLYDIDYVILRYSNVYGPRQNSQGEGGVISIFFDRFVTNQQPI-------------IYGSGRQTRDFIYVEDVSQTCLQAIQYE----GCGTFNISNNSSISINELFFTMKSISGSHLTPAYHSVREGDIADSRLCNKESIKALKWSPAFTLEKGLAKTYDYY------------ 142198308 MTQQ-VLVTGADGFIGSHLTEHLISLGYEVRALALYNSFNDEPNDRLTVETGDVRDPAFCQHLCD--GIDIVFHLAALIAIPYSYKAPYSYIDTNVQGTLNMVQAAMDQNVTRFIQTSTSEVYGTAQYVPIDEEHPLQPQSPYSASKIGADAMAMSYFNAFDFPVTIARPFNTYGPRQS---------ARAVIPTIISQIANGATEIKL-------GDTSPTRDFNYVTDTCRGFTALAECDAAIGETVNI--GSNYEISVKDTLDLIKDIMGSDVQFIEDEQRKSEVFRLWCDNTKIENLTGFKPEFDIRNGLTHTIEWFSE---------- 186682745 ----KIIVTGAAGFIGSHLVYILLQQGEEVIGIDEFNDYCDPMLKRKNVAHLHEADIQFLDWQELLKDVDVVYHQAAQAGVRASWGKAFRYTERNINATQVLLEAAKDKHLKRLVFASSSSVYGDAETLPTHEGICPEPVSPYGITKLAAETLCRLYHKNFGVPYVSLRYFTVYGP----------KQRPDMAFHKFFKSILQDEAIPIYGDGQQTREFTFVGDIVAANLAA--------ASTPQAVGEIFNIGGGSRVVLAEVLDTIEEIVGKPIKRNHIEKAMGDARHTAADVSKAQKILGYQPQVSLRDGLTQEWRW------------- 195588643 ---KRILITGGAGFVGSHLVDDLMVQGHEVIVVDNFFTGRKRNVHWLGHENFELIHHDIVNPLF--IEIDEIYHLASPASPPHYMYNPVKTIKTNTMGTINVLGLAKRVMAKVL-IASTSEVYGDPTVHPQPETYWIGPRACYDEGKRVSETLSYAYAKQEKVQVRVARIFNTYGPRM-------HMNDGRVVSNFILQALRNE-------TITVYGNGKQTRSFQYVSDLVDGMIALM----ASNYTQPVNLGNPVEQTIGEFAEIIKQLVGGPSVIKQSKAMEDDPQRRKPDITRARQLLHWEPKVPLETGLQRTISYFRN---------- 114321949 ---KRVLVTGGAGFIGSHLCERLLAEGHEVLCVDNFFTGHLRDYPEFEAIRHDITFYLEVEEIYNLACPASPVHYQH---------DPVQTTKTSVHGAINMLGLAKRLKA-RILQASTSEVYGDPSVHPQPESNPIGPRSCYDEGKRCAETLFFDYYKQHALEIKVARIFNTYGPRM--------HPHDGRVVSNFIVQALSGEPITVYGE------GRQSRSFCYVDDLVDGLARLMATPPE--VTGPINLGNPVEFTIRALAERVIELTGSKSRLVFRPLPQDDPRQRCPDISRARAELDWAPVTALDEGLRRTIEYF------------ 301168321 ----KVLVTGGAGFIGSHLCSELINCGDEVICYDNLEDGHLINNEKFKFIEETILNFEAL--LESSVDVDVIYHLAGKADIVPSVNNPKLYFDVNVTGSFNVIEAARANNIKRVVYAASSSCYGLVDEYPTTETCALSPEYPYAQTKLMGESCLLHWGKVYGIEVNSLRLFNVYGPRSRTN---------GAYGSVMGVFLAQKLASKKF---TVVGSGEQVRDFVYVGDVAK-------AFMKAGKCKKFGEIINIATNNPQSINHLVSLLD-GYGVEFIPKRPGEPDRTQGDSTKAKEILGWSAETTFEEGVQ------------------ 87123107 ----RILVTGGAGFIGGAVVRRLLNEDALVFNLDKMGYASDEGECRHQLLRVDLADAQATAEAVRLADPDLVLHLAAESHVDRSIAGPEAFISSNVTGTLHLLQAVRAHWNFRMHHISTDEVFGLGPEGRFSETTPYDPRSPYSASKAASDHLVNAWHHTYGLPVVLTNCSNNYGP--------WQFPEKLIPVVILKAAAGAAIPL--------YGDGLNVRDWLHVEDHVDAL---LLAACRGELGSSYCVGGFGERTNRQIVELICNVLDQAQPIRSVSDRPGHDRRYAIDPSRIQSELGWQPRHSLEVGLADTVDWFLTHQ-------- 170719740 MADAPILITGGAGFIGSHLCDALLAKGYAVRVLDDLSTGKRDNLQLGNPVEGDVADAALVQR--AAAGCSAVVHLAAVASVQASVEDPVKTHQSNFIGTLNVCEAMRLQGVRRVVFASSAAVYGNNEGQSIAEDTPKAPLTPYAVDKLASEQYLDFYRRQHGLEPVVFRFFNIFGPRQD------PSSPYSGVISIFSERATQGLPITVFGD------GEQTRDFLYVGDLVQVMVQALE--QPQVEEGAVNIGLNQATSLNQLLKALETVVGSLPPVSYGEARSGDIRHSRADNQRLLARFDF-PQTSMVEGLAH----------------- 226326716 MALKRILVTGGAGFIGSAVVRHIIENNDSVVVVDKLTYGNLESLERYAFEQVDICDSAELDRLFAQYQPDVVMHLAAESHVDRSIDGPAAFIETNIVGTYTLLEAARRFWAFRFHHISTDEVYGDGTDDFFTETTPYAPSSPYSASKASSDHLVRAWLRTYGLPTVITNCSNNYGP--------YHFPEKLIPLIILNAISGKPLP--------VYGKGEQIRDWLYVEDHARALYLVA---TTATPGKTYNIGGHNERRNIDVVKTICALLEELYPITYVKDRPGHDLRYAIDAAK------------------------------------ 307295622 ---RNFLITGGAGFIGSTLANY-YSKDNQVVVIDDLSMGQTENLENITFIEGSVTDQQLMEKVLREYQFDYIFHLAAIASVADSVARPVETHQVNFESVLQLLELIRKYQKDRLVFASSAAVYGDEPTLPKQEESVIRPLTPYAVDKFASEKYVLNYCHLYDVPTSAVRFFNVYGPNQNPN--SPYSGVISIVMDSYKRLLANQE-----VTFNIFGDGKQSRDFVFVEDVV--QALNLVAHSDQSLGEVYNVGTGKATDLNELISSLNDIMKVTLPVEYKEARAGDIKDSLADISKLRAI-GYEPKYSIQSGLDKYVNYELK---------- 308048798 MKNNTLLVTGGAGFIGANFVLYWLEQHDRVIVLDALTYAGNRANLEARFVHGDICDTALVESLLRDEGVNTLVHFAAESHVDRSITGPDAFIETNIIGTYSLLKASKKVWIHRFHHVSTDEVYGTKDEAPFKETNQYQPNSPYSASKAASDHLVRAYHHTYGLEVTTSNCSNNYGP--------FHFPEKLIPLVVTNILHDKPLPIYGDGQ--------QIRDWLYVEDHARG----IELVLNQGQGENYNIGGHNEWANIDIVKLICMQMNQAFKIVYVTDRAGHDRRYAIDATKTQNELGYEPVESFETGFSKTLQWYLDN--------- 169350030 ---KKILITGSAGFIGSNLILRLLKEDIHIIGIDNMSDYYDVSIKEYRLKKIEIADKNLIDKIFDEHKPDIVVNLAAQAGVRYSISNPDAYIESNLIGFYNILEACRHSYVDHLVYASSSSVYGSNKKVPYSTDDKDNPVSLYAATKKSNELMAHAYSKLYNIPSTGLRFFTVYGP----------AGRPDMAYFGFTNKLRNNETIKIFN------YGNCKRDFTYIDDIVEGIVRVMKKAPEKKKGEDYNIGNNHPENLLEFVDILQQEYDFEAHKELIAMQPGDVPITYADTTPLEQDFGFKPSTSLRDGLRKFARWYKEFY-------- 288573433 MAGKKALVTGGAGFIGSHLCGVLIDRGWNVSVVDDLSSSDGSNIERVSLHVGDIRDLDLMKGLL--EDSDALFNLAAMVSVPKSVQKPRECYEVNVTAFSDMLELLKDRPVPVVYASSAAIYGEGADDGPRRETELPMPQSPYGASKAMDELVAAAAFRCWGIPSVGLRFFNVYGPRQN------PEGPYASVIPRFTTALLDGRAVTVFGD------GEQTRDFVHVEDVARVMVKAADEAQSIGGSVM-NVGSGRRASVNEVYSLLSRLVSEKESPSFEPERPGDIRHSFADLSELRSLMDLSSFRSLEDGIDDTVSYYRR---------- 294620195 LSNRTILITGAAGFIGSNLVLELLQSSIKIIGIDNMNDYYDVSIKNWNFIKGSIADKATIDFIFDNYKPSIVVNLAAQAGVRYSITNPDMYIESNIIGFYNILEACRHSYVEHLVYASSSSVYGTNKKIPYTEDKVDNQVSLYAATKKSNESMAHAYSKLYNIPSTGLRFFTVYGP----------------AGRPDMAYFGFTDKLRAGDNIQIFNYGNCKRDFTYIDDIVEGVKRVMQCAPEKIDGEDYNIGNNTPENLLDFVDILQQEYDFESHTELVPMQPGDVPVTYADTSALERDFEFKPSTSLRDGLRKFAQWYKSFYE------- 283488499 -----VLVTGAAGFVGTHVSSALKKRGDGVLGLDNFNDYYDPSLKRARQEEGDINDSALLMKLFEVVAFTHVMHLAAQAGVRYAMENPGSYVHSNIAGFVNLLEVCKSANPQAIVWASSSSVYGLNNKVPFSEKDRTDPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGP----------WGRPDMAYFFFTRDILKRKPIPIF---EAANHGTVARDFTYIDDIVKGCLAALDTAEKSTGTGVYNLGNTSPVPVSTLVSILERLLKVKVKRNIMKPRNGDVQFTHANVSLAQRELGYKPTTDLQTGLKKFVKWYTSFYSG------ 268317410 ------LITGGAGFIGSHLCERFLAEGHEVICMDNFITGSPDNIAHLIHERFHFIHHDVTNFIYVEGPLDYVLHFASPASPVDYLKYPIQTLKVGALGTHKALGLAKAKGA-RFLLASTSEVYGDPLVHPQPEDYW-GNVNPYDEAKRFAEAMTMAYHRYHGVDVRIVRIFNSYGPRMRL--------DDGRALPTFMTQALKGEPITVYGDG------SQTRSFQYIDDLVEGIYRLLM----SDYVGPVNIGNPEEISILEFAKEIIELTGSKSEIVFKPLPADDPKVRQPDISLARRVLGWEPKVSRREGLRRTLEYFKQ---------- 253583820 ----KILITGAAGFIGSHLTEELLKLKNKIIAVDNFSVGRKEKLLKDRDEKIDIRDKNKLEKIFEKENPEFVINLAGLAGVRPSLEKPLEYEEVNVRGTMNILEICKKLGIKKFIQASSSSVYGNNKKAPFRETDIVDAISPYAATKKSCEVIGHVYHKLYNIDMFQLRFFTVYGER--------QRPDLAIYKFTKMILEGKEIPF--------YGDGNTFRDYTYVKDIVQGITKSIDYLKNNSDVYEILNGESHVVSLKEMVEVIENTLGIKVKINKLPMQMGDVEKTYADINKAKILIGYNPSTKFEDGIVKFVDWFKN---------- 142671216 ---KKVIVTGGLGFIGSNLIKILLKKKYFVINLDKVTYSSNFYNIKDKFFKVDINNEKKVLQIFKKYKPNAVFNLAAETHVDRSIDEPENFIKSNILGVFKLLQAFKKFIKKILIHISTDEVYGDILKGRSNENDKYKPSSPYAASKASSDHLVYSYIRTFKIPAIVTNCSNNYGPR--------QHPEKLIPKLIYNIFNNKNLPL--------YGKGLNSREWIYVDDHCNALIKIFEKGKKGNFYNIGSNFNLNNINISKILINIAKNIGKNVKIKFVKDRPGHDIRYALNSSKLIKDLKWKPKININEGLKMTFDWYLKNQEYYSS--- 73537109 ----RYFVTGGAGFIGGHYVRALLAAGCEVTVLDKLTYAHLDNLPLDHFVRGDICDAGLLADLLPGH--DAVVHFAAESHVDRSLADGTPFVTTNVLGTQILLDACARTGVPRFVHVSTDEVYGSLRHGSWTEDTLLEPNSPYAASKAASDLMARAYWRTSDVAVSITRCSNNYGT---------HQFIEKVVPLFVTNLLDGLD-------VPLYGDGHHVREWLHVEDHCRALHLVL---TEGRAGEIYNIGAGDGLTNRELTQRLLTLCGADWRVREVPDRTAHDERYSVDTAKIREELGFTPRIGLDEGLADVVAWYRDNRAWWE---- 255282341 LTNKTILITGAAGFIGANLVLTLLSDNTIHIGVDNMNDYYDVSIKEWRFIKGSIADKELINSIINTYHPDIVVNLAAQAGVRYSITNSDVYIESNLIGFYNILEACRHSYVEHLVYASSSSVYGANKKVPYSTDDKDNPVSLYAATKKSNELLAHAYSKLYNIPSTGLRFFTVYGP----------AGRPDMAYFGFTNKLLKGEKIQIFN------YGNCKRDFTYVDDIVEGVKRVMEDGLPVPPYAIYNIGNSQPENLLDFVQILSEEYDFEAHKELVPMQPGDVPVTYADTSALERDFGFKPSTDLRTGIRRFAEWYKEFYR------- 169343017 LKEKKIFITGAIGFIGSNLVLELLQNAIHIIGIDNMNDYYDVNIKDWRLKEIEISDKELVDDIFNKYKPDIVVNLAAQAGVRYSIINPDSYFESNLLGFYNLLEACRHSYVDHLVYASSSSVYGANKKVPYSTDDKDNPVSLYAATKKSNELMAHAYSKLYNIPSTGLRFFTVYGP----------AGRPDMAYFGFTNKLLKGETIEIFN------YGNCKRDFTYIDDIVEGVKRVMQAPPEKNNGEDYNIGNSNPENLLDFVTILQEEYDFEAHKKLVPMQQGDVPVTYADTRPLEKDFGFKPSTDLRTGLRKFAEWYKEFYN------- 109947021 ------LFTGACGYIGSHTARAFLENTTEIIIVDDLSTGFLEHIKALEHYQANLNETQKLDAFLNKQQIETILHFGAKISVEESMHLPLEYYTNNTLNTLELVKLCLKHHIKRFIFSSTAVVYGE-SNSSLNEESPLNPINPYGASKMMSERILLDASKVADFNCVILRYFNVAGACMQNDYTTPYTNATHLIKIACECAVGKRKKMGIFGTDYPTRDGTCIRDYIHVDDLANAHLASYRALLEQNKSEIYNVGYNQGHSVKEVINKVKEISNNDFLVEILDKRQGDPASLIANNAKILQNTSFKPLYNLDTIIKSALAW------------- 223954222 ---KKILVTGGAGFIGSHFARGL--GGAEVTVLDKLTYAGNRANLDGVPHHGDICDAGLLREVLPGH--DLVVNFAAESHVDRLIEGAAEFVRTNVLGTQTLLQGCLEAGVRKVVQVSTDEVYGSIGVGSWTESAPLRPRSPYAAAKAGGDLVAQAYAITHGLDVSITRCGNNYGPR--------QYPEKIIPLFVTRLLRGERVPL--------YGDGGNVRDWVHVADHCAGIRLVAEVGL---PGEVYHIAGTAEMTNKELVGHLLAASDADWRVEYVEDRKGHDRRYSLDDRRLRA-LGYRPEVEFDQGLRDTVRWYAANPGWWA---- 283954940 ---KNILVTGADGFIGSHLCESLVKKGFKVRALSQYNSGHLEFLKDMEVISGDLRDSFFCEKITK--NIDAIFHLGALIAIPYSYTAPQSYVDTNVNGTLNMLEAAKKNEISHFIHTSTSEVYGTALYVPIDEKHPLQPQSPYSASKIAADMMALSYYNSFNLNVNIARPFNTYGPRQS---------ARAIIPTIITQILSGAKEIKL-------GDLSPKRDLNFVLDTCEGFISLLSL---KHFGEVYNIGSGVEHSMQEVLDLIQKILNSKVKIIQDKQRLSEVFRLCCDSSKLKKATNWQSKISLEEGLKQSIEYFKENLQAYKSE-- 156370929 --KKRILVTGGAGFIGSHVVILLVEREYYVINLDKLDYCRISGRPNYKFIEGDICEANHLKYIFQAEQIDTVLHFAAQSHVDNSFWSSLDFTKTNVYGTHVLINVAHEAKIKKFIHVSTDEVYGGNSGDMHSESSPLRPSNPYAASKAAAECIVMSYLESFKFPVIITRSNNVYGPH--------QYPEKVIPKFITLLNRNRK--------CFIHGDGSQERNFLYVTDVAEAFLRILHYGQ---DGETYNIGSEFAIDIMELAKQLQSLEQFSDHIEFVKDRPFNDKRYPMDSSKVKA-LGWEPKVSWEDGLQRTIDWY------------ 73809585 -SKKKWLITGVAGFIGSNLLEQLLKLDQDVVGLDNFSTGHQHNLSRFKFIKGDIRNLEDCRA--ACCEAQYILHQAALGSVPRSIKDPIGTNDNNITGFLNMLVAANEQKVKRFVYAASSSTYGTHPGLPKVEDTIGDPLSPYAVTKYVNELYAGVFSRTYGLESVGLRYFNVFGPRQDPNGAYAAVIPKWVASILNNEVI------------YINGDGSTSRDFCYIDNAVQANLLAATTLQPDAVNTIYNVAVGQQTSLNELYKYIVDELVRSNNLKYRDFREGDVKHSLANISKIKNKLGYEPLFTLKDGLVPSIQWYYSN--------- 138878748 ----KLLIVGGAGYIGSHTVKIAQENNHDITVLDNFSSGHEWAIQNCEVIQVDLLDINNLSKHLKNKKFDGVIHFAAKSLVGESVLDPKLYYENNVKGSINLFNEMIKNEIDNIVFSSSAAIFGNPNIERISEYQNKKPINPYGKSKLMIENILEDYSKAYGLNVASLRYFNAAGADPFSLIGEAHHPETHLIPNIINSILFNEHDLEIFGNKYNTKDGTCIRDYIHVNDLAEAHLKSLKFLSKSEGFHEFNLGNGKGFSVFDIVKSCEKISK------------------------------------------------------------ 110333709 ---QRILVTGGAGFIGSRFVNALLEFGKEVVVLDALTYAGNLANLAPVGDCGDICDRSTV--ALAMAGADLVVHFAAESHVDRSIDDADAFVRTNVLGTHVLLREALAVRPGRFVHVSTDEVYGSIPEGSWSEDHPLSPNSPYAASKAASDQLALAFHRTHGLPVCVTRCSNNYGP--------YQYPEKIIPLFVSNLLDGAAVPL--------YGDGGNRRDWLHVDDHCRGIALVAR---GGRPAKVYNIGGGTELTNTELTERPAEAVRTDWSVREVPDRKGHDRRYSVDYAKIANELGYAPRIGIDEGLAETVRWYRENRAWWK---- 303326680 ----TLLVTGGAGFIGSAVVREMLSASWRVVNVDKLTYS------GNPASLADVADNPRYNFIFEIFEPDAVMHLAAESHVDRSIDSPAAFLETNVHGTFVLLEEARRYWKFRFHHISTDEVFGDGGQGFFTEETPYAPSSPYSASKAASDHLVRAWQRTYGLPTLVTNCSNNYGPR--------QFPEKLIPLTILNALAGRELP--------VYGDGGQIRDWLHVEDHVRALLLVLARGR---VGETYAVGGHCEKRNIDVVRAICELLEYADLIRFVRDRPGHDGRYAIDASKIAAELGWRPRESFATGLRKTVCWYLDNEAW------ 296037925 ----RVLVTGGAGFIGANFVHTLRERGVEVTVLDALTYAGSRDTLRSRFVEGDIADATVVGALVAESDF--VVHFAAESHNDNSLSDPWPFVRTNVIGTYTLLEAVREHGV-RYHHVSTDEVYGDDDPGKFTEHSPYNPSSPYSSTKAASDMLVRAWVRSFGVRATLSNCSNNYGP---------YQHIEKFIPRQITNILTGVRPKL-------YGAGLNVRDWIHVDDHNSAVWKILE---DGELGRTYLIGADGEVDNLTVVRTILELMGRDPDFEHVTDRPGHDLRYAIDASTLRDELGWSPRYDFREGLAATIDWYQDNRAWWEREKD 302343570 ----NILITGGAGFIGVNLTAKLNAIGVSPRIIDNEVLGKEANLLSYTYIKADIRDANACIDAVKGM--DCVVHLAADTRVIPSIENPRFNFDNNTLGTFNLLEAMRQTKVGRIVAASTGGAILGERTPPVHEEMLPKPVSPYGASKLAMEGYLSAFAGSYGIAATALRFSNVYGER---------------SIHKGSVVAAFFRRIIAGKSITIYGDGEQIRDYVYIKDLCDGII----KAVNSGKAGVFQLGTGIPTTLNQLVALMREVTGREIEVLYEPFRDGEIRHTYCDIAKARRELGFDPATPLKDGLTATWNWFLA---------- 148266093 LSNKKILITGADGFIGSHLTEELVRRDCAVRVLYNLDHMEPEILKSLDIFSGDIRDPHGVRQAMKGC--DVVLHLAALIAIPYSYHSPDTYVDTNVKGTLNIVQAARELEVEKVVHTSTSEVYGTARFVPITEEHPLQGQSPYSASKIGADQIAMSFHTSFDTPVAVIRPFNTYGPRQS---------ARAVIPTIITQLANGCRTLKL-------GALHPTRDFNYVADTVRGFIAAAE--GDRAVGEVINIGSNYEISIGETARMIAEIMGMELETETDRVRKSEVERLWADNAKAKELLGWEPKEGLRRGLEETMRWF-KNPENLK---- 136696817 ------------------VCRLLVDAGHNVVNLDR----AKKQIPGVNQYPFDI-DNHQVKGIIKLIKPDTIIHLAADHEVGRSVLEPNVFYKNNVANTIDLLDHAVESGVKNFIFSSSSSVYGDIDTFPTTEDTPKAPVSPYGLSKSIIEDILPDYEKAYGLKFIALRYFNAAGAMPDLSHGYTQDPASHIVPIIARKVIAG-EQVEVFGTDYNTTDGTCERDYTHVFDIGTAHLSSMNYLNDGGNSGIFNIGAGNSQSVKQVIAEFETVTGETINTIETDRRAGDPPKTFADNTLAKETFGWTPLYGLNEIVDHSYQWELK---------- 161367405 ----RLFVTGGAGFIGSAYVRAVLDATVDVTVLDKLTYAGSRDNLPARHVRGDVCDLPLLLDVLPGH--DAVLHFAAESHVDRSIEASAAFVHTNVGGTQSLLEACRRTGVERVVHVSTDEVYGSIAEGSWTEEWPLRPNTPYAASKAASDLVARSYWKTHGLDVSITRCSNNYGPH----------QHPEKLIPLFITNLLEGIPVPLYGDGL------NIREWLHVDDHCRALHLVLARGRA---GEVYNVGGGNELTNIDITRRLLALCGADSMIRRVPDRKGHDLRYAIDESKIRAELGYAPRVDFDDGLAAVVAWYRDHPDWWK---- 124025108 -SNETILVTGAAGFIGAALVKALLNLDFKVIGIDNLNDYYSTSLKRWFFYEIPIEDNKVLQDIINRYNPQVFVHLAAQAGVRYSITNPAAYIQSNLVGFANVLEGCRQNQIPHLIYASSSSVYGGNKNLPFYEEQAVHPVSLYAATKKSNELMAHTYSHLYDLPTTGLRFFTVYGP----------WGRPDMAPMIFARSILNNEPIQVFNYGKMQRDFTYIDDVVEGIIRCCFKKASIDDEFNPAPYRIFNIGNSRPTQLTYFIELLEKNLGKKAIKNFQPMQPGDVVSTAARMDLLNSWVDYKPITSIENGIKLFSEWYLDYFKN------ 145590601 ----KIVVTGGAGFIGSHVAAHLKSRGFDVVAVDSLERASGLGRLRAAGVPLVVADLRR----DELPRGDAVVHAAAYISVEESWEKPYEYMWNNAAVTAKVGKEALRMGAY-LVYLSSAAVYGNPVYTPIDEEHPTRPTSPYGLSKLAGE-EALALLQSAGLKYAVARLFNVYGPGQTGPYAG--------------VITKFIERARAGLPPVIFGSGEQTRDFIHVLDVAR----FVETLVEKGAQGVFNVGTGRAVSIKELAHAVMKLAGIGGEPIYASPRPGDIAHSVANIKKARG-LGWEPKITLEEGLAQLW--------------- 289641120 ---KRSVVTGGAGFLGSHLCERLLDEGHAVVCLDNFITGNVAHLTGHEHFRLVRCDVTD--YVHIAGSVDYVLHFASPASPIDYLNLPIHTLKVGSIGTLHALGLAKEKRA-RFVLASTSEVYGDPQIHPQSEDYWVGPRGVYDEAKRFGEALTMAYRRSHGVDAGIIRIFNTHGPRMR--------PNDGRAIPTFATQALRGEPITVAGDG------SQTRSIIYVDDLVEGIV----RMTFSGHPGPMNIGNPHELPILELAQLVREVVKSESPITFVPRPEDDPTVRQPDISLARRILGWEPAVDLRSGLDSTVSWFREHP-------- 167957267 ----KMLVTGGAGFIGSNFVHYTVKHKYDITVIDKLTYAGNRANLEPNFVEGDICDAELMNKLVAENDI--IVHFAAESHNDNSLRNPWPFVETNVIGTYTTLEAVRKHD-KRLHHISTDEVFGDDDPNRFTEDTPYNPSSPYSSTKASSDMLVRAWIRSFGIKATISNCSNNYGP----------YQHIEKFIPRQITNILSDIKPKLYGTG------EQVRDWIHVDDHNSAVHLILEK--GELGETYIIGADNDHVNNKMVIELICELMGKKDWYEHVNDRPGHDMRYAMDSSKLRRELGWQPEYTMRDGLLQTIEWYRKHEDWWKAQKE 89052568 ---RTALVTGSAGFIGYHLCARLLADGWRVIGLDAMTDYYDVSLKEARL--AMLTDHDALHDLFDEHRPDAIIHLAAQAGVRYSIENPESYVEANLIGTFRLLEAMRAFPPRHSLLASTSSAYGANTEMPYAETMQADHMSFYAATKKSNEVMAHSYAHLYDLPTTMFRFFTVYGP--------WGRPDMALFKFTKAILNGD--------PIDVYNHGDMKRDFTYVTDLVDGIIRLIEAVPGTAPHRIVNIGNSNSVQLMDYIEAIETATGRTAEKNMMPMQAGDVPATWADASLLQHLTQYTPRTDMAEGVANFVAWYRDYYK------- 15895599 ---KTYLVTGGAGFIGSNFVHYMLNKDIKIINVDKLTYAGNEQNPNYVFVQADICDKEAIEDIFKNYDIDYVVNFAAESHVDRSIKMPEIFVQTNVLGTVNLLNIAKNNWETKDGFISTDEVYGLGKEGFFTETTPLNPHSPYSASKASADMIVKAYFDTFKMPINITRCSNNYGP---------YQFPEKLIPLLINNCLNK-------NELPVYGDGMNIRDWLYVED----HCKAIDMVLNEGEGRVYNVGGHNERTNIFIVKTVIDSTVDESLIKYVEDRKGHDRRYGIDPTRIKDELDWYPETKFEVGIVKTIKWYLQNKEWMEN--- 114565797 ----NILVTGGAGFIGRWVVKKLLAEGQRITALDDLSNGRLMNIDEFRFIEGDIKDRDTLKQVFAG-GFDLVYHLAASINVQDSIDDPRTTYENDVTGTFNVLEECRRQNIKMLFMSTCMVYERSLDETGITEEHPVKPASPYAASKLAGEALTLSYYYAYGLPTVVVRPFNTYGP------FQKSSGEGGVVAIFIQRELAGE-------ELNIYGDGTQTRDLLYVEDCADFVVRAGRDSRANG--QLLNAGLGRDVSINGLARMIGGDAGRIRHVAHIHPQ-SEIPKLLCNYDKARELLDWQPRVSLEEGLQRTREW------------- 149201576 ----RVFITGTAGFIGYHLANLLLDEGIVVHGLDGMTDYYDVSLKQRRHQEAMLEDQTAVDAAIDACAPDIIVHLAAQAGVRYSLENPRAYIDANIVGTFNIMEAAKRHAVRHLLMASTSSVYGANTDMPYAETMKADPMTIYAATKKATEAMGHAHAHLWNLPTTMFRFFTVYGP--------WGRPDMAYFKFVEAILAGRPIDIYNHGEMY--------RDFTYVGDLVRGIRLLMDADIAPGDSRIVNIGNSEKVRLLDFVAAIEDALERPAIRNMMEMQKGDVPATWADARLLETLTGYRPQTSVRDGIAQFVRWYRSYYQ------- 48093467 -KRMRIVVTGGAGFVGSHLVDKLIKRGDDVIVIDNFFTGRKENVMHHFNHRFELIRHDVVEPIL--LEVDQIYHLACPASPVHYKYNPVKTIKTNVMGTLNMLGLAKRIGA-RFLLTSTSEVYGDPLEHPQKETYWIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRM--------CLDDGRVVSNFVSQAIRRQPMTVYGDGKQT------RSFQYVSDLVDGLMALME----GEHIGPFNLGNPGEFTMLELAEVVKEVIDPSATIEFRANTADDPHKRKPDISKAKELLNWEPKVPLREGLPLMVN---DFRNRILNEDE 135553841 --NDRVLVTGGAGYLGSHTVLTLLESGKEVVVLDNFSNSSHHSIKRPIVIQADARDSLALSTIFQKYEIEAVFHFAGLKSVEESVRTPEVYYDENIGTTLNIVEQMRTAGVRTLVFSSSATVYDPSETPPYGEASKTGPNNPYGQTKLLIEQTLVDANISNQWSIGLLRYFNPVGAHPSGHIGEAPSGPNNLMPLLMQVGIGKLDKLFIYGDNYDTPDGTCIRDFIHVMDLARGHIAALRFLQQTSGVHTWNLGTGIGTSVRELIQLVERVTGTEIPKEVVGRREGD---------------------------------------------- 126178650 ----RYIVTGGAGFIGSNLTATL-ARDHEVVIIDNLATGHQENIPRVTFVEGSITDLDLLAETFPGA--DGVFHQAAIPSVPRSVKDPLASNEANVTGTLDVLVAAKDCGVPAVVAASSSSVYGDTPALPKHEGMVPNPLSPYAVTKLADECYGKVFSDLYGIRTVSLRYFNVFGPRQD------PKSEYAAVIPKFITRLLAGEPPVIYGDG------EQTRDFTFVADVVQANIRAMESDAR----GVFNIAGGRRISLNQLASILMEITGTRCDPIYEAPRAGDIRDSLADISRARKAFGYDPQYSVEEGLFQAVAWFRE---------- 242400016 ----RLLVTGGMGFIGSNFIRYVLDKDWEVINLDKLGYGSNPANLKDTFVKGDINDFELVSKLIK--EVDAVVNFAAESHVDRSISTPYAFIESNVLGVYTILEAIRKVNPERLVHISSDEVHGDIMKGSFTEEDRLMPSSPYSASKAAGDTLVLGWARTYNLNASITRCTNNYGP--------YQFPEKLIPKTIIRASMGLKIPIYGTGQ--------NVRDWIYVEDHVRAVEAVLLKGEPR---EIYNISAGEERTNLEVVKTILKLMGKDEDIEFVEDRPGHDLRYSLDSWKIMRDLKWRPKVSFEEGIKKTVEWYLNNEWW------ 281420694 ---KNIIITGGAGFIGSHVVRLFVNKEYHIINLDKLTYAGNEDKPNYTFVKGDICDFDLMLKLMQDYKVDGIIHLAAESHVDRSIKDPFTFAQTNVMGTLSLLQAAKIYWGKRFYHISTDEVYGALQEEFFLETTKYNPHSPYSASKASSDHFVRAFHDTYGMPTIVTNCSNNYGP----------YQFPEKLIPLFINNIRHRKPLPVYGKG------ENVRDWLYVVDHARAIDMIFHK---GKIAETYNIGGFNEWKNIDIIKVVRKEGEDMDLITYVTDRKGHDMRYAIDSRKLQKELGWEPSLQFEEGIEETVKWYLENQEWMDN--- 242041557 -----VLVTGAAGFVGCHAAAALRRRGDGVLGLDNFNDYYDTGLKRGRVVDGDIADAELLAKLFDVVPFTHVLHLAAQAGVRHALVDPMSYVRANVAGLVALLEAARAANPQAIVWASSSSVYGLNSHVPFSEHDRTDPASLYAATKKAGEEIAHVYNHIYGLSLTALRFFTVYGP----------WGRPDMAYFFFTRDILAGRPITVYSAGGGSHQTTISRDFTYIDDIVKGCVAALDTAGRSTGSGTYNLGNTSPVPVTQLVDLLEKLLKVKAVRKVVKMRNGDVPYTHANVSLAQRELGYRPSTDLQTGLKKFVRWYLEYY-------- 170742786 ---KKILVTGGAGFLGSHLCERLLAQGHEVLCLDNFFTGNVRHLLDEPNFELMRHDYVEVDEIYNLACPASPVHYQ---------FDPVQTTKTSVHGAINMLGLAKRVKAKVLQ-ASTSEVYGDPEVHPQPEEYWIGFRSCYDEGKRCAETLFFDYHRQHNLPIKVVRIFNTYGPRM--------HPNDGRVVSNLIVQALRGEDITLYGDGLQT------RSFCYVDDLIEAMLRMMATGPE--VTGPINIGNPGEFTIRELAEIVLEVTGSRSRLVHRPLPPDDPKQRRPDIAKARRILNWEPQVDLRAGIARTVAYF------------ 58039515 ----RILLTGGCGFIGSAVVRHLIRNTHSVLNVDCMTYAASEDTPRYSHARANIVNGVEMQRLFEEYRPDAVMHLAAESHVDRSIDGPGVFIQTNVVGTYSLLEAARKYWAFRFHHISTDEVFGEPNDPPFTETTPYDPRSPYSASKASSDHLVRAWFHTYGLPTFVTNTTNNYG--------IWHFPEKLIPLVTINAIEGRELP--------VYGKGENVRDWLFVEDHAEALVKAVEI---GKPGETYAIGARQPRTNLEVVKKICAVLDRERLIRFVTDRPGHDFRYEIDPSHAEKELDWKAEHDFERGIRKTVQWYLDNRAWWE---- 296121598 ----KVIVTGGAGFIGSHIVDALIARGHQPFVIDDLSSGSPKNLPQGVPLFVDLRHGSRIREIFEEVRPDWVSHQAAQMSVSRSVREPVFDAEVNVLGLLNVFDSAAAVSAKRIVFASSGGVLYGDVSVPTAEDYPAAPISPYGISKWVGEKYLEFFARERGMQGVALRYANVYGPRQN------PHGEAGVVAIFCQKLLAGQAPT-------INGDGKYIRDYVYGPDVALANALAMETLPTHFDAFNIGTATPTDVCVRDQLIALRAQEGIQPEMNYGPARAGDLRSSLVCPARAAAHLGWKPGFDLAQGLQETVRWFATH--------- 156543744 ---RRILVTGGAGFVGSHLVDRLMLAGHEVIVVDNFFTGRKRNVHWIGHENFELVHHDVVRPLY--VEVDEIYHLASPASPPHYMLNPVKTIKTNTLGTINMLGLAKRVGAKVL-IASTSEVYGDPDEHPQSETYWIGPRACYDEGKRVAETLSYAYERQENVAVRVARIFNTYGPRM-------HMNDGRVVSNFILQALQN-------NSITIYGDGKQTRSFQYVSDLVD----GLVALMASNYTQPINIGNPVEHTIEEFARIIKDLVGGTSKIVALAAVEDDPQRRKPDISRAKKYLNWEAKVPLVEGLKKTITYFTKNPRPFKNDHD 308175509 ---KSYLITGGAGFIGLTFTNMMLKETDQITVLDNLTYASRKKNGRFRFIKGDISKKEDIDKAFSQM-YDAVIHFAAESHVDRSINQAEPFITTNVMGTYRLADAVLQGKAGRLIHISTDEVYGDPDDPAFTETTPLSPNNPYSASKASSDLLVMSYVKTHKLPAIITRCSNNYGP----------YQHHEKMIPTIIRHAVNGTPVPLYGDGM------QIRDWLFAEDHCRAIKLVLEKGTL---GEIYNIGGGNERTNKDLAAFIMKELGVEGRFAHVEDRKGHDRRYAINATKLKQELGWRQDVTFEEGMRRTIRWY------------ 94972117 ----KVLLTGSAGFVGSHLAERLLRAGHHVTGVDNYLSGNTELLRAHPHFRFVEADVSA--GLPVDGSFDAVLHFASPASPPHYQQHPVETLMVGAQGTQHALELARRCGAT-FLLASTSEVYGDPRVHPQPESYWTGLRSCYDEAKRYAEALTMAYHRHHGVDTRIVRIFNTYGPRMRA--------DDGRVVTNFINQALAGRPLTVYGDGQQT------RSFQYVDDLVEGIMRLL----ASAYHGPVNIGNPDEYTILEFAQVIRELIDPGLEIVHAPMPADDPRQRRPDISLARELLGWEPRVSLLDGLRRTVAHFQQF--------- 150399168 ----KILVTGGAGFIGSHIVDLLIENGHDVTILDNLSTGNEKNINNAKFINGDILDKN-----LDLTGFECVIHEAAQINVRTSVEDPILDANINILGTINILEKMKEYGVKKIIFSSSGAVYGEPKYLPVDEKHDVNPLSPYGLSKFCAEEYIKLYNRLYNIEYCILRYSNVYGKRQDPL-------------GEAGVISIFIDKMKKGESPIIYGNGNQTRDFVNVKDVSKANLMALNW-----KNEIVNIGSGKETSVNELFKIISSEVGFDKNPIYEKERDGEVYRIYIDYNYAKS-LGWIPEVEMDNGIKD----------------- 138435289 --------------------------------------------------------------ILEKEEVDIVMHFAAFAYVGESTTDPLKYYDNNIAKTIRLLQAMKNAKVNTFIFSSSCTIFGDTNEMPLHEDLPRRALSPYGQTKQDVEVLLEFCTKAYGLSYACFRYFNASGADPGGKIGEDHDPETHLIPLAIQAARGQRDKLIIFGNDYPTPDGTCLRDYIHVNDLSRAHIASFEKLQTPGTALHYNLGTGKPSSVLEVINTVENVTGLKVPHEFGPRRPGDVPAAYAYPEKAIKELNWEIEFDIKSIVETAWKWHQSNPNGFKR--- 257885234 ----TILITGGAGFIGSMLANYLGKEN-KIVVVDDLSMGKKENLKRVTFIEGDASDPQLMERIMKQYQFAYIFHLAAVASVADSVERPLDTHRVNFDSALLLLELVRKYQSKRLVFSSSAAVYGDEPTLPKKEESVIRPLTPYAIDKFAAEQYVLDYCHLYDVPTSAVRFFNVYGPNQ-NPNSPYSGVISILVDRYKKQLAGEKTEFTLFGD------GSQSRDFVYIEDVI--QALLLVAKEEKALGQQFNVGTGKSTTLLELIHSIDQILGTELALKYEAERSGDIRDSLADISKIRS-LGYQPKFDILNGMER----YLK---------- 126696737 MKKDKILITGAAGFIGSALAIKLLEENNHVIGIDNINNYYPNSSKYWNFHKISIENKNQIFNIFEKYRPKIVVNLAAQAGVRYSLENPEAYIKSNLVGFFNILEACKSFDVENFIYASSSSVYGGNKKVPFKENDSNHPISLYAATKRSNELMAHSYSHLYDIPSIGLRFFTVYGP----------WGRPDMAPMIFANAIMNQLPINIFNFGKMKRDFTYIDDVVESIKRCCFDKFDPENSCSFAKHRIFNVGNSKPVELEIFIELLEDALGKKAIKKYDELQKGDVISTLSDTSLLTKWIDFTPSTSIQDGILYFAKWFENYYE------- 189218496 ---KKIFISGAAGFLGYSTARRLLELGYKVAGIDNLNPYYSVELKEARLKKVDLLDKKAVEALFFDFEPDCVIHYAAQAGVRYSLVDPYAYAQSNVSGVVPILECCRKKGIGHFLLASSSSVYGMNRLIPFKVNHPDHPLSIYAATKKAAELIAHSYSHLFAIPVSCLRFFTVYGP----------WGRPDMAYYKFASSIYRDRPIEVYAEGKLKRDYTYVDDVVEAVIRLGQLEDCLDPSVSTAPFRIHNVGNKQPENILKLVHLIEKYLDKKARIKFLPMPPGDVECTYADTTTLEKEIGYSPQTSLEEGIGRFIKWF------------ 136503723 --KKNLIVTGGLGFIGSNLVKLLLKKNYTVINIDKISYSNLENNRNYKFIKSDIRDQKKLKSIFKKYKPSCIFNLAAETHVDRSIDSPKPFIESNIEGVFSLLEAFRFYYENKLIHISTDEVYGDIKNGRTNEEYLYKPSSPYAASKASSDHLVYSYYRTFGIPAIITNCSNNYGPG--------QHPEKLIPKLIYNTI--NNIPLQIYGD------GKNSREWIHVNDHCEAL---LKIFKNGKVGEFYNIGSNKNLNNLEITKKILKIIGKNVKIKFVKDRPGHDKRYALNSNKIKKYLFWKSNIKIDQGLEKTFLWYL----------- 108762835 ----RVLVTGAAGFIAHHVSARLLARGDAVIGVDNLDPSGDVALKQARLTRVDVTDSPSLRALFEEMRPEAVVHLAARVGVRAPAGTAQAYVDANVSGFLQVLEQASAARVRHLVYASSSSVYGAGSVPPFQEGAADHPLSVYAATKRADELLAHAYSHLHGLPTSGLRFFTVYGP----------WGRPDMAPLRFLQAIRDGTELSLHGEGRM------QRDFTYVEDVAEAVVRVLDRPLPGAPRHRVNVGRGEPVSVRAFLSVLERILGARARVKSTPAQPGEMDATWADPSALERETGFRPRVSVEEGLTRLVAWF------------ 291301924 ------LVTGGAGYIGGHVVRRMRAQGYQVVVYDDLSTGVADRVPEGPLCVGNLLDRDALTDVMRIHNVTGVVNLAARKSVPESTSDPMLYHRDNVSGFIAVLDAMKDAGVRHIVQSSSAAIYGGAPGPVHAEITPADPLSPYATTKLMAELILKDAAAAGHLSYLALRYFNPVGA----LAPELAEVGGANVFTILFNAIDSGEVFGVTGDDFDTRDGSGLRDYIHIEDLADAHVAAVEYISGHEAGDVVNIGTGRGYTVFEMLEAVRQVTGQPVPHKVVDRRPGDAAGAVAAVERAERLLGWRARYDLMDMVDSAW--------------- 218778332 MNRRKILLTGGAGFIGSNFIQALREDPHCGICLDALTYAGRDQASRHKLVHGNICDAALLERLFLEEDFDAVVHFAAESHVDRSIKDALCFASTNVMGTCTLLEAARQNWESRFIHVSTDEVFGLGPEGSFSQASPYDPSSPYSASKAASDHFVRAYHRTYGLPCVVSNCSNNYGP---------YQFPEKLIPLMLMQILDQKP-------LPVYGDGQNVRDWLFVEDHCRALMHILEK---GAAGETYIIGGGEEKTNLEVVHLLCELADRKLNISMVKDRPGHDRRYAMDFSETTARLGWKPAHTFRQGLEATVDWYLSNRTWVEQ--- 308070546 ----KALVTGGAGFIGSQLVRALADSGIRVHVLDNLTTGNITNVPRAVMHIADIRSSEA-RTLLIRESPDIVFHLAAQADVQQSIHRPDEDADVNVLGTIHLLQACHEAGVSKFIFASTSGVYGELQKQCIQEDDPVEPISGYGLSKLTAESYIRLFYRLYGLNYTILRYGNVYGPGQAA-------------KGEGGVVALFMERLKKGSPLLIHGDGTQTRDFVYVKDVVRANM----AAIHAADQRTVHVSTGRTTSINRLAYDLLKLHGSSVRPVYSPARAGDIHHSCLSNAVARHWLRWEPLYGISAGLRETY--------------- 266631097 MTTTHLLVTGGAGFIGSHYVRTLLSEGISVTVLDALTYANLDPVRAHPFVRGDICDAPLVRSLAR--RADQIVHFAAESHVDRSITDPAAFTRTNVMGTQILLDAALRAGTRTFVHISTDEVYGSIDEGSWPEDHPLRPSSPYAASKASSDLLALSHHHTHGLDVRVTRCSNNYG--------HHHFPEKAIPLFVTRLLDGRKVPL--------YGDGRNVRDWLHIDDHVRAVELV---RTSGRPGEVYNIGGGTELTNRQLTERLLAECGAGWMVEHVADRPGHDLRYSVDWTKIHTELGYRPRKDFATGLAETVAWYREN--------- 126337543 ---KRVLVTGGAGFIASHLIVSLVEDDYMIINLDKLDYCASLKNLEYKFIQGDICEPHFIKLLFETENIDIVLHFAAQTHVDLSFVHALEFTYVNVYGTHVLVSAAYEARVEKFIYVSTDEVYGGSLDEEFDESSPKQPTNPYASSKAAAECFVQSYWEQYKFPVVITRSSNVYGPH--------QYPEKVIPKFISLLQHNRK--------CCIHGSGLQTRNFLYAADVVEAFLTVLKK---GKPGEIYNIGTNFEMSIKELIQLIKEESEMENWVDYVDDRPSNDMRYPMKSEKMHG-LGWRPKVSWKEGIKKTIEWYKENFHNWKN--- 116620493 ----RIVVSGSAGFVGSHMCERLLNEGHSVVALDNFLTGHLEKHPRLQFVEQDITRPFTVDGAV-----DCVVNMASPASPKDYLEYPIETLDVGSIGSRNMLELALAKGA-RYLVTSTSECYGDPMVHPQVETYWVGPRSCYDESKRFAEAITMAYHRKHGVRTNIARIFNTYGPRMKL--------DDGRVVPAFLDQALRGEPMTVFGTG------SQTRSFCYVSDLVDGLYRLMQ----SDERYPVNLGNPREMTILEFAEHIRAMTGTKSEIIFHPLPEDDPKQRKPDITKARSVLGWEPRISLEDGLRDTVEYFR----------- 307155066 ----RILVTGGAGFIGSHLIDRLMEEGHEVLCLDNFYTGHKRNILKWLDHRHDITEPIRLE-------VEQVYHLACPASPVHYQSNPVKTIKTNVIGTLYMLGLAKRVNA-RFLLASTSEVYGDPDVHPQTEENCIGPRACYDEGKRVAETLAFEYYREHKLDIRVARIFNTYGPRM--------QENDGRVVSNFIVQALKGEPLTVYGD------GSQTRSFCYVSDLVD----GLIRLMNGPYVGPVNLGNPGEYTILELAQMIQNRINPDSELVYKPLPEDDPKQRQPDITRAKNWLGWEPKVPLAEGLQLTIEDFQQ---------- 304321107 ----TIAVTGATGYLGSHMLLSLHDRGVDAVGVAESGTLPPALLNHVLLSILSSADVQGLATVFETHDVTGVIHFAGNDATPASLIDPMAQYDQILTPTLTALRAATLRGIEHFVFSSTASVYGVPQRMPIREETPLSPISPFGAAMGMAERIVADVCRPACIGTAILRYFNVAGADPNARAGETGHPRHLIKAAAQIATGVLNEPLKIYGNDYNTPDGTCIRDYIHVSDMAEAHATALDHLMAGGGSVTLNCGYGRGISVHEVIAAVQRVTGKTLPTQYAARRQGDAPLLIADTAAIRTALSWVPRYDIDVIIRSAIQW------------- 217034466 ------LFTGACGYIGSHTARAFLEKTKEIIIVDDLSTGFLEHIKALEHYQANLNETQKLDAFLNKQQIEAILHFGAKISVEESTRLPLEYYTNNTLNTLELVKLCLKHAIKRFIFSSTAVVYGE-SDSSLNEESPLNPINPYGASKMMSERILLDTSKIADFKCVILRYFNVTGACMRNDYTTPYTNATHLIKIACECAVGKRKKMGIFGTNYPTRDGTCIRDYIHVDDLANAHLASYQTLLEKNKSEIYNVGYNQGHSVKEVIEKVKEISNNDFLVEILDKRQGDPASLIANNAKILQNTPFKPLYNLDTIIKSALDW------------- 83647522 ---KTALVTGADGFIGSHLVEMLHARGYQVRAL----SYYNSFNDWGWLEQGDIRDPHYCIELTKGV--DVVFNLAALIGIPYSYTAPDTYVDVNIRGTVNICQGARLNGVGRLVHASTSEVYGTAKYAPIDEKHPLQPQSPYSASKIGAESMALSFHNAFQLPVTVARPFNTYGPRQS---------ARAVIPTIISQIAAGKSSIKL-------GDPTPTRDFNFVEDTCLGFIELAECEQAIGQVVNI--GSNTEISIGDTFELICRLMQAEVVMETDQQRASEVFRLKCDNALIKSLTGYEPKYSLEQGLRKTIDWFTR-PENLRR--- 297585673 FEPKNIIVTGGCGFIGSNFVHYVVNNHPEVTVLDALTYGNIENIAGLPEDRVDICDAELLDKLVPGH--DAIVHYAAESHNDNSIANPEPFLKTNVEGTFRLLEAVRKYGI-RYHHISTDEVYGDDDPAKFTEETPYHPSSPYSSTKAASDMLVRAWTRTYGLHTTISNCSNNYGP----------YQHVEKFIPRQITNILEGIKPKLYGQGL------NVRDWIHTEDHSSAVWTILTKGELGNTYLIGANGEKNNITVLRMI--LEMMGKDADDFELVKDRPGHDRRYAIDSTKLQTQLGWKPKTDFTTGLEQTIKWYTENRAWWE---- 142144910 ----KILVTGTAGFIGFHLAEKLLGEGHEVVGLDVINDYYDVNLKGYRFVKADLADHDFVVEFMRGEGFDAVVNLAAQAGVRYSIDNPRAYTHSNIDGFLSVLEGARHSGVGHLIYASTSSIYGLNTRMPLSEDQPTEPMALYAASKKANEMMAHSYSHLFGLPTTGLRFFTVYGP----------WGRPDMALFLFADAMLKGEPIKVFNHGNMIRDFTYVDDIVESQGNAAWDGADPDISTSSAPYQIFNIGNSAPVPLMEYIEALEEALGIEAKKNFMDMQPGDVPATHADASRLERYVQFRPQTSVREGVRRFVEWYME---------- 310831207 --NKTLLITGGCGFIGSHLVEKLSNKYKQVIIIDNLSTGYLKNIENLIYLYGDLTNLEFLRNVFTKYNINQICHQAAIGSVPRSVDDPMISHNNNVNAFINLLLCCKDYNVKRFVYASSSSVYGDNEILPKVESKIGNVLSPYAATKKINEIYANVFWRCYGIETIGMRYFNVFGPRQDP------------KGAYAAVIPKFIDLMKHNKNPIINGDGTFSRDFTFIDNVVEANYLALNTTNIQAFGEAFNIGAGGNITIGELGEIIKTHLRFQGEIIKGPSRKGDIQHSHANISKAQSILGYYPAISFTKGI------------------- 300729483 MKQEIVLVTGAAGFLGSHLTDKLLAAGHSVIGVDNLATGNLANLAHLKHEEQDICVP------FDVGHVDSVFNFASPASPDDYHRLGIETLLVGSAGTINTLEIARKYNAGYL-HASTSECYGDPEVHPQVETYWVGPRSVYDEAKRFSEAAVTAYHRYHNVNTHLVRIFNTYGPRLQANDGRVISN--------FMIQALRGNPLTIYGDGSQTRSFCFVSDLIEG----------IVRLSRSAEHTPVNIGNPVEWTIKECALEILAVTGADLPIVHRPLPQDDPTRRRPDITKAKALLGWEPKVSLNEGLKLSLDYFKA---------- 137143289 -------------------------------------------------------------KIIKKWQPVAVIHFASSSLVHESFLNPNKYFYNNVINTINLLDVIVNCGIDKFIFSSSCAVYGDPTSKLISENETKTPLSPYGESKLMIEKILNWHTNIHKMRSVSLRYFNAAGADHDGEIGEAHNPETHLIPLVINAALKLQDTIKVFGNNYKTTDGTPIRDYVHVSDIAKAHINALEYLLQGGGSVELNLGTGIGYSVLEIIRAVENISGKKIAITMEEKRDGDAPILVADSSKAAEVLHWTPKCSINEIIETAYNWQK----------- 144174199 MASKRVLITGAAGFLGSHLCDRFLAEGYQVVGMDNLITGDLKNIEHLFQKDFEFYHADVSKFIHVPGPLDYILHFASPASPIDYLKIPIQTLKVGSLGIHNCLGLGMAKQA-RVLIASTSEVYGDPLVHPQTEDYWVGPRGVYDEAKRFQEAMTMAYHTYHGLETRIVRIFNTYGPRM-------RLNDGRVLPAFIGQALRGED-------LTVFGDGSQTRSFCYVDDLIEGIYRLLL----SDYPHPVNIGNPDEITIGEFAEEIIKLTGTKQKVVYKPLPKDDPTQRQPDITRAREILGWEPKVNRADGLKITYDYFKSLPEEYKKEHK 73667578 MKTKNVVVTGGMGFIGSHLTERLLEDN-EVTVIDNESTGNIENIRHLLDHEGSIVDL-NLTEIFK--DKDYVFHLAAIPSVPRSVKDPFSSNNSNITGTLNVLTAAKDTGIKKLIFSSSSSVYGDTPTLPKREDMPINPMSPYAITKATGEMYCRVFQDLYDLPTVSLRYFNVFGPRQDPN-----SQYAAVIPKFITAILNDESP-------VIYGDGEQSRDFTFVKKVVDANILSCE----SKKTGVFNIACGRRITINQLVDYVNEILGKKIKSIHAEPRPGDIKHSLADISKAK-EFGYNPIGNFRDELKTVAEWFLN---------- 241895944 --GKNILVTGGAGFIGSHVVERHVKEGNNVIIVDDLSMGSRENIVNSDHYQKSITDYEFMSYLLKKYNFNVIYLLAAIASVADTIKRPYESHQVNQEANLFIMETLRINFPERVLFSSSAATYGALPKLPKKEDGAVLPATPYAIDKYATERYILTYAHLYNIPTVAVRFFNVYGPRQN------PKSPYSGVLSIVSHCLKQNVKFSLFGDGLQT------RDFIYIKDVISALKLAETTDKMIGD--VFNVATGSSHTLLDAIADLEMVSGKKLQIKKFEPRIGDIRDSKADISKLKS-FGFRPKYTFSEGAKAYWD-------------- 217977352 ----RILVTGSAGFIGFHMAARLLADGHEVVGVDGFTHYYDPELKRRRNAILSLEDASALKRVYD-AGFDAVYHFAAQAGVRYSLENPRAYVDANLTGAFNLLELMREAPPKHALMASTSSVYGANTKIPFHETDRDHPLTFYAATKKANEEMAHSYAHLFKIPVTMLRFFTVYGP--------WGRPDMALFKFVDAMVEGR--PIDVFNHGKMKRDFTFVGDLVEAMDSRTSPTPDYDSLSPVAPWRIVNIGTERPVGLMDFIEAIETATGLKAERNYLEMQKGDVPLTFASTRLLFELTGYRPATTLAEGVKAFVDWRRSY--------- 104779835 MADAPILITGGAGFIGSHLCDALLDKGYAVRILDDLSTGKRDNLQLGHPREGDVADAALVARAASGCR--AVVHLAAVASVQASVEDPVKTHQSNFIGTLNVCEAMRLNGVRRVLFASSAAVYGNNEGQSIVEDTPKAPLTPYAVDKLASEQYLDFYRRQHGLEPVVFRFFNIFGPRQD------PSSPYSGVISIFCERALAGQPITVFGD------GEQTRDFLYVGDLVQVMVQALE--QDAVEEGAVNIGLNQATSLNQLLAALEQVVGTLPAVSHGPARSGDIRHSRADNARLLARFDFPAPTPFTEGLAR----------------- 16224029 ----RVAVTGGAGFIGSNFVRDLVGERFHVVVLDNLTYAGTLDNLTGEFHRTDICDTQAVTR--QIVGCDAVVHFAAESHVDRSISSGLPFMRSNVLGTQSVLEAAAAAGAARFVHISTDEVYGSIPVGATGEDAPLAPNSPYAASKAGSDLVALAFARTHGLPVTVTRCSNNYGP---------YQNPEKAIPHFVTTLLRG-------GDIPLYGAGTNVRDWLHVGDHGKAVALLL---AGTPKEQVYNIGGGHQITNLQLAHIILDELGLADRVSFVPDRKAHDARYCVDDSRLREEFGYRPERDFAEAIRETISWYSDNRSWWTHD-- 108804076 ----NWLVTGGCGFIGTALVRSLAQEGHAVRVVDNLSVGTREDLGAACGFRGDILDEGLARRV--CAGAEVVVHLAASTGVAPSVEDPRRDCVTNVLGTLNYLEAARAAGARRFVFASSGAAAGEVE-PPIHEGVCPRPVSPYGAGKLAGEAYCSAYWRTYGLETVALRFGNVYGPG---------SGHKNSVVARFIRRAARGEVLEIYGDG------TQTRDFIYIDDLVRALR--LAATAGGVGGEVFQIATGSETSVGEVVELLLPVLAKGVRVERASPRPGDVARNYADTSKARRLLGWRAEVGLEEGLRRTVGWFLE---------- 260061274 -EKRTILITGGAGFIGSNFIHYLLERDWHIVNLDKLTYAGSLDNLDGDFIQGDITDGGLLNRLHRRYHFDGIVHFAAESHVDNSIASPETFVMSNVMGTFTLLEAARKWWMPRFLHISTDEVFGLGKTGVFSETSPYRPNSPYSASKAGSDHLVRSYVKTYGMDCITTNCSNNYGPR--------QHREKFIPTVINTAMTGKEIP--------IYGDGSNIRDWLFVKDHCEALLGIFEY---SDPGEHYLIGANNEQNNLDLARLICQKLDYTDQIRFVEDRPGHDIRYAVDHSKLTGELGWKPVTTFESGLTETIHWYI----------- 143349202 ----KVLVTGGAGYIGSALVEKLINQGHKVNVIDDLSNGFLENINKATFFKGSILDDQLLNKALLGV--EVVFHLAAKIRVEEGEVSPELYQEVNVDGTLKILELCNSMGIKKFIFASTAAVYGEPSDYPVNESSVTNPVNVYGRTKLEIDQYLAKNAASLGLSSISFRFFNVAGAVQSADRWLKIKHEGATHLIPSILRSSESSPLLIYGNDWPTKDGTPIRDFVHISDLVDALVLALAKADSVG-SEVINLGTSIGSTVLEVAKAAEVALKRKIYYQIAPHRPGDSFALVTSNSKARQVLNWNPNRDILNILEDA---------------- 238062696 ----RVLVTGGAGFIGSHLTDALLERGDSVTVLDDLSTGRPERLPAGPLHHGSITDRAGLTRLAEQCRPEVICHLAAQADVRNSVADATSDTGVNVVGTVNVLEAARAIDARVVFASSGGALYGEVDELPSPEDVRPAPWAPYGAAKYCAEQYLALYNRLYGSTHAALRLGNVYGPRQDPTGEA-------GVVSIFCGCLVAGRRPTVFGD------GEQTRDYIYVADVVEAFL----LAVGHGGPGLWNIGTGTSTSIRKLLDLVGRTAGRVPDPRFEPPRLGELKHSALEVTRAARELRWAARTRLADGIAKVYKW------------- 15837213 --NNRVLVTGGAGFLGSHLCEKLVASGHDVLCVDNFYTGSKDNLIGHPKFELIRHDYVEVDRIYNLACPASPVHYQH---------DPVQTTKTSVHGAINMLGLAKRVKA-RILQASTSEVYGDPEIHPQLETYWVGIRSCYDEGKRCAETLFFDYWRQHKLEIKVTRIFNTYGPRM--------HPNDGRVVSNFIVQALRGEPITIYGDG------TQTRSFCYVDDLIDGMLRMMESPKDFNGPVNI--GNPTEFTMLQLAEMVLKLVGSISKIVFQPLPLDDPKQRQPDITLAKSQLGWEPKVSLEDGLRETIAYFRK---------- 210633569 FEPRRIIVTGGCGFIGSNFVHHVVREGVRVTVLDKLTYGNPENIAGLPSDRVDICDAALVDRLVS--ETDAVVHYAAESHNDNSIADPSPFLETNVRGTYTLIEACRKYGV-RYHHVSTDEVYGDDDPARFTEETPYRPSSPYSSTKAASDMLVRAWARTFGLKATISNCSNNYGP---------YQHVEKFIPRQVTNLIDGARPRL-------YGDGRNVRDWIHTEDHSSAVWAILTRGR---VGETYLVGADGERDNITVLREILRAFGREDDFDWVRDRPGHDRRYAIDATKLRRELGWEPATDFAAGLAETIRWYRDNEPWWRPAKE 139360161 ----------GAGYVGSHVVKALRDAGKSPVVFDNLSTGLRENLLPNPFLLGDTLYSEQLKQALKGV--DSIIHMAAHKAAGESMKDPGKYAINNLTGTINLLNAAAQAKIKYFVFSSSAAVYGEPKYLPLDEAHPTEPLNFYGYTKLEIESLLVWFSKLKDIRFASLRYFNAAGYDIDGEINGLEIEPNNLIPIVLETIMGKRKEVVVFGSDYDTHDGSCIRDYIHVNDLAEAHLKALDYLQTQNQNLVVNLGTSKGLSVLEVLSIARKVSGTDFKFTLGPRRAGDPAVVLAKANLAKKLLQWTPKI------------------------- 187251448 -------ITGGAGFIGSHTVRELLKNGQNVIVIDNTKTPLAPFADRVTFLNFDVRNFENILNALKNV--DYVIHLAALVSVAESMHNPQLSLEINIHGTANVLEAARLNKVKRFIFASSSAVYGNNPDAPYQETAQTNIQSPYALGKLAGDELCQMYTDLYGLETVILRYFNVFGPGQD---------ADSPYSAVIAKFIALAKENKSYNIQW---DGTQTRDFIYVSDVANANLLAAAKAK---PGEIYNVASGQTTTLLKLTEMIDAVSGVKNKKEFSPKREGDVKHSAAVISKIEK-LGFKTTISLQEGLKLMWN-------------- 46580859 ---KRVLVTGGAGFVGSHLCDRLLKDGHEVLCVDNYFTGNVEHLLENRRFELVRHDYVEVDEIWNLACPASPVHYQH---------DPVQTIKTCVHGAINMLGLAKRVGARIFQ-ASTSEVYGDPAEHPQTENDPIGIRSCYDEGKRCAEALFFAYHRQNGLDIRVGRLFNTYGPRM--------HPNDGRVVSNFIMQALRNEPITIYGD------GSQTRSFCYIHDLIECMIRFMD--LPPGLHGPVNIGNPAEFTIRELAETVIDLVGSRSTIAHLPLPSGDPRQRRPDISTVREKLGWEPQTQLREGLRHTIAYFQ----------- 296534395 MKRKRILVTGGAGFLGSHLCERLLARGDDVLCVDNFFTGSRDHLLGHPRFELMRHDYVEVDEIYNLACPASPVHYQ---------RNPVQTIKTAVHGAINMLGLAKRLDAKILQ-ASTSEVYGDPSVHPQPEDNPIGPRSCYDEGKRCAETLFYDYHRQNRVNIRVARIFNTYGPRM--------HPNDGRVISNFIVQALRDEPITLFGE------GTQTRSFCYVDDLIEGLIRLMDAPDE--VTMPVNIGNPGEFTIRELAELTRRLTGTGAPLVHRPLPADDPMQRCPDITRARNLLGWEPAVTLEQGLVRTIA-------------- 6018308 ----NILVTGAVGFIGSAYVRMLLENAVRVTVLDKLTYAGNLTNLDARFVRGDILDAELVDELMAHS--DQVVHFAAESHVDRSIRAADDFVLTNVVGTQRLLDAALRHGVEPFVLVSTDEVYGSIASGSWPEEHPLSPNSPYAASKASADLMAFACHRTHGLDVRVTRCSNNYGPR----------QHPEKLIPRFVTNLLDGLPVPLYGDGRNVREWLHVEDHCRGVDLVR---------TAGRPGGVYHIGGGRELSNRELVGMLLELCGADWSVRHVPDRKGHDLRYSLDWGRAREELGYRPAREFSSGLRSTVQWYRENRSWWE---- 256784301 ---RRALVTGGAGFVGSHLCGRLLDAGTEVVCLDNLATGSRERRRGFRFVRGDATDPAALRGL--PGRFDLVLHFACPASPADYLRLPLETLDVGSTGTRNALE-RAHADGARFLLASTSEVYGDPLEHPQRETYWIGPRSVYDESKRFAEALVTAHRQVHGTDTAIVRIFNTYGPRMRT-------GDGRAVPTFIAQALDGMP-------LTVAGDGGQTRSLCYVDDTVAGVL----ALAASGESGPMNIGGDDEITMLELARRVVGLTGSGSRIRFVERPVDDPCRRRPDTTLARERLGWRPGVSWNEGLERTIGWF------------ 116754266 ----RLLVTGGCGFIGSNFIHYALREDWEVVNLDRLTYAGNPANLRYRFVRGDIADRDLVDGLFRD-GLDAVVNFAAETHVDRSILDPSPFIDTNVRGTQVLLEAARNHDVGRFVHISTDEVYGSVTEGRFTEDSPLRPNSPYAASKAAADLLCRAYHISYGVPVMVTRSSNNYGP--------YQFPEKLIPLMIRNALAGMDLP--------VYGEGAQVRDWLYVEDNCRAIGVVLMKGR---PGEIYNIGGGSERRNIEVVEMICRVLGRLIKHIRDPRGAAHDFRYALECSKMRG-LGWMPQVTFEDGLARTVDWYLANQDWVE---- 262275862 MKIQNLLVTGGAGFIGANFVHYWLKHNDKVVVLDALTYAGNKANLDMVFVHGNICDTNLVETLLKEYKLDTIVHFAGESHVDRSISAPDAFIETNIIGTYSLLKAAKKVWIHRFHHVSTDEVYGTPNDPAFTETTPYAPNSPYSASKAAADHLVRAYHHTYGMDVTTSNCSNNYGP--------YHFPEKLIPLIITNILYNKPLPIYGDGQ--------QIRDWLYVEDHARGIELVLKK---GHVGENYNIGGNIEWTNIDIVHVICQLMNCETLITFVEDRAGHDRRYAIDATKTNNELGYKPIESFETGIRKTVEWYLGNKKWWK---- 241662191 -----IVVTGGAGFIGANFVLDWLRDDHAVINVDKLTYAGNLKSLRHIFSQADICDRAALDRMFVDYRPRAVVHFAAESHVDRSVRAPADFVQTNVVGTFTLLESARNYWAFRFVHVSTDEVFGAEGELPVSEAAPHAPNSPYSATKAASDHLARAYYHTYGLPIVTTHCSNNYGP--------YQFPEKLIPLMIVNALAGKPLPLYGDGLHV--------RDWLYVGDCCSALRSVLARGR---VGEAYNVGGWNERTNLDVVYTLCDSLDVRDQVTFVADRPGHDRRYAVDAHKLQSELGWVSSQTFESGLRKTVQWYLDNQAWVQS--- 198432653 -DRKRILVTGGAGFVGSHLVDKLMMMGHEVTVVDNFFTGRKRNVHWIGHENFELIHHDVISPLF--IEVDQIYHLACPASPPHYMYNPVKTIKTSSMGTMNMLGLAKRVRATML-LASTSEIYGDPEEHPQKETYWIGPRACYDEGKRVAETMCYAYSSQDKVDVRVARIFNTFGPRM-------HMQDGRVVSNFILQSL-QNEPITIYGN------GEQTRSFQYVTDLVN----GLIALMNSKVNTPVNIGNPEEHTISEFATLIRNLTKSKSEIVHKATPTDDPRKRKPDITKAKTSLGWEPVVELETGLKKTIAYFKA---------- 108804019 ----RILVTGAAGFIGSHLVDRLLAEGCEVVGVDAFTRYYPRERKLRNRFRLVEGDLLELDLGLLLRGVEAVAHLAGEPGVRSSWAGFEVYLRRNVLCTERLLEAVWRAGTPRFVLASSSSVYGPDGGRPVAEDHPLRPASPYGLSKLSAEELVRLYARERGVRGTVLRYFTVYGPR--------QRPEMALSRFIAAAHAGR--PVEVFGD------GGQVRDMTYVSDAVEATVAALER----GAGGAYNVGGGVRVSVRGMLEAVREVTGRPVEAVYGEAAAGDVRSTWADSRRAERELGYRPRVGLLEGVAAQAEW------------- 135932988 ----KILVTGCAGFIGMHLCESLLEDDFQIMGLDNLNSYYDINLKKDRLERIDITDHNGLEKIFKNFKPSKVVNLAAQAGVRYSLDNPHAYIESNITGFLNILEMCKTFSITGLIYASSSSVYGGNQKIPFSISDRDNPISIYAVTKKANELMANAYHNLYDLNSTGLRFFTVYGP--------WGRPDMAMYIFAKNIMENKEIPVFNFG--------KMKRDFTYIDDIISGVRSSIEKNYS---NEIFNLGNNKIENLMDVIKLIEKSLSKKAKINFMEIQAGDVKSTFADINNSKVKLDYSPSIPIVEGIPKFIKWFNSYY-------- 168701899 ----TCLITGGAGFIGSHLADTLTAAGRTVRVLDNLTTGLPANLAHISPVQGCVTDPDAVARAVAGC--DVVFHLAALASVAKSVEDPLASHAACATGALTVFDAARKAGVRRIVYAGSASAYGNASDEAQDEATPLMALSPYAAAKLAGEFYAEAFARTYGIETVRLRFFNVFGPRQRA------DSPYSGVIAIFAGLLAAGRVPTIHGDGL------QSRDFVYVSDVARALVLAADTPGVSG--RVYNVGTGRSVNLLELIAELNAILGTSAVPVHSPARPGDVKHSRARIDRIRTDLGYAPAVPFAEGLRRTVEWARQ---------- 171316142 ---KHVLVTGGAGFIGANFVHYLLATDPQVINLDLLTYAGADDTGRHRFVLGDICDRPLVESLLRDHAIDTIVHFAAESHVDRSITGPGEFVRSNVVGTWTLLDAARTVWMRRFHHIGTDEVYGAPDDPPFSETTPYAPNSPYSASKAGSDHLVRAYFHTYGLPVTTTNCSNNYGPR--------QHAEKFIPTVIRSCVNGAPIPL--------YGDGANVRDWLYVDDHCRAIDAVIRHGTI---GETYNVGGCNEWRNADIVDLICSLLDVARLKHFVADRPGHDRRYAIDAGKLERELRWKPAETFETGILKTLDWYL----------- 167031562 MADAPILITGGAGFIGSHLCDALLDKGYAVRILDDFSTGRRSNLQVDHPREGDVADAGLVTQTAAGCR--AVVHLAAVASVQASVEDPVRTHQSNFIGTLNVCEAMRVHGVRRVLFASSAAVYGNNEGESIAEETPKAPLTPYAVDKLASEQYLDFYRRQHGLEPVVFRFFNIFGPRQD------PSSPYSGVISIFCERAVQGLPITVFGD------GEQTRDFLYVGDLVQVMVQALE--QPQVEEGAVNIGLNQATSLNQLLAALEKVVGSLPAISYVAARSGDIRHSRADNQRLLARFKFAQATPMVEGLAR----------------- 134968462 ---QRVLITGGAGFIGSHTCLVLLEQGHELVVLDNFDNSSPEALRRVQEVEGDVRDPSAVDQAFSAAGVDGVIHFAGLKAVGESVSDPLLYWDVNVNGSRVLAAAMDRHGCRTLVFSSTSTAYGKPETFPLREDMPTAPVNPYSETKVAVEQMLTALCRSGSWQVACLRYFNPVGAHPSGRIGEDPMGPNNLFPFMTQVAAGRRDRLLIFGQDYSTPDGTCIRDYLHVMDLAEAHGMALEH-------------------------------------------------------------------------------------------- 194335671 ---QSVLITGADGFIGSHLTEALVRQGYNVRAFVFYNSFNSWGWLDQCATDGDIRDPHGVKEAMKGC--DVVLHLAALIAIPYSYHSPYTYVDTNIKGTLNVLQAARELGVKKIVHTSTSEVYGTARFVPITEEHPLQGQSPYSATKIAADQLAYSFFASFGLPVIIARPFNTYGPRQS---------ARAVIPTIITQIANGNRQIKL-------GAVRPTRDFNYVQDTVAGFIAAM--KSNQGLGEVVNFGSNFEISIGDTVQLIAEVMNTKIEIITDEDRLSEVERLWADNSKASQLFGWEPSYGFKRGLAETAEWFL-NPTNLAS--- 226303739 ----RVLVTGGAGFIGANFVHQTVAEDAEVTVLDALTYAGNKSSLRITFVHGDITDAVLVDELVGAA--DVVVHFAAESHNDNSLADPWPFVQTNIVGTFTLLQAVRKHDV-RYHHISTDEVYGDGDPDRFTESTAYNPSSPYSSTKASSDMLVRAWTRSFGVRATLSNCSNNYGP---------YQHVEKFIPRQITNVLAGLRP-KLYGDGL------NVRDWIHVDDHNSAVWAIID---GGRIGQTYLIGADGEVNNRTVMESILQILGRADEFDFVTDRPGHDVRYAIDSSLLRKELGWSPRYDFRSGLEATIDWYRDNEQWWRPQKD 303247596 --KKRVLVTGGAGFLGSHLCERLINEGCDVICLDNYFTGNVKHLLDNPHFELMRHDYVEVDEIFNLACPASPIHYQH---------DPVQTTKTSVHGAINMLGLAKRLRA-KIMQASTSEVYGDPSVHPQPESYWIGFRSCYDEGKRCAETLFFDYHRQHNLRIKVARIFNTYGPRM--------HPNDGRVVSNFIIQALRGEPLTVYGQGQQT------RSFCYVDDLIEAFLRLMDTPDD--FTGPINTGNPGEFTILELAKMVIEYTGSKSTIDYRPLPKDDPKQRRPDITLAKAKLGWEPKVPLSEGLKKTIDYF------------ 142120909 ----RILITGGCGFIGSCLIRSLSKNNNIKINIDNLTYASNTNATETTDEKADISKINEIKGIFTDFKPNAVFHLAAETHVDRSIDGPGSFINTNILGTYNLLEESFSYWIFRFIHISTDEVYGDHKDNPFKEESNYRPNSPYSASKASADHLARAWYKTYQLPVIITNTSNNYGP----------WQFPEKLIPLTINKCLKREKIPVFGNG------KQIRDWIRVEDHVSGLLHILD---NGKTGEKYNIGSNCEIENIEVINSICNIFNEIMPIEFVEDRPGHDFRYSIDSSKIKK-LGWKPLFSWETGIKHTVEWYLDNKNYLN---- 297183095 -ATKRTLVTGGAGFLGSFLCERLLAEGHEVVALDNFFTGTKRNVAHLDHTNFELVRHDVVEPIL--VEVDWIFNLACPASPVHYQYNPVKTVKTSVMGAINMLGLAKRVRA-RILQASTSEVYGDPEVHPQTEDYWIGPRSCYDEGKRVAETLVMDYHRQNQVDAKIIRIFNTYGPRM--------HPNDGRVVSNFIVAALNNEPITLFGDG------SQTRSFCYVADLIEGILRMMQSENFIGP---VNLGNPGEFTVMELAQKVTELTNSRSKIIAQEKREDDPTRRRPDISLAQQKLGWQPQVPLEDGLQKTIAYF------------ 145350571 -EPRRVLVTGGAGFVGSHLVDALLKRGDEVIVMDNFFTGSQRNLEHLKNPKFEIIRHDIVTPFL--VEIDEVYHLACPASPIHYKFNPVKTIKTNVLGTMNALGLAKRCKA-KFLLTSTSEVYGDPLEHPQTESYWIGERACYDEGKRCAETLAFDYHREHGLEIRVARIFNTYGPRM--------AMDDGRVVSNFVAQALEGKPMTIYGD------GTQTRSFQYVSDLVAGLIALMDN--DSGFVGPVNLGNPGEFTMLELAEKVREVVNPNAEIVFCENTSDDPSRRKPDISLAKEKLGWEPKVKLEDGLKLMVEDFRE---------- 260460164 -SSMNFLVTGGAGFIGSAVCRHLCANAYRVTNLDKLTYAGNENAHNYSFAHADICDERAVLDILRRNDIDIVMNLAAESHVDRSIDGPGAFIETNIVGTYKILNAALEYWRFRFHHVSTDEVFGDFDGGMFVEETPYAPSSPYSASKAASDHLVRAWHETYGLPVVLSNCSNNYGP--------YHFPEKLIPLVILNALDEKPLP--------VYGAGANVRDWLFVEDHARA----LELVATKGPGESYNVGGNSERTNLAVVETICDLLDIRRPIAFVTDRPGHDRRYAIDASKIGRELGWTPSENFDSGLARTVDWFLDNKWW------ 91094999 LSRKRILITGGAGFVGSHLVDRLMLQGHEVIVADNFFTGRKRNVHWIGHENFELIHHDIVNPLF--IEVDEIYHLASPASPPHYMYNPVKTIKTNTLGTINMLGLARRLNA-KILIASTSEVYGDPDIHPQPETYWIGPRACYDEGKRVSETLTYAYAKQENMQVRVARIFNTYGPRM-------HMNDGRVVSNFILQALQND-------VITIYGSGQQTRSFQYISDLVD----GLVALMNSNYTLPVNLGNPVEHSINEFASIIKDLVGGRSKINHLAEVEDDPQRRRPDITRAKKYLNWEPKVDLNTGLQKTVDYFRQ---------- 194747569 ---KRILITGGAGFVGSHLVDDLMVQGHEVIVVDNFFTGRKRNVHWLGHENFELIHHDIVNPLF--IEIDEIYHLASPASPPHYMYNPVKTIKTNTMGTINVLGLAKRVMAKVL-IASTSEVYGDPTVHPQPETYWIGPRACYDEGKRVSETLSYAYAKQEKVQVRVARIFNTYGPRM-------HMNDGRVVSNFILQALRNE-------TITVYGNGKQTRSFQYVSDLVD----GLIALMASNYTQPINLGNPVEQTIGEFAQIIKQLVGGPSVIRQTKAMEDDPQRRKPDITRARQHLHWEPKVPLETGLKRTISYFRN---------- 143783883 -ASMRVLVTGAAGYIGGQTLLHLSDLGHEVVGCDRNEPGRAIQDAAQEFFIGDFTLFHA-RNLIRDTQFDALVHCAGTSLVGPSMQQPEQYYNNNFVKTKILLDWVLEHSPRRVIFSSSASVYGTPIMTPCQEVDPIMPISPYGESKAMIEWMLASYARAYGLDSVSFRYFNACGADPQS--RHGQAPGATHLIARALESLRDHTAFTIYGDQYPTADGTCVRDYVHVDDIAQAHALAVHASVSPG---VYNLGTGRGHSVRQIVDAVHRVTKQNLDVIVGAERPGDPPELTASADRFTRECQWQPRYGLDHMIQHAWSWYTR---------- 303244871 ----KMLVTGGAGFIGSNFIRYMLDKDLEIINLDKLGYGSNKYNSRYSFVKGDINDFELVSKLIK--EVDAVVNMAAETHVDRSISNPYSFLESNIMGVYTILEAVKKYNPDVLVHVSTDEVYGDIEEGSFTENDRLMPSSPYSAGKAGGDMLVLGYARTYNLNAKITRCTNNYGP--------YQFPEKLIPKTIIRASKDLKIP--------IYGKGDNIRDWLYVLDHCSGIETVLEKGEKR---EVYNISSNNEKMNIDIAKMILELLNKPDLIEFVEDRPGHDVRYSLDSSKLK-ELGWKPKYDFKEGLKETVNWYMDNEWWWK---- 301311241 MAKRNIVITGGAGFIGSHVVRLFVNKGYNIINLDKLTYAGNEDKPNYKFVRMDICDFEAFYQLMRDEQIDGIIHLAAESHVDRSIKDPFTFARTNVMGTLALLQAAKLYWGKRFYHISTDEVYGAYGDDFFYETTKYNPHSPYSASKASSDHFVRAYHDTYGMPTIVTNCSNNYGP----------YQFPEKLIPLFINNIRHRKPLPVYGKGENVRDWLFVEDHARAIDLIFHNGKIAETYNIGGFNEWKNIDIIKVVINTVDSLLGRKEGEDMDLITYVTDRLGHDVRYAIDSTKLQKELGWEPSLQFEEGIERTVRWYLEKHEWCEN--- 168014306 -----VLVTGAAGFVGSHVSLALRKRGDGVVGLDNFNSYYEVSLKRARQEDGDINDKFIIESILEAVPITHVMHLAAQAGVRYAMQNPQSYIHSNIAGLVNIFEVCKAANPQAIVWASSSSVYGLNTKVPFSEADRTDPASLYAATKKAGEGIAHTYNHIYGLSITGLRFFTVYGP--------WGRPDMAYFSFTRDILKGNPISV-----YSGAGGKDLARDFTFIDDIVKGCVASLDTAEKSTGSGVFNLGNTSPVTVPTLVDILEKHLNKAKRQIIKMPRNGDVPFTHANISSAQAQLGYRPTTNLDTGLKKFVKWYLSYY-------- 75676591 MTSRKVLVTGAAGFIGSHLVEELVRRNLTVRAFVHYNSGSRGWLDMSPRYIGDIRDPNGVRDAMKGC--DAVFHLAALIAIPYSYHSPDTYVDTNIRGTLNVVQAARDLGVAHVVHTSTSEVYGTAQFVPITEGHPLQGQSPYSASKIGADQIALSFERSFGTPVTVVRPFNTYGPRQS---------ARAVIPAIITQIAGGARVIRL-------GSTEPTRDFSFVSDTVAGFIAADEQRAAIGE--VVNLGSGFEVSIGNTAELIAEIMGVEIQIELDQSRQSEVDRLFASNTKAQKLLSWTPQFGFRRGIEKTVPWFRD---------- 48097187 ---KRILVTGGAGFVGSHLVDRLMLAGHEVIVVDNFFTGRKRNVHWVGHENFELVHHDIVRPLY--LEVDEIYHLASPASPPHYMLNPVKTIKTNTLGTINILGLAKRVGA-RVLIASTSEVYGDPNEHPQSETYWIGPRACYDEGKRVAETLSYAYMRQEGVSVRVARIFNTFGPRM-------HMNDGRVVSNFILQALQND-------SITIYGSGKQTRSFQYVSDLVD----GLVTLMASNYTQPINIGNPVEHTIEEFALIIKDLVGTNSKIVELAAVEDDPQRRRPDITRAKKYLNWEPKVPLAEGLKKTIMYFTKHSQSYKNDHD 242057225 ----RVLVTGGAGFVGSHLVDRLVERGDSVIVVDNFFTGRKGNVAHHQNPRFEVIRHDVVEPIL--LEVDQIYHLACPASPVHYKYNPIKTIKTNVVGTLNMLGLAKRIGA-RFLLTSTSEVYGDPLQHPQVETYWIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRM--------CIDDGRVVSNFVAQALRKEPLTVYGDGKQT------RSFQYVSDLVEGLMKLME----GDHIGPFNLGNPGEFTMLELAKVVQDTIDPEARIEFRPNTADDPHKRKPDISRAKELLGWEPKVPLREGLPRMVTDFRK--RIFGDQGE 237755680 LKNKRVLVTGSGGFIGSHLVERLLEEGCEVVAFVKYNSLNKWGWLDSFDKKVDIRNSDSVRKAVKSV--DVVFHLAALISIPYSYISPESYVETNINGTLNILQACMDYSIEKVLITSTSEVYGTAKFVPITEDHPKQGQSPYSATKIAADYLAESFYRSFDLPVVIVRPFNTYGPRQS---------ARAVIPTIITQLLSGYEEIKL-------GSLHPTRDLVYVKDTVEGFVRLAECDSAIGKEVNI--ATQSEISIQDLAKKLINKINPNAKIVSEDVRISEVERLLGSNEKLKELTGWIPETDIDRGLELTIEWF-KNEENL----- 170084511 ---KNVLVTGGAGYIGSHVVYVLQKRQYKVISIDNNHNSNPAALTEIDSHKCDLTQPDQVRAVFEAYGIWGVVHIAAYKAVGESSEIPLTYYANNVAASVSLLQIMAEYDCHRIVYSSSATVYGTPPIIPIPETTQMHAYSPYGRTKIMVEHIIEDLCHSDKWRAVSLRYFNPAGAHPSGQIGEDPRGRPGNLLPLLAHMAVGRVKDKVFANDYPTPDGTCVRDYLHVLDLASGHLLALNALGPDSNVFDYNLGKGKGMSVLQIVEAMRKATGFDYKYEIVGRRRGDVPDLTADPSLAQKELGFTAPQDLETMCRDLWNWQTKNPFGYGE--- 149730447 ---KRVLVTGGAGFIASHMIVSLVEDNYMIINLDKLDYCASLKNLEYKFIQGDICDSHFVKLLFETEKIDIVLHFAAQTHVDLSFVRAFEFTYVNVYGTHVLVSAAHEARVEKFIYVSTDEVYGGSLDKEFDESSPKQPTNPYASSKAAAECFVQSYWERYKFPVVITRSSNVYGPH--------QYPEKVIPKFISLLQHNRK--------CCIHGSGLQTRNFLYATDVVEAFLTVLKK---GKPGEIYNIGTNFEMSVKELIQLIKEESEMENWVDYVNDRPTNDMRYPMKSEKIHG-LGWRPKVPWKEGIKKTIEWYRENFHNWKN--- 281338124 -DRKRILITGGAGFVGSHLTDKLMMDGHEVTVVDNFFTGRKRNVHWIGHENFELINHDVVEPLY--IEVDQIYHLASPASPPNYMYNPIKTLKTNTIGTLNMLGLAKRVGA-RLLLASTSEVYGDPEVHPQSEDYWIGPRACYDEGKRVAETMCYAYMKQEGVEVRVARIFNTFGPRM-------HMNDGRVVSNFILQAL-QGEPLTVYGSGSQTRAFQYVSDLV----------NGLVALMNSNVSSPVNLGNPEEHTILEFAQLIKNLVGSGSEIQFLSEAQDDPQKRKPDIRKAKMMLAWEPVVPLEEGLNKAIHYFRK---------- 73970009 -DRKRILITGGAGFVGSHLTDKLMMDGHEVTVVDNFFTGRKRNVHWIGHENFELINHDVVEPLY--IEVDQIYHLASPASPPNYMYNPIKTLKTNTIGTLNMLGLAKRVGA-RLLLASTSEVYGDPEVHPQSEDYWIGPRACYDEGKRVAETMCYAYMKQEGVEVRVARIFNTFGPRM-------HMNDGRVVSNFILQAL-QGEPLTVYGSGSQTRAFQYVSDLV----------NGLVALMNSNVSSPVNLGNPEEHTILEFAQLIKNLVGSGSEIQFLSEAQDDPQKRKPDIRKAKMMLAWEPVVPLEEGLNKAIHYFRK---------- 142218810 ---KRVLVTGGAGFLGSHLCERLLSRGDDVICVDNFYSGTKDNVLHNPHFELIRHDITEVDEIFNLACPASPVHYQH---------DPVQTTKTSVHGAINMLGLAKRTGAKIFQ-ASTSEVYGDPEIHPQTEEYWIGIRSCYDEGKRCAETLFFDYHRQHQVEIKVVRIFNTYGPRM--------HPNDGRVVSNFIMQALQGQDITIFGD------GSQTRSFCYVDDLIDGFMLMME--SDSSKVGPINLGNPVEFTIKELAQKVLEHVGGNSQLVMKPLPSDDPKQRQPDISKAKQELLWSPKVSLDDGLKQTIEYFRSY--------- 91774153 ----KLLVTGGCGFIGSNFVRMMLQRDCDITNLDKLTYGNPENLKDIRFIKGDICDPHVVEK--AMENVDIVIHFAAESHVDRSIEDGDVFVRTNVLGTNTLLNCALRSDIKKFIHVSTDEVYGSTETGSFKEEDNLEPSSPYSSSKAGSDLLAMSYHTTYGLPVSITRCTNNFGP----------YQYPEKLIPLFITNLMESEKVPVYGTGL------NIRDWIHVDDHCSGIDFVLQ---NGNSGEVYNIGGGNELTNLDIHRVLKDLGKDESMIRYVEDRKGHDFRYSLDCTKLKK-MGWKPEYDFESALSSTVKWYVENRWWWE---- 226363371 ----RLLVTGGAGFIGANFVHQTVAEDVQVTVLDALTYAGNRRSLDAEFVHGDVADFALVDRLVAAS--DAVVHFAAESHNDNSLADPTPFVQTNVIGTFSLLQAVRAHDV-RYHHISTDEVYGDDDPERFTESTPYNPSSPYSATKASSDLLVRAWARSFGVRATLSNCSNNYGP---------YQHVEKFIPRQITNLIDGVRPRL-------YGSGKNVRDWIHVDDHNAAVWTILEKGTL---GQTYLIGADGEVDNRTVVGTLLEVFGRDNDLDFVTDRPGHDLRYAIDSTRLRTELGWTPQYDFRSGLEATVRWYRDNESWWRPQK- 300312049 ---KRWLITGVAGFIGSNLLARLLTLGQQVTGLDNFSTGRQANLEEVRAEEGDICDAATC--LRACDGADYVLHQAALGSVSRSIEDPVRTNLNNVNGFLNMLVAARDAGVARFVYAASSSTYGDHPGLPKVEEVIGKPLSPYAVTKYVNELYAEVFARCYGMESIGLRYFNVFGRRQDP-----HGAYAAVIPQWVTAMIRN-------GELRINGDGQTSRDFCY-IDNVVQANLLAATAGEEAVNQVYNVALNDRTSLNDLYAMMRELLLQEHRPSYVGFRAGDIRDSQADIGKARQRLGYAPTHRLRAGLEQAMSWYIDH--------- 225028235 LKNKTVFVTGSAGFIGSNLVLELLQSPIHIIGLDNMNDYYDVNIKEWRLKEIDLANKAIIDKIFAEHKPDIVVNLGAQAGVRYSITNPDAYIQSNMIGFYNILEACRHSYVDHLVYASSSSVYGTNKKVPYTEDKVDNPVSLYAATKKSNELMAHAYSKLYNIPSTGLRFFTVYGP----------AGRPDMAYFGFTNKLLKGETIQIFN------YGNCKRDFTYVDDIVEGVKRVMQGAPEKKNGEDYNIGNSNPENLLDFVTILQEEYDFEAHKELVAMQPGDVPVTFADTSALERDYGFKPDTSLRTGLRHFAEWYKEFYN------- 237748594 ---KSILVTGGAGFIGSNFVPYFAEKEYFIINLDKLTYAECETMTNYKFVQGNICDEILVQSLFEKYDIRGVIHFAAESHVDNSIKGPKSFMETNFMGTFNLIENARRHWMCRFHHISTDEVYGTGETGYFSEETPYAPNSPYSASKAGSDFIVRAYHYTYGMNVTTSNCSNNYGP----------KQHSEKLIPTIIRKCLAEDPIPVYGKGL------NVRDWLYVMD----HCCAIDLIFHEGKSETYNVGGNNERNNLEIVHAVCAILDKEALISFVTDRPGHDLRYAIDANKLKNDLGWKANENFDTGIVKTIAWYLN---------- 142986820 ----KVLVTGGLGYIGSHTVVELIDNNYEVVIIDNLSNSELFILVRPIFYNMNLLDSQGLEEVFNSHKIDGIIHFAAFKSVSESVKNPFKYYNNNLNSLMNVLNFMNNKNIKNIVFSSSCTVYGQPDKLPVSEEPFKKATNPYAKTKQISEGMLEDFINFNNVRGISLRYFNPVGAHESALIGELPKGPDNLVPYITQTAAGVREELSVFGKDYNTHDGTAIRDYIHVEDLAKAHFNFLNDSKDKNNYEFFNVGTGIGYSVLDVINSFENINNLKLNYFFKDRRDGDIEEIYSDVKKSKKVLKWKSKRSLDDMMSSAWRWQKN---------- 256827945 ---KRVLITGGSGFLGSHLCERLLDEGCEVICVDNFFTSNIEHLLPNPRFELIRHDVTEVDEIYNLACPASPIHYQH---------DPVQTIKTCVHGAINMLGLAKRLRIPIFQ-ASTSEVYGDPDVHPQPESYWIGHRSCYDEGKRCAESLFFAYHRQHGLPIKVGRLFNTYGPRM--------HPNDGRVVSNFIMQALQGKPITIYGD------GSQTRSFCYVDDLVELMLRFM--RNDHEFCGPLNMGNPGEFTILELAQQVIEMTGSSSKISLEPLPTDDPKQRKPDITLARERYGWEPQVGLREGLVQTIAYFQNHPNGIPDE-- 139023471 ----NILITGGAGYIGSHTALSLIDRGYSVSIIDNLITGKKRLIKKAKYFNFDIGNKEEITSLLNKNTFDIVIHFAGLIKVDESVRYPEKYYFNNFEKSKIFFDCCFENKIKKIIFSSTAGVYGNNNSTNIKESDNLLPLNPYAESKLKIETFLIENSKKKGISYTILRYFNVAGADKEKRSGLISDSSTNLIKILCEVATNKKKEIIINGNDYETKDGTAVRDFIHVSDVAEMHSIVAEYLYKNNKSGIYNCGYGRGFSVKEVIKEMENIVERKLNVQIGPRREKDIP-------------------------------------------- 296393693 ----RALVTGGAGFIGSTLVDRLLADGHEVAVLDDLSSGRAENLAQAQRNDVDV-NSPQVADVLMDSKPEVVFHLAAQIDVRKSVADPANDAQVNVLGTVNVALAAVAAGARKIVFTSSGSVYGDPTSFPVHEQTPVAPLSPYAASKVCGEIYLNMFRRLHGLDCSHLALANVYGPRQNP-------HGEAGVVAIFAGALLAGKPTKVFGAGSNTRDYVYV---------DDVVDAFIRAAGAAGGGERFNIGTGVETSDLQLHALVAQAAGVADAPEFAPARLGDLARSCLDPAKAAQVLGWEPTVDVAEGVRRTVEYFR----------- 6478779 ----RMLVTGGAGFIGSQFVRATLHEDARVTVLDKLTYSGNPANLRYTFVQGDTVDPRVVDEVVAGH--DVIVHFAAESHVDRSIDTATRFVTTNVLGTQTLLEAALRHGVGRFVHVSTDEVYGSIASGSWTEDTPLAPNVPYAASKAGSDLMALAWHRTRGLDVVVTRCTNNYGP--------YQYPEKVIPLFVTNILDGLRVPLYGDGAH--------RRDWLHVSDHCRAIQMVMNSGRA---GEVYHIGGGTELSNEELTGLLLTACGTDWCVDRVADRQGHDRRYSLDITKIRQELGYEPLVAFEDGLAATVKWYHENRSW------ 114762149 ---KTALVTGSAGFIGFHLSKRLLNEGYRVIGFDAMSDYYDVVLKQRRHVIAHTEDEGRLTELCVSERPEIIVHLAAQAGVRYSLEAPRSYVQSNLVGALELLEAARAAPPKHLLMASTSSVYGANTEMPYRETAKADHMSFYAATKKANEAMAHSYAHLYGLPITMFRFFTVYGP----------WGRPDMALFKFVKAILEGRPIDIYNHGDMRRDFTYIDDLIEGLVRLIGQPPVLGAPIEGAPWRVLNVGHGTPERLEDFVTAIEQALGTPALRNYMDMQPGDVPATWADNALLTRLTGTLPRTPLADGVAAFVDWYKSYY-------- 158521027 LQKKTILVTGGAGFIGTNFIYHALDASFRIVNLDALLCGGNASNLRYRFVRGKVQDGALLDRLFAEEQFAGVFHFAAQTHVDRSITDPGDFVESNVVGTFRLLDTCLKYWDFRMVHVSTDEVYGLGSEGRFSETSPYDPSSPYSASKAGSDHLVKSYVRTYGLPAMVTNCSNNFGP----------YQYPEKLIPLMIASILNEEPLPVYGDG------KNVRDWLYVLDHCEALMRVFEAGR---PGESYNIGGGQEYENIELVHMLCDLVDSRRLVRFVTDRPGHDRRYAIDASKIKHALDWSPRHDFTRALDQTVTWYLSNRQWL----- 302875845 ---KTYLVTGGAGFIGSNFVLYMLKKYNDVINVDVLTYAGNENNPNYKFVQANICDKDAITKIFEENEVDYVVNFAAESHVDRSIKNPEIFAETNVLGTVNMLNCAKNSWGVKFLHVSTDEVYGLGETGFFMETTPLDPHSPYSSSKAGSDLMVKAYGDTYKMPINITRCSNNYGP----------YQFPEKLIPLVINNCLNKKPIPVYGDGMNIRDWLYVEDHCKAIDMVIRDGRLGEVYNVGGHNER--NNMHIVKTIIEYVHDNVDSTVDESLITYVEDRKGHDRRYGIDPTKIKEELGWYPETPYEEGIKLTIKWFLDNKEWIEN--- 118474945 ----KILVTGTAGFIGFHLSRELAKRGDEVVGFDCINDYYDINLKYARFIKADLSDLKTMQKLFENGSFDCIVNLAAQAGVRYSLINPHAYINSNILGFTNILECCRNYGVKNLVYASSSSVYGLNEKMPFTHESVNHPISLYAASKKSNELMAHTYSHLFGLSTTGLRFFTVYG--------EWGRPDMALFLFTKAALEGKAIDVYNYG--------KMKRDFTYVADIVKGVMKCVDNPASNAPYKIYNIGNNSPVELMDYIKAIEIKLGREIKKNLMPLQAGDVPSTYADVSDLVEDFNYKPNTSVNDGVARFVQWYMDYYK------- 224063780 -----VLVTGAAGFVGTHVSSALKRRGDGVLGIDNFNDYYDPTLKRARQVEGDINDVALLKKLFDIVPFTHVMHLAAQAGVRYAMQNPGSYVHSNIAGFVSLLEVCKDANPQAIVWASSSSVYGLNTKVPFSEKDRTDPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVFGP--------WGRPDMAYFFFTKDILKGKSIPI-----FEAANHGTVARDFTYIDDIVKGCLGSLDTAEKSTGSGVFNLGNTSSVPVTDLVSILERLLKVKAKRNVMKPRNGDVPYTHANISYAQKEFGYKPTTDLQTGLKKFVRWYLSYY-------- 224283995 FEPRNILVTGGCGFIGANFVRY-VARNHHITVLDKLTYGNPENIAGLPPSQVDICDVALLERIVPGH--DAIVHFAAESHNDNSIANPEPFIRTNVEGTMRLLEAARQHDV-RFHHISTDEVYGDDDPARFTEDTPYRPSSPYSASKASSDHLVRAWVRTYGLRATISNCSNNYGP--------YQHVEKFIPRQITSIMEGARPKL--------YGTGENVRDWIHTEDHSRAVWAILTRGRIGETYLIGADGEMSNIAVLRMI--LQLMGQPEDAFDWVHDRPGHDRRYAIDASKLRAELGWSPHTDFASGLRNVIDWYAEHRDWWAPAKE 284161894 -----ILVTGGAGFIGSHVVDRLIDNGYDVVVVDNLSSGNPNYVNENTFYKLDLNDFDKLMEVFRKHKIEEVWHIAANPDVRVGSENPDEIYRNNVSATYVLLEVMRKNNVKRLVFTSTSTVYGEAKVIPTPEDYPTIPISIYGASKVACEAMIASYCHTFDMKAWIYRFANVIGKRSNHGVIYDFIMKLKKNP----------------NELEILGNGEQNKSYIYISDCVDAMFFGLKADDWVN---IFNIGSEDQIKVKRIAEIVCEEMGLNPKFRFGGDRKGDVPVMLLSIEKLKS-MGWKPRYNSEQAVRMAVK-------------- 258654323 ----TALVTGAAGFIGSTLVDRLLADGQRVLAVDDFSRGRMENLRFAQTIRLDIGDPR-LAEVMAAARPEVVYHLAAQVDVRCSVDDPVTDARINVLGTIAVADAARAAGVRKIVFTSSGSIYGVPDRLPVDEGAALQPRSPYAVAKVAGELYLNAYSGLHGVQCTHLALANVYGPRQDP-------SGEAGVVAIFTHALLTGRPTRLFGDGSNT------RDYVFVEDVAAA---LQAAAAPGWDRVRFNIGTGRQTSDRELHSVLAGLAGAPDEPTHAPARPGDLHHSAVDSTRAHRDLGWTPEHTLAQGLRRTVN-------------- 135369131 ----HVFITGVAGFIGANAAEQLLASGHQVTGIDNLNDYYDPALKQARFVKGNIQDTGVLANLFADNGFDAVIHMAAQAGVRYSIDNPRSYLDSNLIGTFELLEAARAHPPKHMLLASTSSAYGANTEMPYQEVQKADHMSFYAATKKAAESMAHSYAHLYALPITMFRFFTVYGP----------WGRPDMALMKFTRNILNGDPIDVYNHGNMRRDFTYVDDLVKALTLLLPQAPNDTPIANVADSRVVNIGNNKPVKLTDFISEIEKAIGKTAVQNLIEMQAGDVPATWADTTLLEALTGYVTRTDIKTGVKAFVDWYQDYY-------- 135343339 ----KILVTGAAGFIGYYLSLSLLERENLVIGVDNLNNYYNPRLKKARLEEGDISDRVFIKNLFDDNQFDVVVNLAAQAGVRYSLDNPEAYADSNLVGFLNILEGCRHSEVKHLVYASSSSVYGMNVKQPFHIDDRVDPISLYAATKKSNELMAHAYSYLYDIPTTGLRFFTVYGP--------YGRPDMAYYKFTKALISGE--------SIDIYNDGVMKRDFTYISDIVEGVVRVIDRIPEYKNNHSYNIGNNKPVSLKRFINSIENSVGLKAKRNLMPMQPGDVIETHADIDDLIEDIEFKPRISIEEGIDKFVYWYQ----------- 94987508 ------LITGGCGFIGTNFIQLALKKDVYIVNLDNLTYAGNPYNLKEIFIHGDIGNATLVQSLLQSYSFDAIINFAAESHVDRSITNALPFITTNILGTQILLDAARAMNVPKFIQISTDEVYGLGINGKFSEKTPLSPNSPYSASKASADMLVHAAYKTYGINTIITRCSNNYGP--------YQFPEKLIPLMIHRALMDESLP--------IYGDGLQVRDWIHVEDHCRGILLVLEKGR---PGNIYNFGGSAEQTNLAVVKEILHLLNKPNSIHHVKDRPGHDRRYAMDFSKAAKELGYMPQITFNKGLAATVNWYLSHRDWVQN--- 3256059 ----RLLVTGGAGFIGSHYVRTLLGDGAVVTVLDALSYANLDPVRDHPFVHGDICDADLVR---VMAGQDQVVHLAAESHVDRSLLDAAAFVRTNAGGTQTLLDAALRHGVAPFVQVSTDEVYGSLETGSWTEDEAVRPNSPYAASKASGDLLALAMHVSHGLDVRITRCSNNYGP--------YQFPEKLVPRFVTLLLEGRKVPLYGDGLHV--------RDWLHVDDHVRGIEAVRARGRA---GRVYNIGGGTSLANRDLVDLLLKACGAGWRVEHVPDRKGHDRRYSVDASRIRRELGHVPATDLSTGLAATVAWYRDNRAWWE---- 138392589 ------LVTGGAGYVGSHIIELLIKKKNKVFIYDNLVTGYKKLIKKAIFIKGDIKNFKLLAKTINENDINSIIHLAGYLNISEGQKNKKKYYKNNVIGTLNLVKACKNSNVKNIIFSSSCSVYGNIKGS-VSEKKIPKPKSYYAFTKYKSEQIIKEYEKEFNFKYGILRYFNVAGASQSGNIGEIERSYGHLIKNLAIQSTKSKPKVDIFGNNYNTKDGTCIRDYIHVSDLADIHILALKKISGSKKSLILNCGYGKGYSVKEIVEIFKKIK-KGVVVKYRKKRIGDVAQIYSDNSKLKKILKWKPNLTI----------------------- 206889840 MSNKRILITGGAGFIGSHLCEKLLSEGHEVLCVDNFYTGKRANIAHLSNPNFEILRHDITFSLY--VEVDEIYHLACPASPVHYQFDPVQTIKTAVHGSINMLGLAKRTKA-KILLASTSEVYGDPTVHPQQETYWIGPRACYDEGKRCAETLFFDYHRQHKVRIKIARIFNTYGPRM--------HPNDGRVVSNFIIQALKGEDITIYGD------GSQTRSFCYIDDMIEGLIKLM--NSENDFTGPVNLGNPFEISILELAKKIIELTGSKSKIVFKPLPDDDPKRRQPDITLAKQKLNWQPFTLLEEGLLKTIEYFRK---------- 143391523 ------FITGGAGFIGSNFAHYVSDIWKDVVILDKLTYAGDMDNLYYPVKGVDLAYESRLEELFKQYKPKTIFNFAAETHVDNSINNVAPFIDTNVIGTLNLLNLSVKYNVEMFHHISTDEVYGALEEPAFTEKSPYNPQNPYAASKAASDHFVMSYHNTYGLPVMITNCSNNYGPR--------QHREKLIPKTINNILEGKKIPIYSQGE--------NIRDWIYVEDHCAGI---LGISYAGDVGQKYNIGGECEMTNLELVKMIIKLMNAEDLIEFVDDRPGHDLRYAIDNAKIYKTISFQPEFNIEDGLKKTIDWYEKN--------- 15678403 -DGKCVLVTGGAGCVGSNLTGNLAKAGANVIILDNLSSSYEWNIPEYEFVKGDILDDEVLKRVFK-ERPDYVFHLAAHFANQNSVDNPEKDLLVNGLGILKVLEYAQLVGVERFVYSSSCGVYGLDSKIPFEEHDISISLTPYQVTKLLGELYTNYFHNLYEMPIVNARFFNVFGPGEVPGKYRNVIPNFFYWAMNQQ-------------PLPITGDGSETRDWTFVEDIVRGLMAMGVRREAIGEA--INLGSGTEHQVIEMAGIINELTENPAGVVYRPRRDWDAKRLLSSIDKARRLLDYEPQVSFREGLERTHRWFTENWE------- 218510377 ----RYFITGTAGFIGFHLARRLLQDGHEVVGFDGMTHYYNIKLKHMRNVTAMLEDRNALEKAVAIAKADVMVHLAAQAGVRYSLENPKAYLISNLEGSWNILEIAKDVGVNHLMLASTSSIYGANPTVPFRETDRDEPLTFYAATKKSMELMAHSYAHLHKIPTTAFRFFTVYGP----------WGRPDMALFKFVKNMLEDQPIEIYGEGKMSRDFTYIDDLVEAIVRLRISDEGVETLSHQAPYRVVNIGGGQPESLMTFVETVEKALGQPAKRKMLPMQKGDVPRTFASPDLLVALTGYKPETTLDVGVKAFADWYLE---------- 294054631 ----RILVTGGAGFLGSHLCDRLLEQGNEVICLDNYFTGRKRHLFGNPDFEIDVIDKVEVDQIYNLACPASPVHYQ---------YNAIKTIKTSVMGAINCLGLAKRTGARVFQ-ASTSECYGDPSVHPQPESYWIGIRSCYDEGKRCAETLFMDYHRQNGVDIRIVRIFNTYGPRM--------CPDDGRVVSNFIVQALQGNDITVYGEGQQT------RSFCYCDDLLN---GFLKLMNQDELTGPVNIGNPGEFTILELAEKVIALTGSQSKIIFEPLPADDPKQRQPDITLAREKLGWEPTVSLDEGLKPTIAFF------------ 125973876 LRNKIIFITGVAGFIGAYFAKQLLDDGITIIGIDNMNDYYDVKLKESRLEKGNIADKELINNIFNTYHPQIVVNLAAQAGVRYSITNPDAYIESNIIGFYNILEACRHSYVEHLVYASSSSVYGSNKKVPYTEDKVDYPVSLYAATKKSNELMAYTYSKLYNIPSTGLRFFTVYGP----------AGRPDMAYFSFTNKLAQGKKIQIFNYGDMYRDFTYIDDIVKG--IVLVLQKVPEPMEDGVRYKIYNIGNNKPENLMHFVEVLEKCLMEEGIIELLPMQPGDVYQTYADVDDLVRDFGFKPSTSLEEGLSKFAKWYREFY-------- 136317892 MKQKVILITGSSGFIGSHLCKRLLKLNFKVIGIDMMNNYYSKKLKEDRFFKIDITKYNELKNIFTKFKPDIVVNLAAQAGVRNSLSNPQKYLNYNIKGFLNIIELSKKFNVLKLIYASTSSVYGLNKPPFKEKYLIDQPLQFYAVTKRTNELMAHTWSSLYKINTIGLRFFTVYGP--------WGRPDMALFKFVKNIYEGKPIDVYNFGNHV--------RDFTYVDDIINGIENSIKMSNSNVPSIVFNLGSGKNIKLLNFIKIIEKELGKKAVINYLPLQKGDVHSSLADIKRAQKFLNYNPIIRPEIGIKKFIDWFSGYY-------- 94264695 ------LITGVAGFIGSNLLETLLKLNQQVTGLDNFATGHQRNLDEVQFIEGDIRDPATCRR--ACRGSDYVLHQAALGSVPRSLEDPITTNGANIDGFLNMLVAARDAEVKRFVYAASSSTYGDHPALPKVEDAIGKPLSPYAVTKYVNELYAEVFARCYNFPSIGLRYFNIFGRRQD--------PEGAYAAVIPKWIAAMIQNETVF----INGDGETSRDFCYIDNAVQVNLLAATSPNLEAANQVYNVAVGERTSLNQLYQHLRDHLAPSYPHSHRDFRPGDVRHSLADISKAQTLLGYQPSHRIDEGLREAMGWYTNF--------- 168049777 -----VLVTGAAGFVGTHVSLSLKKRGDGVVGLDNFNDYYETSLKRARVVEGDINDETLLMSLFEVGQITHVMHLAAQAGVRYAVQNPRSYVHSNIAGLVNLFEVCKAANPQAIVWASSSSVYGLNNKVPFSESDRTDPASLYAATKKAGEGIAHTYNHIYGLSITGLRFFTVYGP--------WGRPDMAYFSFTQDILRG-----KAINIYTGSGGKDLARDFTYIDDIVKGCMRALDTAEKSTGSGVFNLGNTSPVTVPILVDILEKHLKQKAIRNIVKMRNGDVPFTHANTSSAQSQLNYHPTTNLDTGLRKFVKWYLSYY-GVGTDHR 264676244 -----VLITGCAGFIGMHCAKRLLEQGVPVLGIDNLNNYYDVALKHARFVELDLADRQGMADLFAEAAPSKVLHLAAQAGVRYSIDQPDDYTDSNLLGFGNILQGCRKHQVEHLVYASSSSVYGGNTRMPFAESDVDHPISYYAATKKANELMAHSYAHLYGIPTTGLRFFTVYGP----------WGRPDMALFKFTKAMLAGESIDVYGEGKLVRDFTYIDDIVEGIMRVLDKPATPDAGYDSAPYRIFNIGNNSPTVLMDYIAALEGALKITARKQMLPIQPGDMHSTSADTRALQAWVGFSPAMPVATGVQHFVDWYRSFYR------- 261415187 --KRSIVITGGAGFIGSHVVRLFVNKEYNIINLDKLTYAGNEGKPNYKFVKMDICDFDAFYKLMQDEKVDGIIHLAAESHVDRSIKDPFTFARTNVMGTLSLLQAAKLYWGKRFYHISTDEVYGAYGDDFFYETTKYTPHSPYSASKAGSDHFVRAFHDTYGMPTIVTNCSNNYGP----------YQFPEKLIPLFINNIRHKKPLPVYGKGENVRDWLFVEDHARAIDVIFHNGKIAETYNIGGFNEW-----KNIDIIKVVIKTVDKLLGRANLITYVTDRLGHDARYAIDSTKLQKELGWEPSLQFEEGIEKTVRWYLDNQEWLDN--- 135331605 ----KILITGCVGFIGFHLSKKLILNGHTVIGVDSINNYYGTKIKLDRFKKEDISKYFFLEKIFKKYKVDQVINLAAQAGVRYSIENPKAYLDSNLVGFFNILECSKKFKVKHLIYASTSSVYGNNSKLPFKESHADHPIQFYAATKRSNEIMAHSYSHLYGLPTTGLRFFTVYGP--------WGRPDMALFIFTKNIIEGKKIDLFNFGDHI--------RDFTYIDDIVEPIVRYNDPSESKAPFKIYNIGNNNPKKLREFLKAIENKVGKKAKINLKPLQKGDVYETYADTNKIYKLTGYKSKIDIDIGISNFVDWFRNYYK------- 144194728 ---QRILITGGAGFIGGAVVRHLLQHQAQVFNLDKLGYASDSTSIELVLKRADLADGEATAAAVQQADPDLVLHLAAESHVDRSIAGPEAFISSNVTGTFQLLQAVRAHWLFRFHHISTDEVFGLGATGRFSETTAYDPRSPYSASKAASDHLVRAWHHTYGLPVVLTNCSNNYGP--------WQFPEKLIPVVILKAAAGEAIPL--------YGDGLNVRDWLYVDDHVEAI---LLAATLGQLGASYCVGGDGERNNRQVVEAICTLMDHARLINLVKDRPGHDRRYAIDASRIRSELAWQPRHSFAAGLESTVRWTLEHRAW------ 242079893 -----VLVTGAAGFVGAHCSLALRARGDGVVGLDNFNAYYDPSLKRARQRDADINDAALLERLLSAVPFTHVLHLAAQAGVRHAMRAPQAYVASNVLVALFEAAARHADPQPAVVWASSSSVYGLNTEAPFSEDHRTDPASLYAATKKAGEAIAHSYNHIYGLSITGLRFFTVYGP----------WGRPDMAYFSFARSIVAGEPITLFRTADG---ADARRDFTYIDDVVRGCLGALDTAGKSTGSRVYNLGNTSPVPVTRMVAILEKLLGKKAHKRVVTMPNGDVPFTHANVSHAARDFGYRPATSLEDGLRHFVDWFVRYYK------- 94970831 ----RIVVTGAAGFLGSHLCDALLAEGHDVVGVDNFVTGSAGNLEHMAFVEHDICEP------FDVGAFDFLFQFASPASPIDYIEHGVETLKVGSVGNFNCLEYARRYNAG-FLLASTSECYGDPLEHPQRETYWIGPRSVYDEAKRFAEASTMAFFRYHGVNTRIVRIFNTYGPRL-------QVNDGRVISNLMKQALLGED-------MTIYGDGKQTRSFCYVADEVEGIL----RLSRTEEHFPTNIGNPKEFTILECAELVKEVTGSSSSIRFEPMPQDDPKQRKPDISKAKSLLGWEPRVSLEEGLR------------------ 143446247 ---KKIIVTGGSGFIGSNLVNFLIKKKYFVINIDKLTYSSNRNFKNYKHFKVDINNRSKLIDIVKKNKPSAIFNLAAETHVDRSIDSPANFIKTNINGTFNLLECKRNNIKPKLIHISTDEVYGDIKNNLRSENYKYEPSSPYSASKASADHLIKSYIRTYNLNAVISNCCNNYGP--------YQFPEKLIPKMISNIFNNKELPVYAKG--------DNSREWIHVQDHCEAL---LKLYLKGKSGESYNIGSGKNMKNIDLVKKILKICKNKTKIRFVKDRPGHDFRYALNSKKILKKLKWQTKIDFEAGLKETIRWYFNNRN------- 145361853 ----RIVVTGGAGFVGSHLVDKLIGRGDEVIVIDNFFTGRKENLVHFSNPRFELIRHDVVEPIL--LEVDQIYHLACPASPVHYKYNPVKTIKTNVMGTLNMLGLAKRVGA-RFLLTSTSEVYGDPLEHPQKETYWIGERSCYDEGKRTAETLAMDYHRGAGVEVRIARIFNTYGPRM--------CLDDGRVVSNFVAQTIRKHPMTVYGDGKQT------RSFQYVSDLVEGLVALME----NDHVGPFNLGNPGEFTMLELAEVVKEVIDPSATIEFKPNTADDPHKRKPDISKAKEQLNWEPKISLREGLPRMV---SDFRNRILNEDE 168048393 ----RIVVTGGAGFVGSHLVDRLIERGDSVIVVDNFFTGRKENVQHHFNPRFELIRHDVVEPLL--LEVDQIYHLACPASPVHYKFNPIKTIKTNVVGTLNMLGLAKRIGA-RFLLTSTSEVYGDPLEHPQKETYWIGVRSCYDEGKRTAETLAMDYHRGAEVQVRIARIFNTYGPRM--------CIDDGRVVSNFVAQALRKEPMTVYGDGKQT------RSFQYVSDLVEGLMRLME----GEHVGPFNLGNPGEFTMLELAEVVKEVIDPSATIEYKENTSDDPHKRKPDISKAKELLGWEPKISLKKGLPLMVEDFRK---------- 256772122 ----HLLVTGGAGFIGSHYVRTLLGPDVVVTVLDALTYAGNPANLRHRFVHGDICDADLVDRVMAGQ--DQVVHFAAESHVDRSLLDASAFVRTNVHGTQVLLDAALRHGAAPFVQVSTDEVYGSVEHGSWTEDEPLRPNSPYSASKASADLLALAHHVSHGLDVRVTRCSNNYGPH--------QFPEKLVPRFVTLLMDGHRAPLYGDGLHV--------REWLHVDDHVRGIEAVRTRGRA---GRVYNIGGGTTLSNRELVGLLLDACGADWRVEHVEDRKGHDRRYSVDSTRVQRELGFRPATPLASGLAATVAWYRDHRSWWE---- 136671266 -----------------------------------------------------VRDEAFLDQVFAEHSIDAVIHFAGLKSVGESVSKPLEYYDNNVNGSLVLARSMRKAGVKNIVFSSSATVYGDPAQVPITESSPTGTTNPYGRSKYIIEECFSDFDAENDWSITLLRYFNPVGAHPSGTMGEDPQGPNNLMPFIAQVAVGRREKLSIFGDDYATPDGTGVRDYIHVMDLADGHLAAINTLTNKAGLHIYNLGTGKGSSVLDMVNAFSEACGHEVAYEIHPRRPGDIAQCWASTEKAEKDLGWKATRDVAEMSIDTWRWQSKNPKGYDQ--- 68643794 LKNKTILVTGAAGFIGANLVMSLLQEENQIIGIDNLNDYYDVSLKEWVFIKGDIADSDLMEEIFETYRPQIVVNLAAQAGVRYSITNPDVYMKSNIIGFHTILEKCRKYQIEHLVYASSSSVYGGNTKIPFSEDAVDNPVSLYAVTKKTNELEAHAYSKLYNIPTTGLRFFTVYGP----------AGRPDMAYFSFTKQLIDKKPIEIFN------YGNCQRDFTYIDDIVEGVKRVMEDGLPIPPYAIYNIGNHQPENLLNFVEILQEKYDFSSAQKLVPMQAGDVVATYADISLLEKDFNFKPKTSLRNGLRNFAEWYRNFYQ------- 260887356 ----KYLVTGGAGFIGSNFVHYMMKADAEILCVDALTYGDYESLLRFRFAHCNICDREAIYALFRAEQPDVVVNFAAESHVDRSIETPEIFLQTNIIGTSVLMDACREYGISRYHQVSTDEVYGDRPDLFFTEETPLHTSSPYSSSKASADLLVLAYSRTYGLPVTISRCSNNYGP--------YHFPEKLIPLMIVKALADEQLP--------VYGDGANVRDWLYVEDHCRAIDLVLQKGRT---GEVYNIGGHNERANIDVVKVILRALKKPESISYVADRKGHDRRYAIDPTKIHTELGWLPETRFEDGIERTIAWYLENRAW------ 229492624 ----RLLVTGGAGFIGANFVHQTVATDVEVTVLDALTYAGNRQSLASVEERGNVADEPLVDDLVS--RSDAVVHFAAESHNDNSLADPEPFLRTNVMGTFSLLQAVRSHGV-RYHHISTDEVYGDDDPAKFTETTPYNPSSPYSASKASSDLFVRAWVRSFGIAATISNCSNNYGP---------YQHVEKFIPRQITNLLDGVRPRL-------YGEGRNVRDWIHVEDHNRAVWDILDRGKS---GETYLIGADGETDNRTVIATLLEIFGRKPDFDFVTDRPGHDLRYAIDSTRLQQELGWKPQYDFRSGLEATVEWYRDNEQWWRPQK- 307179856 ---KRILVTGGAGFVGSHLVDRLMLAGHEVIVVDNFFTGRKRNVHWIGHENFELVHHDIVRPLY--LEVDEIYHLASPASPPHYMLNPVKTIKTNTLGTINMLGLAKRVGA-RVLIASTSEVYGDPNEHPQAETYWIGPRACYDEGKRVAETLSYAYMRQEGVSVRVARIFNTFGPRM-------HMNDGRVVSNFILQALQN-------NSITIYGSGKQTRSFQYVSDLVD----GLVALMASNYTLPVNIGNPVEHTIEKFARIIKNLVGATSKIIELAAVEDDPQRRRPDISRAKKYLNWEPKVPLAEGLKKTIVYFTKHSQSYKNDHD 88705328 ---KTLLVTGGAGFIGSNFVHYWLGTHDRVIAYDALTYAGNEDNANFSFVQADICDEAGVTQLMRDESVDTVVHFAAESHVDRSISGPDAFIETNVIGTHSLLKAARSVWPHRFHHVSTDEVYGAPDAPGFFEAQQYQPNSPYSASKAASDHLVRAYHHTYGLQVSTSNCSNNYGP--------FHFPEKLIPLCLTNILRGRELP--------VYGDGSNIRDWLYVED----HCRGIELVLNKGEGECYNIGGNNEWNNLAIVKLLCERLDARFAVRFVTDRAGHDWRYAIDASRIMNELGYAPAETFETGIERTIDWYLAHEAW------ 15643395 -SGKRVLVTGGAGAVGSNLVRRLLDLGAFVIVIDNLSSGYTWLLPQDAFIEGDITNDVDLKRVFN-EEPEIIFHLAAFFANQNSVDYPEKDLWVNGFGTLKLLEYTRIYKVERFVYASSCSIYPSDAPMPFKEDLPISSWTPYQITKALGELYCNYFYKMYDIPITKARFFNSFGPGEVPGQYRNVIPNFIYWAM-------LGKPLPITGTGEET------RDFTYVGDIVDGLLRMGYYREAIGEA--FNLAAGREVKIKYLAEKVNELTGNTAGIVFKPRRKWDTPRMLASNEKAKKVLGFNPDPDFDGRLAETVEWFKQNWE------- 297560538 ----RALVTGGAGFLGSHLCERLLERGTRVVCLDNFATGRAQHLAGHPWFELMEAD---LTEPFTLEEPDTVFHLASAASPPDYLRLPVETLEVGSLGTRNALE-CAERHGARLVLASTSEVYGDPLEYPQRETYWVGPRSVYDEAKRYAESLTMAHHRARGADVGIARIFNCYGPRMRA--------DDGRMVPTFVNQALEGRPLTVAGDGHQT------RSLCYVDDTVR----GLIALADSETTGPVNIGSDEELSVLNLARVVLGVTGTRSEITFVERPEDDPHFRRPDIRLAEQALGWRPRVRLDEGLRRTVAYFVDQRDRYED--- 297839725 ---KNILITGAAGFIASHVANRLIRSHYNIVVLDKLDYCSNLKNLNPKFVKGDIASADLVNHLLITEGIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTQIRRFIHVSTDEVYGETDEDALHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPN--------QFPEKLIPKFILLAMRGQVLPIHGDG--------SNVRSYLYCEDVAEAFEVILHK---GEVGHVYNIGTKKERRVNDVARDICKLMDPEANIKFVENRPFNDQRYFLDDQKLKK-LGWSERTTWEEGLKKTMEWYTQNPEWWGD--- 7688726 ----RILVTGGAGFIGSEFVRATLRSGTQVTVLDKLTYSGNVDLRNYRFVRGDICDQDLVDDVVAGH--DAIVHFAAETHVDRSIGSAASFVRTNAMGTQVLLEAASRHRLGRFVHISTDEVYGSIPEGAWDEESPVAPNAPYAAAKAAGDLLALAWHRTHGLDVVVTRCTNNYGP--------YQYPEKLIPLFTTNVMDGQQVP--------VYGEGHNRRQWLHVSDHCRAIQLVL---LGGRAGEVYHIGGGTELTNLELAEQILKSCGAGWMVRHVPDRPGHDFRYSLDTTKIRTELGFSPRVAFADGLVETVEWYRDNRAWWE---- 300932527 ----KVLITGGAGFIGSTIASCCVDNGITPIILDDFSKGLRTFAAQHDYYEGDIADAAVLDRIFADHEIEDVIHCAAKIVVPESVAEPLDYYDNNVGKSIILLRELARHGVKRFILSSTASMYEPGEDYMVDETADTNPQSPYAASKALLERVMRDFAATGQMQCLALRYFNPIGADPK-MRTGLQDPHPTHVLGKMIEAHTTGGTFTVTGVDWPTRDGSGLRDYVHVWDLARAHVAALQRFDGNANYNIINLGTGTGTTVFELVDAFGDATGHPLKSQTAEARMGDVVGCATLTDKAERLLGWKAELSIADGVRSSMEWAEKLP-------- 116750779 ---RTWLVTGVAGFIGSNLLERLLQLDQRVVGLDNFFSGSPRNLAEGKWEEGDVRDPKACLEACRGV--DYVLHQAGFCSVPASIDEPALCNEVNVCGTVNMLTAARDLGVGRFVYASSSSVYGDSPELPEKEEVIGRPLSPYSVTKRTGELYAAAFAATCGLEAVGLRYFNVFGPRQAA-----GGAYGAVIPAWISAMIRGE-------ACFINGTGETSRDFCYVENAVQANLLAATTGNAQAVNQVYNVAFGESTSLNELFQMLLDRLSPDYRPVHRDFRPGDIMHSVADIGRARELLGYEPTHDVAAGLDAALEWYTRN--------- 91215490 ----TILVTGGAGFIGSNFLNLWVEQTYHFVNLDKLTYAGDLNNIKYTFIEGDICDTSLLETLFKEYKFEKVIHFAAESHVDNSISNPDAFIRTNITGTFNLLHQSYVLWMERFLHVSTDEVYGTNDGSLFTEDTSYAPNSPYSASKASSDMIARSYFHTYGLPVVTTNCSNNYGP---------HQHDEKLIPTIIRKAIQKQP-------IPIYGDGKNIRDWLYVDD----HCKGIDIALHKGKGETYNIGGKNERQNIYIATKISEILDHKDLIEYVTDRPGHDFRYAIDAGKIESKLGWCADETFESGIKKTVQWYLE---------- 134827227 --TKKILITGGAGYIGSHIAEVLIKNKKKVFIIDNLSTGYRKLIKKAKFFKLDIRQNKKIADIIKKNKIDSVIHLAANLIIGEGEKYPKKYYKNNVTRTNDLLKACKNTTIKNFIFSSTAAVYKDGQ-HKVNEKSLIKPKSIYGKTKIKAEKIIKSFCKKNKINYCILRYFNIAGASQSGKIGLINKSD-HLFKNFSREIMKKKPILKIYGSNYNTKDGSCVRDFIHVSDIAEIHHKVLEKINKLRKSKILNCGYNKGISVLEVANEFKKQTSKKVRIIITNRRKGDLVKIIASNVQLMKFINWRPK-------------------------- 222056010 ----RILVTGGAGFIGSHLCERLLASGNEVICLDNFFTGSKKNIEKLCDDRHDITEPIEVDRIYNLACPASPIHYQ---------YNPVKTIKTSVMGTINMLGLAKRVRA-RILQASTSEVYGDPQVHPQREEYWIGIRSCYDEGKRVAETLMMDYHRQNGVDIRIIRIFNTYGPRMAVNDGR--------VVSNFIVQALAGEDITVYGEGKQT------RSFCYVDDLVDGMMRMMECEDFIGP---VNLGNPTETTIVEFAHRIIQLTGSTSKIIYKDLPADDPKQRQPDISLAQQKLDWRPTVDVEQGLKKTIDYF------------ 159154711 ---RKILVTGGAGFIGSAVVRHIIRNTQDVVNLDKLTYGNLESLPRYAFEQVDICDRAELDRVFAQHRPDAVMHLAAESHVDRSIGSAGEFIQTNIVGTFNLLEAARAYWQHRFHHISTDEVYGDGTDDLFTETAPYAPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLMILNALDGKPLP--------VYGDGMQIRDWLFVEDHARALYQVV---TEGVVGETYNIGGHNEKANIEVVKTICTLLEYEDLITFVQDRPGHDVRYAVDAAKIXRDLXXLPXETXESGXRKT---------------- 144132580 -SRKRILVTGGAGFIGSHLCDRLLDQGHEVLCVDNLFTGHLHNNPRFEFVRHDVTFYLEVDEIYNLACPASPIHYQH---------DPVQTTKTSVHGAINMLGLAKRLRC-RILQASTSEVYGDPAQHPQTEDYWIGPRSCYDEGKRCAETLFFDYHRQHGLEIKVARIFNTYGPRM--------HHADGRVVSNFVVQALRGEAITLYGD------GSQTRSFCYVDDLVDGLMRLMASEADI--TGPINLGNPGEFTIRELAEKVIAETGSSSELAFRPLPQDDPKQRRPDITRAKSLLDWAPKVPLDAGLKPTVQYF------------ 145219440 --SKKVLVTGGAGFLGSHLCERLLAEGHDVLCVDNFFTGTKQNILHNPRFELMRHDYVEVDEIYNLACPASPIHYQ---------FDPVQTTKTSVHGAINMLGLAKRVKA-RILQASTSEVYGDPEVHPQHEGYWIGIRSCYDEGKRCAETLFFDYYRQHKLDIKVVRIFNTYGPRM--------HPNDGRVVSNFIVQALKGEDITIYGD------GTQTRSFCYVDDMVEAFLLMM--LTEVGFTGPVNVGNPGEYSMLELAEKTLTLVGGKSKIVYQPLPPDDPRQRKPDITIAESKLGWAPTVPLEEGLERTIGYFKEH--------- 168017100 -----VLVTGAAGFVGTHVSLALKKRGDGVVGLDNFNDYYETSLKRARQVEGDINDETLLKALFELGQFTHVMHLAAQAGVRYAMQNPGSYVHSNIAGLVNLFEICKAANPQAIVWASSSSVYGLNNKVPFSESDRTDPASLYAATKKAGEEIAHTYNHIYGLSITGLRFFTVYGP--------WGRPDMAYFSFTRDILRG-----KAINIYTGNGGKDLARDFTYIDDIVKGCVGALDTAEKSTGSGVFNLGNTSPVTVPTLVDILEKLKQKAKRNIIKMPRNGDVPFTHANISYAQSQFNYHPTTNLDTGLKKFVKWYLSYY-GVGTDHK 149634948 ---KRVLVTGGAGFIASHVILSLVENNYIIINLDKLDYCASLKNLEYKFIKGDICEAPFVKHLFETEKIDIVLHFAAQTHVDLSFVRTLEFTYVNVYGTHVLVSAAHEARVEKFIYVSTDEVYGGSLDQEFDESSPKQPTNPYASSKAAAECFVQSYWERYKFPVVITRSSNVYGPH--------QYPEKVIPKFISLLQHNRK--------CCIHGSGLQKRNFLYATDVVEAFLTVL---KNGKPGEIYNIGTNFEMSIMQLAKELIQLSETENWVDFVNDRPSNDMRYPMKSEKMHG-LGWRPKVPWKEGIKKTIEWYRENFHNWKN--- 149369387 --KKRVLITGAAGFLGSHLCDKFIAEGFEVIGMDNLITGDLKNIEHFKLPDFDFYHHDVTKFVHVPGKLDYILHFASPASPIDYLKIPIQTLKVGSLGTHNLLGLARVKGA-RFMIASTSEVYGDPKEHPQTEENTIGPRGVYDEAKRFQESITMAYHRFHGIDTRIARIFNTYGPRM-------RLNDGRVIPAFIGQALRGED-LTVFGDGMQT------RSFCYVDDEVDG----LYKLLMSDYTYPVNIGNPDEITIKDFAEEIIKLTGTDQKVIYKPLPKDDPMQRRPDISKAKEILDWQPQTSRAEGMKKTYQ----YFKSLSKEE- 136086979 ----RALITGGSGFIGSHLSDLLIELGHEVVVIDNLSNGRMENISHFSFYKLDITNLESIRPVFK--NIDWVFHLAGMADIVPSIENPKVYYDCNVTGTFNVLEASRSANIKKIVYAASSSSYGIPDSYPTPETADIRPQYPYALTKYMGEELLIHWSKTYKIPAISLRLFNVYGPRSRTT-------------GTYGAVFGVFLSQKINGKPFVVGDGTQTRDFTYVTDVA-------EAFLKAAEVSDTCKVLNVGSDNHYSVNYLVELLGGE--VVNIPKRPGEPNCTFADTSRIHEVLNWRAKVCFK---------------------- 136519480 --NMNILITGGAGYIGSHVCEELVKKTNKIYILDNLSTGHKRLIKKAYFIKGDIKNFPLIRKIIIKYKIQTIIHLAASLNVSEAENNKKKYYQNNIIGTKNLILSCKNSNIKNFIFSSSSSIYGNTKGG-VDEAKKPNPKMFYAYSKYEGEELIKKYSKKFNYNYAILRYFNVAGASKSGQIGDIETSHGHLIKNIAIESLKKKPEINIYGNNYPTKDGTCIRDYIHVSDLADIHIKSLNYLNLNKKSVILNCGYGKGYSVKQIVNIFKKI-KKNLIINYKPKRPGDVAQMYSNTKKLKQTFKWKAKFNINLIIKSAIKWEKK---------- 91774155 ------LVTGGAGFMPSHMCDLLLSKGHEVVCVDNLVTGNMDNMAHHMADKDNFTNHDISKPLFLDEDIDYIFHMASPASPVDYLEFPIQTLKVGALGTYNMLGLAKEKGA-RILLASTSEVYGDPLVNPQPEEYWIGPRGVYDEAKRYAEAITMAYHRYHNIDTRIVRIFNTYGPRMRGNDGRV--------VPNFVNQALKGEDITVYGDG------SQTRSFCYVSDEVEGIYRLMM----SDYCDPVNIGNPNEISVLEFAETVIELTGSSSNIIYCDLPQDDPKVRRPDITKAKKLLGWEPKVDLQDGLEKTVEYFRAVNRS------ 288917880 ----RILVTGAAGFIGSTVVDRMLADGHSVVGLDDLSSGRMENLAQASFEKGDIT-ATGLTDFVARVRPDVVAHLAAQIDVRVSVADPLRDARLNVLGTINVLEAARLAGASKVIHTSSGSIYGTPAVLPVSEAVAPSPESPYAAGKAAGELYLNVYRATYGLASTALALGNVFGPRQDP-------HGEAGVVAIFGTALLEGRPTKIFGD------GTTSRDYVYVADVADAFARCVPAEAANG--LRLNIGTAVETTVRDLHSRVARLVGVPDDPQFAAPRPGELQRIALDVALAQRAIGWHPQISLDAGLGHTIDW------------- 255533805 MKTKRVLITGAAGFLGSHLCDRFIKEGYHVIAMDNLITGDLQNIQHFGLENFEFAHHDVSKYVYVSGELDYILHFASPASPIDYLKIPIQTLKVGSLGTHNLLGLAKNKNA-RMLIASTSEVYGDPSVNPQPEEYWVGPRGVYDEAKRFQEAMTMAYHTFHGLETRIVRIFNTYGPRM-------RLNDGRVLPAFIGQALRGED-LTVFGD------GSQTRSFCYVDDLIEGIYRLLL----SDYALPVNIGNPDEITIRQFGEEIIKLTGTSQKLVLKDLPVDDPKQRRPDITKARAILGWEPKVSRAEGLKITYEYFKSLPQETNKEHK 310827385 LDHKTILITGAAGFIGSNLVIELLRTSVSIIGIDNMNDYYDVSIKAWRLEQIEIADKELIVNLFEKYKPEIVVNLAAQAGVRYSITNPDVYIESNLIGFYNILEACRHYPVEHLVYASSSSVYGVNKKVPYTEDKVDNPVSLYAATKKSNELMAHAYSKLYNIPSTGLRFFTVYGP----------AGRPDMAYFSFTNKLRAGETIKIFN------YGNCLRDFTYIDDIVEGVKRVIQGAPDKKTGEDYNIGNSKPENLLDFVQILSEEYNFENHKELVAMQPGDVTVTYADTSELESTFEFKPNTDLRTGLRRFAEWYK----------- 238619128 ----KFLISGGAGFLGSHLIENLANE-HEITIVDDLST--TKYIQLPKNVKL-IKDK--IENFKTNEKFDYILHLAARPSPEDYMNNPIETLLSNSIGTWNALEIARKSDA-IFMYTSSSEIYGNAEVLPIPEEYWIGVRSCYDEGKRFSEALTMAYYREYGLDVRIQRPFNVYGPRLR------EDGNYGRVISRFIYQALRGEDITVFGDGKQTRA------FLYVTDWVEATKKLLFSKGIKGIVLNI--GSDKEVKIIELARMIINLTKSKSNIKFLPPRPDDPSRRAADITKAKKLLNWEPKVSLEEGLRKTIDWFR----------- 217076952 ----KLLVTGCCGFIGSNFVYYYLEKHKEAQILDNLSKLSDDQKKRFEFVRGDINNGELIEYLIEKYDIDVIVNFAAESHVDRSIYNPREFLETNVLGVQKWNKNGKWIEGKKFIQISTDEVYGTGPSGKFTEKTPISPRSPYSASKASADLLVLAYHETYGMPVNITRCSNNYGP---------YQFPEKLIPLMILNALEHKN-------LPIYGDGRQIRDWIHVLDHCRAIDLVIEK---GKVGEVYNVGADNEWENIKIVRKIIEILREENLIKHVKDRPGHDRRYAIDSTKIQHELGWKPEITFEKGLRDTIKWYLDNKEWIEK--- 150400813 ----KIVITGGAGFIGCNFVRMMVNKDYEIKVLDNLSYAGSLDNLKDIFIKGDITNKEAVENSLK--DADAVIHFAAESHVDNSIENPENFVKTNVFGTYNLLECARKNDIDKFLHISTDETYGSIERGSFKETDRLDPASPYSASKAGSDLLVSAYHTTYGLNTLITRSSNNFGP----------YQYPEKLIPVLIKNAIYNNPLPIYGDGL------NVRDWIFVED----NCSGVDVVFHKGEGEVYNIGGGNEKTNLEITKLIKELNKPEELITFVKDRLGHDRRYSIDTNKTKA-LGWEPKWEFEDALKYTVKWYLEN--------- 136099339 ---QKILVTGGAGFIGSALVRRLVP-THQVLNFDKLTYAAQPSALAAQCVQGDICDEALLRQTLSIFQPDLVFHLAAETHVDRSIEGPSAFIHSNVLGTFHLLEAVRSYWAFRFFHVSTDEVYGDDESLPATELHRYAPSSPYSAAKAGSDHLVHAWQRTYGLPTLMSHCSNNYGP--------WQYPEKLIPLLISKALAGEPLP--------IYGDGLQQRDWLYVEDHVDALLYISKHAPS---GERYNIGGTKSLTNLALVQQLCQELDHAIPIQHVTDRAGHDRRYALDCSKLTN-LGWQAHTSWQQGLQQTVQFYLA---------- 142167334 IRTMRILITGGSGFLGSHLSERLLNEGHEVICLDNFFTGRKRNILHFELVRHDVIDKAEVDQIYNLACPASPVHYQ---------YNAIKTIKTSVMGAINCLGLGKRVNARVFQ-ASTSEVYGDPEVHPQPEAYWVGIRSCYDEGKRCAETLFMDYHRQNGVDIRIVRIFNTYGPRM--------CPDDGRVVSNFIVQALQNKDITVYGEGQQT------RSFCYCDDLIEGFIRLMNQDETIGP---MNIGNPGEFTILELAEKVIAQTGSSSKIIHEPLPTDDPKQRQPDITEARRVLGWEPKVSLDEGLKPTIAYF------------ 310783835 -----VLVTGADGFIGSHLAEALVREGYVVVGLYNLDRIAPELATKLDVALGDVRDPRWVRG--AMEGCEAVLHLAALIAIPYSYRAPDSYIDTNVKGTLNVLQAARELGVRRVIHTSTSEVYGTARYVPIDENHPLQGQSPYSASKIAADQLAYSFYASFGVPVVTVRPFNTYGPRQS---------ARAVIPTIISQIASGAREIRL-------GALSPTRDFNYVADTVSGFLAAL--ASDAGVGEVANLGSNYEISIGELAELIADVMGAKVSICCEEERLSEVERLWADNRKAMELFGWEPKYGLRRGLEETVRWFSD-PRNLA---- 283488503 ---KNILITGAAGFIASHVANRLVRNDYKIVVLDKLDYCSNLKNLLPKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTQIRRFIHVSTDEVYGETDEDAVHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPN--------QFPEKLIPKFILLAMRGKVLPIHGDG--------TNVRSYLYCEDVAEAFEVILHK---GEVGHVYNVGTKKERRVIDVAKDICKLMDSETSIKFVENRPFNDQRYFLDDQKLKN-LGWSERTVWEDGLKKTIEWYTQNPDWWGD--- 190410051 ---KSILVTGGAGFLGSHLCELLLGAGHEVICLDNFSTGLRRNIAPLKRYDHDIVDPIDLE-------VDEIYNLACPASPPHYQADPIQTTKTCVIGSLNLLDLAARRGARIFQ-ASTSEIYGDPQVHPQVESYWGNPRSCYDEGKRCAETLFFDYQKVHGVETKIVRIFNTYGPRMR--------PDDGRVVSNFIVQALKGENITIYGDGSQTRSFCFVDDLIDGFVRLMGSPASL--------TGPVNLGNPTEFTIGELADEVIRLTNSRSKIVRLPLPVDDPRQRRPDISLATKELGWRPKVNLAEGLAQTIRYF------------ 194689432 -AGMSVLVTGAAGFVGTHCSLALRRRGDGVVGVDNFNAYYDPSLKKARRVEGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPASYVHSNVAGLVTLLEACKDADPQAIVWASSSSVYGLNDKVPFSERDRTDPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGP----------WGRPDMAYFSFTRNILQGKPITVYRGKD---HVDLARDFTYIDDIVKGCLASLEKKRGPAPYRIFNLGNTSPVTVPNLVSILEKHLRVKAKKNVVEMPNGDVPFTHANISLAREQLGYKPTTNLDVGLKKFVKWYLSYY-------- 170757627 LKGKKVLVTGAEGFIGSHLTERLIELGADVTALVQYNSFNNWGWIDTFDKRVDVREYDGMKRIIKGQ--DVVFHLAALIAIPYSYLSPMAYVRTNVEGTANVLEACRDYDIQKIVHTSTSETYGTALYVPIDEKHPMQGQSPYSATKIGADKIAESFYRSFSMPIATIRPFNTYGPRQS---------ARAVIPTIISQILAGKTEIKL-------GSLAPTRDFNYVKDTAEAFIKIAESDKTVGE--VINAGSNYEISIGDTVKKIIDIIGKDVDIFCDDERISEVNRLWADNSKIKELTEWRPQYSLDCGLKETVQW------------- 221124296 ----KILVTGAAGFIGMHTCLRLLERGDEVFGIDNLNDYYEVSLKEARFKKIDVAHAHDINEVFEDFKPARLVHLAAQAGVRYSIQNPKAYLDSNLVGFGNILEGCRHNGIEHLVYASSSSVYGGNTNMPFSEHDSVHPVSLYAATKKANELMAHTYSHLFRLPTTGLRFFTVYGP--------WGRPDMAPILFTKAILAGXXXXXXXASTTY----------------------------------RIFNIGNNRPTPLLEFIDAIETSLGKVAVKTLLPIQPGDVETTAANTDELNRWVGFKPKTDIRDGVANFVNWYKAFYK------- 126741097 ---RRVLITGTAGFIGFHLARLLLAEGFRVHGFDGMTDYYDVTLKQRRHTEGMLEDNALFDQMADDFAPDVIVHLAGQAGVRYSLENPRSYIDSNITGTFNVMEAARRLEVQHLLMASTSSVYGANEEMPFVETEKADTLTIYAATKKAGESMGHSYAHLWNLPTTMFRFFTVYGTWGRPDLALYKFVDAILDERPIDIYNHGEMYRDFTYVDDLVRAIRLLIDTVPERPATRAEIPSWDSLSPVAPYRVVNIGNSDKVRLLDFVDAIEAALGKKAIRNYMEIQKGDVPATWANADLLNNLTGYRPQTDFREGIACFVDWFRDYY-------- 143453442 MKKIKSIVTGGAGFIGSNLVDRLVKEGQKIIVLDNFVSGKRSNLSHHLKKDVEILDISNTKKLDKYLKDVSVFHLAGLAEIVPSIKNPKKYFINNVLGTLNILEASKKAKVKKFIYAASSSCYGSPKKIPTPETEKIHTQHPYAFTKFLGEEAVIKYADFFKMPNISCRFFNVYGPRLNT------TGQYGAVFSNFLTQKKRKRPLTIVGNGKQT------RDFIHVDDLTNAFIKLARSNL---KNKIYNLGSGKETSINKIADII------GGKKIFIPKRPGDPNRSCANISKIKKDIKWKPRISIIEGIDR----------------- 135627751 ----KILITGVAGFIGFHLAKSLLPTRHSILGIDNLNNYYDKDLKKIKFHQLDISELENLKLIFKEFKPDIILHLAAQAGVRYSIDHPDEYVNNNIIGFYNILELSKLFKVKKIFFASSSSVYGESKKECFSEDDVQKPSNLYAVSKKTNELMAYSYSNLYKLKCVGLRFFTVYGP--------WGRPDMAYFKFTKNIIDG--KSIKVFNNGNLFRDFTYIDDIVTAINKLLYLQNKTLFKDKVPYSI-INIGNNKPEKLINFIKTIEQKLQKKSKIIFLPMQPGDVFRTSADTKFLQELIGFKPNVSIKEGLSKF---------------- 142357604 MTKPVAVVTGGAGFIGSHMVDRLIEEGYRVRVIDDLSGGHDANLSHLRNEPADIRDIEPASSVFDDVQL--VFHFAGIGDIVPSIERPTDYMSVNVQGTVRVLEAARRNNARRFVYAASSSCYGLAE-TPTNESHPIECRYPYAMSKYLGESAVLHWGQVYGLPVNSIRIFNAYGVRSRTT-------------GAYGAVFGVFLRQKIAGHPFVVGDGSQRRDFLYVTDVVD---AFFRASVSPQLSRIWNLGAGAPQTVNRLVELL------GGRVEYIPKRPGEPDCTWADISRISSDLGWQPRVSFEEGVAMMLRW------------- 136192698 ----TILVTGGAGFIGSNFLHHLVTTDEEIVCIDKLTYAANWKYLPDDFYTIDIASKSACDTIFARHKIKTVFHFAAESHVDNSIKDCFPFIHSNIVGTVSLLDLALKYEVDKFIHISTDEVYGSIDYGSFTEHTNYTPRNPYSASKAASDHFVMAYHNTYGLPVNITNCSNNYGPMQY---------KEKMIPKIILNILNGKK-------IPVYGDGLQVRDWLYVQDHCTALIKVWKSGLI---GEKYNVGGECEITNIDLVKKILYIMNKETMIEHVNDRPGHDRRYSTSTSKIRNTLFWTPTYSLDYGLKKTIDWY------------ 303325426 ---KRVLVTGGSGFLGSHLCERLLDQGHEVICVDNFSSARANVEEFMDNKRFELIRHDVTFPLY--VEVDEIYNLACPASPVHYQHDPVQTIKTCVHGAINMLGLAKRLGA-RIYQASTSEVYGDPEVHPQTEDYWGHIRSCYDEGKRCAEALFFAYRRQGNLPIKVGRIFNTYGPKM--------HPNDGRVVSNFIIQALKNEPITIYGD------GSQTRSFCYVDDLVECMLRFMASSDD--FTGPMNMGNPGEFTIRELAEKVVALTGSGSVISYEPLPGDDPKQRRPDISLARKMLGWEPVVPLEEGLKKTVAYF------------ 284029537 ------LVTGGAGYIGAHVVRAFAADGIDVVVIDDLSSGKVDFLVDGPFVEANLLDTDVVRTTLDGV--SGVVHLAGYKYAGVSVDKPLHTYTQNVTAMVSLLTAMADTGVRNIVFSSSAGVYGTPKDEVVTEQTRPDPQSPYGETKLVGEWLLADQAKAVELRHTSLRYFNVVGSGDPKVYDASPYN----LFPIVCNLLTQGKVPQIYGTDHPTPDGTSVKDYVHVADLARAHVAAARALDSGKSLEPVYNGSGTGTSVRQIMDAARRVTGIDFTPTEGPRRPGDPSRVVASGELAARDLEWKNTHTVDEMFASAWEW------------- 15615926 --SKQILVTGGAGFIGSHFIEALLQHTYHITNVDVLTYAGKIENMSGFTKHADIGDADQLLGAFDRT-YDVIVHFAAETHVDRSIHNPMVFLETNILGTHNLLLAVLKGYAKKILYISTDEVYGGMKDVPFTEQSPLAANNPYSASKAGGDLLARSFYKTYQAPVIITRCSNNYGPRQD---------KEKLIPKIIANALTNKK-------IPLYGDGLQIRDWLYVTDHCRALTTIMEHGEI---GEIYNIGGGNERTNLEITKQILNILGKDHDINHVEDRKGHDRRYAINWGKIHKQLGWRPMVAFEDGLAETVQWYK----------- 157738042 --SKIILVTGCAGFIGSNFVPYFLEKNYNLVNLDLLTYAECENNPRYKFIKGDICNRELVEFIFSEYDIKGVIHFAAESHVDNSIKNPGVFVQTNVNGTYTLVDVAKKYWMCRFHHISTDEVYGTDPNDLFTEKTSYAPNSPYSASKASSDMIVRAYVETFGLNAVITNCSNNYGP--------KQHDEKLIPTIIRNALLGNPIP--------IYGDGKNIRDWLYVLD----HCKGIDLVYHKGKGETYNIGGRNERTNLQIVNRICTILDQEVPITFVEDRAGHDRRYAIDATKLENELGWKADENFDTGIVKTINWYLN---------- 88858020 LNKKKILVTGGAGFLGSHLCRRLIDQGHDVICVDNFFTGDKSNIIELMRHDVTFPLYVEVNEIYNLACPASPIHYQH---------DPVQTTKTSVHGAINMLGLAKRTGAKIFQ-ASTSEVYGDPIIHPQVESYWIGDRSCYDEGKRCAETLFFDYKKQHDVNIKVARIFNTYGPNM--------HPDDGRVVSNFIMQALQNKDITLYGQ------GTQTRSFCYVSDLIDAFLLFMDTPKE--VSGPINLGNPVEFTIRELAEKVIALTGSSSKITFAPLPNDDPKQRQPDISLAKKALNWEPKIHLEEGLIKTINYF------------ 262365264 -----VLVTGGSGYIGSHTCVQLIEAGYKPVILDNLCNSKSSVLARIHSYAGDIRDRTLLDSIFAAHPIHAVIHFAGLKAVGESVNRPLEYYNNNVFGTLVLLEAMRAAQVKNLIFSSSATVYGDQPQIPYVESFPTGPSSPYGRSKLMVEQILQDVQADPQWNMTILRYFNPVGAHPSGLMGEDPQGPNNLMPFIAQVAVGRRESLAIFGNGYPTPDGTGVRDYIHVVDLADGHVAAMKTLHGKPGVHIFNLGAGVGHSVLQVVAAFSKACGKPLAYHFAPRR------------------------------------------------- 146281202 -----ILVTGGAGFIGSNLVDALLVRGYAIRVLDNLSTGKRENLPQDERVELIVGDVADAECVRRSVQGCAVVHLAAVASVQASVDDPLGTHQSNLIGTLNLCEAMREAGVKRVLFASSAAVYGNNEGQAIDEGTAKAPLTPYAADKLASEHYLDFYRRQHGLEPVVFRFFNVYGPRQD------PSSPYSGVISIFTERAQRGRPIAVFGD------GEQTRDFIYVGDLVEVLVQALEA--ADAPEGAVNVGLNKATSLNQLLDAIGDVLGDLPEVSYQAARSGDIRHSRANNARLVQR--YEPPTSMREGLAR----------------- 50730649 ---KRLLVTGGAGFIASHVVVSLVRNNYLIINLDKLDYCASLKNLETVSEQGDICEPHFIKQLFETEKIDIVLHFAAQTHVDLSFWHALEFTYVNVYGTNVLVAAAHEANVEKFVYVSTDEVYGGSTDQEFDESSPKCPTNPYASSKAAAECFVQSYWERYQFPVVITRSSNVYGPH--------QYPEKVIPKFISLLQQNRK--------CCIHGSGLQRRNFLYATDVVEAFLTVL---KEGKPGEIYNIGTNFEMSIKELIHLIKKESEMEHWMDYVKDRPTNDLRYPMSSEKMHN-LGWRPKVPWKEGIKKTIEWYKENFHNWKN--- 143125442 MKKKKILITGGAGFIGSHVVRLFTTQNYHIFNLDKLTYAGNESLENYKFIKGDIVDSDLISALFEKYDFDGVIHLAAESHVDRSITNPMDFIMTNVVGTVNLLNAAKNTWGNNFYHVSTDEVYGSLGNTYFLEDTPYDPKSPYSSSKASSDHFVRAYGNTFSLPFVISNCSNNYGPN--------QFPEKLLPLFINNIIENRKLP--------VYGDGKFTRDWLYVIDHANAIDKIFH---NGKIGDTYNIGGFNEWKNIDLIELLCEKMDSKKLITFIKDRPGHDKRYAIDASKIKNELSWEPTLQFNEGLSKTINWYLNNKSWINN--- 158291675 ---KRILITGGAGFVGSHLVDYLMMQGHEVIVADNFFTGRKRNVHWLGHENFELIHHDIVNPLF--IEVDEIYHLASPASPPHYMYNPVKTIKTNTLGTINVLGLAKRVGAKVL-IASTSEVYGDPDVHPQPETYWIGPRACYDEGKRVSETLSYAYAKQEKVNVRVARIFNTYGPRM-------HMNDGRVVSNFIIQALQNQ-------SITIYGSGRQTRSFQYVSDLVDGLVSLM----ASNYTQPVNLGNPVERTIQDFAEIIRDLVGCKSKIIELPAVEDDPQRRKPDISRAKKYINWEPRVPLQEGLMKTIDYFRK---------- 143338796 ----KVLVTGGAGYIGSALVEKLINQGHQVNVIDDLSNGFLENINKATFFKGSILDDQLLNKALLGV--EVVFHLAAKIRVEEGEVLPELYQEVNVDGTLKILELSNSLGIKKFIFASTAAVYGEPSDYPVNESTVTNPVNVYGRTKLEIDQYLAKNANSLGLSSISFRFFNVAGAVQSADRWLKIKHEGATHLIPSILRSSESSPLLIYGNDWPTKDGTPIRDFVHISDLVDALVLALVK-ADSAGNEVINLGTSIGSTVLEVAKAAEVALKRKIYYQIAPHRPGDSFALVTSNSKARQLLDWNPTRDILNILEDA---------------- 136702864 ----KVLITGADGFIGSHLTEALVKNGHDVRAFVFYNSGWLDHVSKSIREEIDIRDPNGVRTAMK--DCDAVLHLAALIAIPYSYHSPDTYIDTNVKGTLNVLQAARDLGVKRVIHTSTSEVYGTARFVPITEEHPLQGQSPYSASKIGADQLAYSFYASFNLPVVIARPFNTYGPRQS---------ARAVIPTIITQVANGQRQIKL-------GAVSPTRDFNFVKDTVAGFVSALE--GNGGLGDVINFGSNFEISIGDTAQLIAEVMNKEIEIVTDEARLSEVERLWADNSKAKQLLNWQPAYGFKRGLTETAEWFI-NPANIA---- 182416971 ----KIVVTGGAGFIGGNFVHYMMNKDYKIVVVDSLTYAGNKDNANFSFYKIDIADRNAVYEMFEKEIPDIVVNFAAESHVDRSIEDPEIFLKTNIMGTQVLMDACRKYGIKRYHQVSTDEVYGDRPDLFFTEETPLHTSSPYSSSKASADLLVGAYYRTYGLPATISRCSNNYGP--------YHFPEKLIPLMIANALNGKKLP--------VYGTGENVRDWLYVEDHCSAIDLIIH---NGRVGEVYNIGGHNERSNLQVVKTILKELGKEELITYVGDRKGHDMRYAIDPTKIYNELGWLPTTTFDDGIKRTIKWYLDNKCWWEN--- 136402637 MSNETWLITGGAGFIGANFVHLAHAQNAKLVILDALTYARMKTLLEDPFEKCDLVDAEAVQNVFDRHTPDHVIHFAAESHVDRSILGPTAFIKSNIEGTAHLLMAAKQWNNHRFIHVSTDEVYGTPEDPPFSEESQYAPNSPYAASKAASDHLVRAWHQTYGLPAIITNCCNNYGP--------WQFPEKLIPLFVSNAVEEKPLP--------VYGDGMQIREWLHVDDHCEAIMTVLQKGV---PGEVYLVGGGAELPNIEIIQEICKEGDTAKLITYVEDRLGHDRRYAISGDKILRELGWQAKRPFNEGLYETVKWYLENTQWVES--- 84488897 MEDLRCVVTGGAGFIGSHITETLLENNVKVTIIDNMTTGNIENLKNLDHDRIELGDIRTLDMKPILENHDYLFHEAALISVFESIEQPKATNKTNIDGSFNVLQAAYESNIKKVISASSAAVYGETEVLPNVETLPLQPLSPYAVSKALLELYSYTFTQTYHLPTACLRYFNVFGPRQ-----KADSPYSGVIPKFISALLNNETP-------VIYGDGEQTRDFIYVKNIAKANYEVAINDV----TGVFNIAHGKTTTINELLEIICEIMGYDCNPKYLPQKDGDIRDSVADISKAEETFGFKSEHDFKKELKETINYYVN---------- 309810341 ----RIVITGGAGFLGSHLSETLVARGHEVVAVDNLVTGRRANVTQLEASRFELIEHDVTEPFDVGGDVDGILHFASAASPVDYLKLPIETLRVGSQGTQNALELAVRKGA-RLVFASTSEVYGDPQVHPQPETYWVGPRGVYDEAKRYAEALVLAYREARGVDAGIVRIFNTFGPRMR--------PNDGRAIPNFVRQALAGEPVTVSGDGDQT------RSICYVDDLVAAILAML---LETHDPGPVNIGNPHEISMRDLAQWIIELAGSSSTLEFIERPTDDPSVRRPDTTKAQRLLGWSPQVPVETGLQRTIEWFR----------- 137055190 ----KILVVGGAGYIGSHMVKRFQDTDHEVEILDNLSTGFELNAQNYKLHICDLSNKDLVYQILKENKYEIVMHFASYINVGESYSQPKKYYKNNVTNTLNLLDCMIDLKILNFIFSSTAAVYGEPQDIPITEAQKISPVNPYGNTKAIVEKILKDYDEAYGLKYISLRYFNACGAHIDGTIGERHNPETHLIPLILQAASGRKKNFTIHGDDYDTKDGTCIRDYIHVMDLAEAHLLSLEDLIKTQNSEIYNM-------------------------------------------------------------------------------- 237817146 -ARRHVLVAGGAGFLGSHLCERLLNEGHSVICVDNFSTGRIENIRHFSFIRHDIVNTLDLR-------VDEIYNLACPASPPHYQADPVHTMKTNVIGSLNLLELAAHYKARIFQ-ASTSEVYGDPHVHPRPENYWGNPRSCYDEGKRSAETLFHDFHQQYGVDIRIVRIFNTYGPRMR--------PDDGRVVSNFIVQALKGEDITVYGDGSQTRSFCYVDDLIEGFHRLMYSPHAIRVPVNIGNPGEFTVGA--------LAEQIIAMTGSRSRIVYYPLPVDDPRQRRPDITVAKRELGWEPTVALAQGLEPTIAYF------------ 135813365 ----KILITGAGGFIGSHLTEKLVREGYNVKAMVEYNSLNSWGWLEQCDPDGDIRYSDSVEKALNNTN--VIIHLAALIGIPYSYVSPQSYIDTNIKGTHNLLQSAIKNGVEKFIHTSTSEVYGTAKKIPIKENSTLDGQSPYAASKISADQLVNSYFKSFQLPTLTIRPFNTYGPRQSN---------RAILPTLITQFLLNKKN-------LIIGNLSPTRDFNYIDDTVEAFSKALKIKKNFGETINI--GSGDEISIKNSIRVIEEIFKKKMVINYDKKRKSEVPRLCADNLKAKKILNWKPKYGFKKGLKKTIAWFSE---------- 262370983 ---KTWLITGVAGFIGSNLLEILLKLNQNVVGLDNFSTGHPEQWKNFKFYEGDIRNFEDCQTACAGVDF--VLHQAALGSVPRSIADPITTNAANITGFLNMLTAARDAHVSSFTYAASSSTYGDHPALPKVEENIGKPLSPYAVTKYVNELYAEVFARTYGFKTIGLRYFNVFGKRQDPN-----GAYAAVIPKWTAAMIAGD-------GVFINGDGETSRDFCFIENTVQANILAATTHDDEAKNQVYNVAVGDRTTLNDLFNAIKAALNENGVIVYQDFRAGDVRHSQASVEKIKILLGYSATHKINEGIALAMKWYVK---------- 138098408 ------FVFGGAGYVGSFLA---YDSDPKVVVVDNFSTGNHFATAGKNLETIDLLDAAALRGAFERYRVNGVFHFAAKSLVAESAEWPLDYYRNNVVGTLNLIEACKRSCVKKIVFSSTAAVYGIPEQLPINEATPTQPINVYGRSKLFAEQMLHDAFAAHGISSVCLRYFNAAGAAPDGSLGEAHEPETHLIAIILRKLMSGDNKVKIFGDDYPTDDGTCVRDYIHVRDLADAHWRAMHWLDDNPGAHKFNLGTGQGYSVRQVMEACERVTGRKLDVEIAPRR------------------------------------------------- 126727009 -EQRKILITGTAGFIGFHLAQVMLDQGWLVVGYDGMSDYYDVTLKERRCEIGQLEDFDQLHALMMREKPDVIVHLAGQAGVRYSLENPRAYIESNIVGTFNVMECARELGVDHLLMASTSSAYGSNTEMPYAETHKADSLTIYAATKKANEAMGHSYAHLWNLPTTMFRFFTVYGP--------WGRPDMALFKFTKGIIEGT--------PIDIYNHGEMFRDFTYVTDLVKGISLLVDTPPVRPASEDVNIGNSDKVRLLDFVDAIEAEIGILAKRNYMDMQKGDVPATWANADLLQNLTGYKPETDVRAGVANFVAWYRDYYQ------- 29420388 MKYKKILITGVAGFIGSNLLEALLKLNQKVVGLDNFATGHAEQWSAFTFVEGDICEPETCVEVVKGV--DHVLHQAALGSVPRSIKDPITTNNTNISGFINMLVAAKDANVRSFTYAASSSTYGDHPALPKIEENIGNPLSPYAVTKYVNELYAQVFARTYGFKSIGLRYFNVFGKRQDPNGAYAAVIPKWTAAMINDEPL------------YINGDGETSRDFCFIENVVQMNILAAQSDASARD-QVYNVAVGDRTTLKQLFTVLKNALNYNKEPEYKDFRAGDVRHSQADITKAKTRLGYEPQFSISEGILKAMPWYV---NSLGNKK- 298490156 ----KIIVTGAAGFIGSHLVDILLQRGEEVIGIDEFNDYYDPVLKRKNIIEGDIR---FLELPGICQNVEIIYHQAAQAGVRSSWKGFRAYTERNINTTQVLLEAAKDRNLKRLVFASTSSIYGDAETLPTNEEIHPKPVSPYGITKLAAERLCGLYQKNFGVPFVSLRYFTVYGPR--------QRPDMAFNKFFKAVLEDEAIP--------VYGDGQQTRDFTFVSDAVAANLAAA--TVPEAVGEIFNIGGGSRVVLAEVLDTMSEIVGKPIKRNHIEKAMGDARHTAADVSKARKILGYEPRISLREGLQQEWEW------------- 116749620 ------LITGGAGFIGTNLIRRLSIPSVRIRVLDNLSAGRREDLDGFDVEQGDIQDAGAVHRAVAGAR--KVIHLAANTNVVQSVANPELNLDVNVRGTFNLLRASVEHGVERFVFASTGGAIVGDVTPPVHEDMPPNPISPYGASKLAGEGYCSAFWGAYGLPTVSLRFSNIYGP---------FSYHKGSVIAKFFREVQAGKPLTIYGD------GEQTRDFLFVGDLCQGIARALEAPLPFGGS--IQLGSGRETTVNSMVALMREAVGGDWFVTYAPPRAGEVLRNYVSTARAEKYLDFSPATDLPSGLTETWKWFK----------- 78222643 ----RVLVTGGAGFIGSHLCERLVSDGHEVLCVDNFFTGSKQNILRFELIRHDITEPILLE-------VDQIYHLACPASPVHYQYNPVKTIKTSVMGTINMLGLAKRVRA-RILLASTSEVYGDPQVHPQPETYWIGIRSCYDEGKRVAETLMMDYHRQNGVDIRIVRIFNTFGPRM--------AEHDGRVVSNFIVQALKGEDITVYGD------GSQTRSFCYVSDLVEGLVRTM---SCEGFTGPVNLGNPGETTILEFARRIIALTGSQSQIVFRPLPSDDPKQRQPDITLARTTLGWEPIVPLETGLTKTVDYFS----------- 255281083 LSGKTILVTGAAGFIGFSLIRRLCDADIRVIGIDNMNSYYDVSLKEARLRRGDIADKSFVEKIFSEYHPQIVVNLAAQAGVRYSIENPEAYISSNIVGFYNILEGCRHSYVEHLVYASSSSVYGANQKIPYTDDNTDYPVSLYAATKKSDELLAHAYAKLYDIPSTGLRFFTVYGP--------WGRPDMAYFSFTEKLRSGQKIPIFNHGH--------CMRDFTYIDDIVEGIVRVVQKAPSRKKGKDYNLGNGHPENLLDFADTLQAVDNFEKCREFKAMQPGDVAVTYADTVPFERTFGFKPSTSLRDGLRKFAEWY------------ 198466976 ---KRILITGGAGFVGSHLVDDLMIQGHEVIVVDNFFTGRKRNVHWLGHENFELIHHDIVNPLF--IEIDEIYHLASPASPPHYMYNPVKTIKTNTMGTINVLGLAKRVMAKVL-IASTSEVYGDPTVHPQPETYWIGPRACYDEGKRVSETLSYAYAKQEKVQVRVARIFNTYGPRM-------HMNDGRVVSNFILQALRNE-------TITVYGNGRQTRSFQYVSDLVDGMIALM----ASNYTQPVNLGNPVEQSIEEFAQIIKQLVGGPSPIKQTKAVEDDPQRRKPDITRARHYLKWEPRVPLERGLRQTISYFRN---------- 87201247 ----NLLVTGGAGFIGGNFVHYWAQQDDTIVVLDCLTYAGNRSTIAGVEVVGDIRDTDLVEKLLRERDIATLVHFAAESHVDRSITGPDAFIETNILGTNSLLKAARKVWAHRFHHISTDEVYGGPSDPAFSETTQYQPNSPYSASKAASDHLVRAYHHTYGLDVTTTNCSNNYGP--------YHYPEKLIPLFILNALSGKPLP--------IYGDGMNVRDWLYVEDHCRGIEAAL---KNGKAGETYNIGGGEELPNMAVIDRICAEVDRAFVKTFVEDRKGHDRRYAIDETKARAELGYVPQHDFETGLRGTLRWYFDNEAW------ 197124801 ---RRWLVTGGAGFIGSHLVQKLLSLRQDVIVLDDLSTGHLSNLDDATFIKGDIRDLATC--LRACAGVDLVLHQAALGSVPRSLKDPRTSHDVNVTGAMNVFLAARDSGARRLVYASSSSVYGDHPALPKVEDQVGKQLSPYAVTKYANELYAHVFGQAYGLELIGLRYFNVFGPRQD------PNGPYAAVMPQWFAGLLSGTEVVINGDGETT------RDFCYVANAVQANLLAATTSDREAVGRTYNVACGAQTSLNTLFELIRTEVARVRPPAYKPFRPGDIRHSLANVDRARALLGYVPTHDVAAGLREAAAWY------------ 167998570 ----TVLVTGAAGFVGTHVSLALKKRGDGVVGLDNFNSYYEVSLKRARQEEGDINDKFLIESLFDVVQFTHVMHLAAQAGVRYAMQNPQSYIHSNIAGLVNIFEVCKATNPQAIVWASSSSVYGLNTKVPFSEADRTDPASLYAATKKAGEEIAHTYNHIYGLSITGLRFFTVYGP--------WGRPDMAYFSFTRDILKG-----KPISIYSGAGGKDLARDFTYIDDIVKGCVASLDTAEKSTGSGVFNLGNTSPVTVPTLVDILEKYLKVKAKREIKMPRNGDVPFTHANISSAELQLHYKPVTNLDTGLKKFVKWYLSYY-------- 239930771 --------------------QHLLEAGHEVVVLDNLSTGFREGVPAGAFVEGDLREAA------EWLDPDGVLHFAAFSQVGESVVKPEKYWDNNVGGTMALLAAMRAAGVRRLVFSSTAATYGEPEQVPITESAPTRPTNPYGASKLAVDHMITGEAAAHGLGAVSLRYFNVAGA--YGQHGERHDPESHLIPLVLQVAQGRREAISVYGDDYPTPDGTCVRDYIHVADLAEAHLLAL-RAAEPGEHLICNLGNGNGFSVREVIETVRKVTGHPIPEVVAPRRGGDPAVLVASAAAAHEKLGWNPRTDLAEIVADAWQFARQ---------- 302764116 -----VLVTGAAGFVGTHVSLALKKRGDGVIGLDNFNSYYDPFLKRARQVEGDINNIALLRKLFDVITFTHVMHLAAQAGVRYAMENPGSYVHSNIAGFVNLLEACKEASPQAIVWASSSSVYGLNSKVPFSEIDRTDPASLYAATKKAGEEIAHTYNHIYGLSITGLRFFTVYGP--------WGRPDMAYFFFTKAILQG-----KPVNIYQGPNQVDLARDFTYIDDIVKGCFGALDTATPSTGTGVYNLGNTSPVTVPTLVAILEKHLKVKAKRNVVRMRNGDVPFTHANVTLARVELGYNPTTDLQTGLKKFVKWYQLYY-------- 300869223 ----RILVTGGAGFIGSHLIDRLMAQGNEVICLDNFYTGTKRNILKWMDIRHDITEPIRLEA-------DQIYHLACPASPVHYQYNPVKTIKTNVMGTMNMLGLAKRVKA-RILLASTSEVYGDPSVHPQTEDNCIGIRSCYDEGKRVAETLAFDYHRQNGVDIRVVRIFNTYGTRM--------LENDGRVVSNFIVQALRGIPLTVYGD------GSQTRSFCYVSDLVEGIMGLM----NGDNIGPMNLGNPGEYTILQLAQKIQEMVNPGTEIIYKPLPQDDPKQRQPDITRAKTWLGWEPKVPLDEGLKLTIDDFRD---------- 309789524 ---QNLLVTGGAGFIGSNFVHYMLEKSYRIVVYDALTYGRMENLEQAKFVRGDICDLEAVRAAVDAYQIDTIINFAAETHVDRSILAPDAVVRTNVNGTWALLEVARERKLERFHQISTDEVYGIPEPKRSREGDPLEARSPYSASKAGAEHLVYAYFITYGLPVTTTRGSNNIGP--------FHYPEKAVPLFTTNALDDLPLP--------IYGDGRQVRDYQFVLDHCEGIDLVLHK---GALGEIYNVGTEVETPNIEMAHKILDILGKPYSIQHVTDRAGHDRRYALDCSKLRA-LGWRSSHNFDQALERTVRWYVENEAW------ 237786451 ---RTVLVTGGAGFIGSNFVHYTRAHDVKVVVLDALTYGNPESLKPDANVVGDVCDQPLVDKLVESA--DQVVHFAAESHNDNSLREPAPFLRTNIEGTVTVASACVKYDVP-LHHISTDEVFGDGSDERFTESSPYRPSSPYSASKASADHIVRAWVRSFGLKATISNCSNNYGPRQ---------HPEKLIPRQIIGLIGGRRPR-------VYGTGENVRDWIHVDDHNSAVWTIIDAARRDPYGRTFLIGADGERSNLEIVGDLLEAFGRPRDFVHVTDRPGHDRRYAIDPTQLRTELGWEPTHFLADGLRETIEWYRSHRTWWE---- 284048368 ---RKILVTGGAGFIGSHVVPALLGRGDEVTVLDNLSTGKRAYVPEGARFRLDIRSRE-LTDFLMEEKFQTVIHLAAQTLVPYSMEHPEADEDLNVTGLVHVLEGCRKSGVEQIIFSSSAAIYGDNQNLPLLETEVPAPTSFYGLSKAVGEDYIRLYSRCFGLKHIIFRFANVYGERQGETGEG-------GVISVFARKIARKEPVTVFGD------GNQTRDFVYAGDIARAMSLGVGY----AGCATLNVSTNEEVSLNQLIGTMEKILGHKMDVHYGPVRPGDIYASVLSHQALVETLGMKEYTDLEKGLAGTLAYFQK---------- 142892649 MMTRTVFVTGAAGFIGAALSKRLLQRGDRVVGIDNLNDYYDPTLKQWRFERMSLEDGDALMNLCAAEHPSVVVNLAAQAGVRYSLENPAAYIQSNLVGFGHILESCRHNGVGHLVYASSSSVYGGNRNLPFHEGQAVHPVSLYAASKKANELMAHTYSHLYGLPATGLRFFTVYGP----------WGRPDMAPMLFARAILAGEPIKVFNHGRMQRDFTYIDDIIRCCDNPDFNALHPDPATASAPHRLFNIGNSQPTELLRFIEVMEQALGREAVKDFQPMQPGDVVATAADTHALERWVGFRPSTSIE---------------------- 144156463 MIQKRVLVTGGAGFLGSHLCDRLIDAGHEVLCLDNFYTGRRAHLLDHPRFELMRHDYVEVDEIYNLACPASPIHYQ---------RDPVQTTKTSVHGAINMLGLAKRTGA-RILQASTSEVYGDPAVHPQLESYWVGPRSCYDEGKRCAETLFFDYHRQHGLPIKVMRIFNTYGPRM--------HPDDGRVVSNFIVQALKGAPITLYGD------GSQTRSFCYVDDLVDGMIRLMEAPDS--VTGPMNIGNPGEFTIRDLAMLVLEMTGSASPLVELPLPENDPVQRKPDIAQARELLGWEPSMPLQKGLERTIAYF------------ 284046957 ----TCLVTGGAGFLGSHLCDELLRRGHRVICVDNLETGSLANIHHIRQPEFVHLNLDIIEPYFVDEPVDFVYHLASPASPIDYLRLPLHTLKVGSHGTHHTLGLAKKHRA-RFLIASTSEVYGDPQEHPQRESYWIGPRGVYDEAKRYAEALTMAYHRQQGVDTAIMRIFNTYGPRMR--------PHDGRAIPTFLRQALQDRPITVFGD------GSQTRSFCFVSDLIRGMIALAE----SGFHNPVNIGNPNEFTLLELARAVIEVTGSRSEIVFEALPTDDPQVRQPDIALAREVLGWEPEVALIEGLRRTID-------------- 297625252 ----RLLVTGGAGFIGSNFVHHVLDHDDTVVVLDKLTYAGNMGSLEGSFVKGDVCDRELVDKLVA--DADAVVHFAAESHNDNSLLDPAPFVQTNLVGTFSLLEAVRAHDV-RYHHISTDEVYGDDDPAKFEPETAYNPSSPYSSTKAGSDLLVRAWVRSFGVRATISNCSNNYGPRQ---------HVEKLIPRQITNIIDGVRPRL-------YGDGLNVRDWIHVDDHNAAVLTILDKGRYGETYLIGADGELNNKTVIEKI--LVAMGQPADAYDHVTDRPGHDRRYAIDSTRLRTELGWQPHYDFDAGLAHTIDWYRANESWWRPQK- 227502494 ------LVTGGAGFIGANFVRLMVRPDTRITVLDKLTYAGNRDNLAGLDVEGDIADATVVNPLVA--KADIVVHFAAESHNDNSLRDPSPFIHTNVVGTYTLLEACRTYDV-RFHHVSTDEVFGDGADTNFTEDTAYAPSSPYSATKASSDHLVRAWVRSFGLRATISNCSNNYGP----------YQHIEKFIPRQITNILSGHTPKLYGT------GEQVRDWIHVDDHNAAVLKIIE-EGRIGETYNIGADQPD-IDNKRIIEIICEIMGHTQGYEHVADRPGHDQRYAMDATKLRRELDWRPRTDIRAGLENTIAWYRDNETWWRSQKE 238928046 MKS---IVTGGCGFIGSHIVDRLLAEGHELIVIDNCSTGRMENLAHHQIVEADICDYGTIAPLFEGA--DWVFHMAALADIVPSIQKPQEYFHSNVDGTFSVLQAAKAANVKRFLYTASSSCYGIPDHFPTNEQADIRPEYPYALTKRLGEELALHWAKVYQLPVVSLCLFNVYGPRSRT---------SGTYGAVFGVFLGQKLAGKPY---TIVGTGEQTRDFTYVADIVD---AFLTAAKSDVIGERFNVGSEHTYSVNR---LVELLGGADAEVVHIPKRPGEPDCTWADTTKINKMLNWHPKVTLEEGVQHILE-HIDYWK------- 50659024 ---RRVVVTGGAGFVGSHLVDRLLEQGDSVIVVDNFFTGRKENVAHHRNPRFELLRHDVVEPIL--LEVDRIYHLACPASPVHYKYNPIKTIKTNVMGTLNMLGLAKRIGA-RFLLTSTSEVYGDPLEHPQKETYWIGVRSCYDEGKRTAETLTMDYHRGGGVAVRIARIFNTYGPRM--------CLDDGRVVSNFVAQALRKHPMTVYGDGKQT------RSFQYVSDLVAGLMALME----SDHIGPFNLGNPGEFTMLELAEVVKETIDPMSTIEFKPNTADDPHMRKPDITKAKQMLGWEPKVSLKEGL------------------- 261415366 LNGKAVLVTGAAGFIGCNLCKKLLNDYNELVGLDSITDYYDVNIKHWTFVKANLADKAAIDSLFEKYHFAVVVNLAAQAGVRYSITNPDAYIQSNLIGFYNILEACRRGEVEHLVYASSSSVYGSNKKIPYSTDDKDNPVSLYAATKKSNELMAHAYSKLYNIPSTGLRFFTVYGP----------------AGRPDMAYFGFTNKLKAGKTIQIFNYGKCKRDFTFVDDIVEGVVRVMQHAPEKQNGEDYNIGNNHPENLLDFVTILQEEYDFEAHKELVPMQPGDVPVTYADTTALEQDFGFKPATPLREGLRKFAEWYAE---WYGKEGE 260464155 ----RYFVTGTAGFIGFHLARRLLDEGHSVTGFDGMTPYYDVTLKQSRHHIGQLEDMDALRRAADLANPDVIVHLAAQAGVRYSIDNPRSYTDSNLVGSFNVLELARDLKPRHLLIASTSSVYGANETTPFVETDQTDPLTFYAATKKAVEAMSHSYSHLFQVPTTSFRFFTVYGP----------WGRPDMALFKFVDAIENGRPIEIYGEGAMRRDFTYVGDLVEAIVRLIGCAPVCGQPVSGPDVVDVNIGGGQPVELLSFIETIENCLAKRAIRKSLPMQSGDMSVTYADPRLLQTLTGFRPETSVEDGVAAFVRWYLQ---------- 143512321 ---KKIIVTGGSGFIGSNLVNYLIRKSYFVINVDKLTYSSNRKKKNYKLIRADINNKKKLTNIIKKYKPKAIFNLAAETHVDRSIDEPKNFIHTNVNGTFNLLETLRFKIAPKLIHVSTDEVYGDIQIGKRTEKHKYEPSSPYSASKASADHLINSYVRTYGIKAIISNCCNNYGP--------YQFPEKLIPKMISNILNGKNLPIYAKGM--------NSREWINVEDHCEALFT---LYLKGKNGESYNVGSGYNLRNIDLVKKILKIFKSKSKIKFVKDRPGHDFRYALNINKMVKKLKWKPKIHFEEGLRQTILWYLNN--------- 288554320 -SSKVYLITGAAGFIGYYMSKKLLEQGCVVVGVDNVNDYYDVKLKHARLEQGDISDKPMIDSVFEEYKPNIVVNLAAQAGVRYSIENPDVYIQSNIIGFYNILEACRYHPVEHLIYASSSSVYGANKKVPFEETDFVDPVSLYASTKKSNELMAHTYSHLYKIPATGLRFFTVYGPMGRPDMAYFGFTDKYF----------AGEPIKIFNNGDF--DHDLYRDFTYIDDIVEGIERLIGKPPVDAPHKVFNIGNNNPEKLMTFINTLERTLEVQFEKVYEPIKPGDVPATYASTDLLQEAVGFKPKTSIEEGLQQFADWYVEYYK------- 224119002 ---KNILITGAAGFIASHVCNRLIRNDYKIVVLDKLDYCSNLKNLLPKFVKGDIGSADLVNFLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTQIRRFIHVSTDEVYGETDEDAVHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPN--------QFPEKLIPKFILLAMQGKDLPIHGDG--------SNVRSYLYCEDVAEAFEVILHK---GEVGHVYNVGTKKERRVIDVAKDICNLMDPDKSIKFVENRPFNDQRYFLDDQKLK-ILGWSEHTTWEEGLRKTIEWYTQNPDWWGD--- 168002599 ----TVLVTGAAGFVGTHVSLALKKRGDGVVGLDNFNSYYEVSLKRARQEEGDINDKFLLESLFEVVQFTHIMHLAAQAGVRYAMQNPLSYVHSNIAGLVNIFEVCKSANPQAIVWASSSSVYGLNTKVPFSEADRTDPASLYAATKKAGEEIAHTYNHIYGLSITGLRFFTVYGP--------WGRPDMAYFSFTRDILKG-----KPISVYSGPGGKDLARDFTFIDDIVKGCVASLDTAEKSTGSGVFNLGNTSPVTVPALVDILEKYLNVKAKREIINMRNGDVPFTHANISSAQEQLHYRPVTNLDTGLKKFVKWYLSYY-------- 142560073 ------LITGCAGFIGFHLVKKLKNK-YEIDGIDNLNDYYDKNLKYINFKEIDISNFVKLSNFFLKNKYDCVIHLAAQAGVRYSFQNPQSYINSNILGTFNILELIKKNKNSHFVFSSTSSVYGIRDDKPFTESSNTNPISLYAATKKNCEVLIHNYSYNFKIPSTILRFFTVYGP----------WGRPDMALFKFIKSTINKEPIDVFNDGNMWRDFTYVEDLVESQIPLEKNRVKDDSLSNVAPYRVVNIGNQNSVNLNDFIKTLENIMKVKIKRNNLTMQPGDVSFTLSNSSLLRTLTGFTPSTSVEEGITKFYEWYLNY--------- 143921716 ---KKVIVTGGLGFIGSNLIELLLEKKYKVINIDKNTYSSNKKNKNYSFIKCDIANR-KLAKIINKHRPIGIFNLAAETHVDRSIDGPESFIQSNIIGVFNILEIFRKFSVKRLIHISTDEVYGDILAGRTKENYPYNPSSPYAASKASSDHLVSSYVRTYKIPAIVTNCSNNYGP--------KQHPEKLIPKLIYNIITNKKLP--------IYGKGLNSREWIYVKDHCEALIKVFEK---GKIGNFYNIGSNKNVINLDISKKLIKILGKNVKIEFVKDRPGHDVRYALNSSKIIKELKWKPKTNFSSGLKQTLEWYLNN--------- 75909435 ----KIIVTGAAGFIGSHLVETLLKQGEEVIGIDEVNDYYDPLLKRKPNFTFIEGDIQFLDWPSLLQDVTVVYHQAAQAGVRASWKGFRAYTERNINATQVLLEAAKDQQLTRFVFASTSSVYGDAETLPTHEGIPPQPVSPYGITKLAAERLCGLYHKNFGVPFVALRYFTVYGPR--------QRPDMAFHKFFKSVLQDEAIP--------VYGDGQQTRDFTFVSDAVAANLAAASVPTAVGE--IFNIGGGSRVVLAEVLDTMEQIVGQPIKRNHIEKAMGDARHTAADVSKARKILGYEPQVSLREGLSLEWQW------------- 150010193 MEKRNILITGGAGFIGSHVVRLFVNKDCHIINLDKLTYAGNENRQNYTFVKADICDFIKIQELMVQYEVDGVIHLAAESHVDRSIKDPFTFARTNVMGTLSLLQAAKLYWGKMFYHISTDEVYGAYGKDFFYENTKYNPHSPYSASKASSDHFVRAFHDTYGMPTIVTNCSNNYGP----------YQFPEKLIPLFINNIRHRKPLPVYGRGENVRDWLFVEDHARAIDLIFHQGKVADTYNIGGFNEWKNIDIIKVIIRTVDRLLGRREGEDMDLITYVTDRLGHDARYAIDSTKLQKELGWEPLYQFEEGIEKTVKWYLENQEWMDN--- 119489819 ----RILVTGGAGFIGSHLIDRLMEQGHEVVCLDNFFTGTKRNLVKWELIRHDITEPIRIEA-------DQIYHLACPASPVHYQYNPVKTIKTNVLGTMNMLGLAKRVKA-RFLLASTSEVYGDPDVHPQTEDNPIGIRSCYDEGKRVAETLAFDYHRQNGIDIRVARIFNTYGPRM--------LENDGRVVSNFVVQALQGIPLTVYGD------GSQTRSFCYVSNLVD----GLMRLMNGDYIGPVNLGNPSEYTILQLAQKIQQMVNSDAEIQYKPLPQDDPRQRQPDITKAKTYLNWEATVPLEEGLKLTI--------------- 260436557 ----RVLVTGGAGFIGGAVIRRLLQETVTVFNLDKMGYASDEEVLRHRLQKVDLTNAAAVEAAVQEADPDLVMHLAAESHVDRSICGPGVFIESNVNGTYNLLQAVRSHYAFRMHHISTDEVFGLGPEGRFSETTPYDPRSPYSASKAASDHLVQAWHHTFGLPVVLTNCSNNYGP--------WQFPEKLIPVVTLKAAGGESIPL--------YGDGLNVRDWLYVEDHVDAL---LLAACKGASGRSYCVGGHGERTNKEVVHAICQQLDHADLITPVTDRPGHDRRYAIDPGRISSELGWRPRHNVEEGLAETVHWYLSHQEWCSKVRE 225461808 ---KNILITGAAGFIASHVANRLIRNDYKIVVLDKLDYCSNLKNLLPKFVKGDIGSADLVNFLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTQIRRFIHVSTDEVYGETDEDAVHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPN--------QFPEKLIPKFILLAMRGKPLPIHGDG--------SNVRSYLYCEDVAEAFEVILHR---GEVGHVYNIGTKKERRVIDVAKDVCNLMDPETSIKFVENRPFNDQRYFLDDQKLK-ILGWSERTTWQEGLKKTMEWYINNPNWWGD--- 225461808 --------------------------------------------------RGRLEDRASLLADIQNVKPTHVFNAAGVTGRPWCESHKPETIRANVAGTLTLADVCREHGLLMMNFATGCIFEYDAAHPFKEEDTPNFAGSFYSKTKAMVEELLKEFDNVCTLRVRMPISSDLNNPR-----------NFITKISRYNKVVNIPNSMTVLDELLPISIEMAKRNCRGIWNFTNPGVVSHNEILEMYKSYIDPNFKWANFTLEEQAKVIVAA-------------------------------------------------------------- 255327300 ----HILVTGGAGFIGSNFVHHLIDNDHTITVLDKMTYAANAASLEGLPESGDIADRELADELVG--KADAVVHYAAESHNDNSLSNPEPFIHTNLIGTFSLLEAVRKHG-TRYHHISTDEVFGDDDPAKFTETTPYNPSSPYSSTKAGSDLLVRAWVRSFGIEATISNCSNNYGP----------YQHIEKFIPRQITNILSGLTPKLYGEGL------NVRDWIHASDHSSAVLRILEQGKIGETYLIGADGEENNITVLRTI--LRLMGKDENDFEHVVDRPGHDLRYAIDGSRLRAELGWEPKTDFESGLADTIKWYTDN--------- 195012348 ---KRILITGGAGFVGSHLVDDLMIQGHEIIVVDNFFTGRKRNVHWLGHANFELIHHDIVNPLF--IEIDEIYHLASPASPPHYMYNPVKTIKTNTMGTINMLGLAKRVMAKVL-IASTSEVYGDPTVHPQPETYWIGPRACYDEGKRVSETLSYAYAKQEKVQVRVARIFNTYGPRM-------HMNDGRVVSNFILQALRNE-------TITVYGNGKQTRSFQYVSDLVDGMIALM----ASNYTQPVNLGNPVEQTIEEFAKIIRLLVGGTSEIKQISAMEDDPQRRKPDITRAKKRLNWEPKVPLEAGLRQTISYFRN---------- 22298207 ----RILVTGGAGFIGSHLVDRLMEAGHEVICLDNYFTGTKRNILRWIGHRHDVTDPIRLE-------VDQIYHLACPASPVHYQYNPVKTIKTNVMGTLHMLGLAKRVKA-RFLLASTSEVYGDPLVHPQPESYWIGIRSCYDEGKRVAETLTFDYHRQNNVEVRVARIFNTYGPKM--------QVNDGRVVSNFIVQALQGIPLTVYGD------GSQTRSFCYVSDLVEGLIQLM----NSDHIGPVNLGNPDEYTVLELAQKIQALINPGVEIQFKPLPSDDPQRRRPDITLARTVLGWQPTISLLEGLQRTI--------------- 288560644 -QDKTILVTGGAGCVGSNLTRKLAELGAEVIILDNMSSAYEWNVPTNENVQGDILDDEELKRVFKM-KPDYVFHLAAHFANQNSVDNPETDLMVNGIGILKVLQYAQLTGVERFVYSSSCGVYGLDSKMPFEEHDISISLTPYQVTKLLGELYTNYFHNLYDMPIVNARFFNVFGPGEVPGKYRNVIPNFFYWSMTKQA-------------LPITGDGTETRDWTFVGDIVNGLLSMG--VEEEAIGEAINLGSGKDHRVIDMANKVNQLTGNEEGIAYVARRNWDAKKLLSSIDKAKDILGYKPTVSFDDGLERVYGWFTDNWEDIERDAE 139016575 MAKQRVLVTGGAGYIGSHTCKLLAKEGYDPIVVDNLSTGNKWAVKWGPLEICDIRDNDALSLVMDKYKPEAVAHFASCCYVGESVENPEKYYNNNVSGMLSLLKTMNRKQVRKIIFSSSCSTYGNPQYIPIDETHPQTPINPYGFTKYICERILSDYHRTLDIDFVALRYFNAAGADPEAEIGELHEPEPHLVPRILNAAIGK---------------------------------------------------------------------------------------------------------------------------------- 186474029 -SRKRILVTGGAGFLGSHLCERLVALGHDVLCVDNFYTGTKDNIA----HLLDCANFEMMRHDVTYVEVDEIYNLACPASPIHYQHDPVQTTKTSVHGAINMLGLAKRVGAKIFQ-ASTSEVYGDARVHPQKEDYWIGPRSCYDEGKRCAETLFMDYRRQHGLEIRIARIFNTYGPRM--------HPADGRVVSNFVMQALSGEPLTVYGDGSQTRSFCFVDDMIDAFIRLMNLDAYPD--------GPVNLGNPHEVSMLDIAQRIVEITGSSSAIEFRPLPIDDPWHRQPDIARARQLLAWQPQTSLGDGLAETVRYFSALLQSAS---- 162452033 MSTPRYLITGGAGFIGSNLVAALTAAGERVRVLDNLATGRWENLDGLPHITGDIRDAAAVATAAKGV--EVILHQAALGSVPRSVESPIESNSVNVGGTVTVLDVARRQGVRRVLFAASSSAYGETPVLPKHEGMEPMPLSPYAVTKLACEHYMKVFAGIYGIETLSLRYFNVFGPNQT------PDGAYAAAIPRFVDAALQNRPIPIFGD------GEQTRDFCFIENTVLANLLGA-TSSKKFKGEVINIAGGRRIGLNELCKEISRALGRDVAVEHLPARAGDIRHSLADISRAAELIGYEPRVRWEDGIVPTV--------------- 307720181 -SNKTILVTGCAGFIGSNFVPYFLDKDYNIVNLDLLTYAEAQNNPRYKFIKGDICNRELVEFIFNEYDIRGVIHFAAESHVDNSIKNPGIFIETNVNGTFTLLDVAYKYWMPRFHHISTDEVYGTNETDLFTEETPYAPNSPYSASKASSDMIVRSYQETYGLNTVITNCSNNYGP---------KQHDEKLIPTIIRKALAGEN-------IPIYGDGKNIRDWLYVLD----HCKGIDLVYHSGEANVYNIGGKNERTNLQIVNSITSILDEKVPITFVEDRAGHDRRYAIDATKLENELGWKADENFDTGIVKTVNWYL----------- 143050841 ----KYLVTGGLGFIGSNLSRHLKNSSNEVHIIDALTYSGNEYKKNIHLYKVSLLEKSKIKKIITDYQPDVIMNLAAESHVDNSINDPSIFIQTNILGTFNLLEASRLYMDHLFMHISTDEVFGDKSKKLFKESSKYDPSSPYSASKASSDHLVRAWGRTYNLKYIITNCSNNYGP--------YQYPEKLIPHMILSALKGLSLP--------IYGNGLQIRDWLHVLD----HVKGLELISKKGYNSTYLLGGDNQITNLSIVQEICSLMDSKFRITFVQDRPGHDKTYGVDISTIQKNLGWKPTVSFKTGLRKTIDWYLNNQKWWE---- 119387745 MTHRT-LVTGGAGFIGSHLVEHLAAAGERVVVLDNLSSGKPENLPQVELIAGDITDGALVGELVQGV--DCVFHLAALVSVQECIKDWELGHRINLDATLFHAAARARPGGVPVVYASSAAVYGDRSGSTCCETSLPAPISPYGVDKLGCEHQARAMAEIHKLRSVGLRFFNVYGPRQD------PASPYAGVISKFCANRLADSPHTVFGDGL------QSRDFIYVADIVEGLVRARAYAQGQEGAAVFNLCTGAETTLVGLASEIDGIADRPTPIIHADPRSGDIRMSLGDPSLAARDLGFTARTDIRSGLSRLWA-------------- 125625378 ----RLLVTGGAGFIGANFVHQTVTEDTRVVVLDALTYAGNRASLRIDFVHGDVADAELVNRLVA--DADAVVHFAAESHNDNSLAQPWPFVQTNIVGTFALLQAVREHDV-RYHHISTDEVYGDPDDPAFTETTPYNPSSPYSASKASSDLLVRAWVRSFGVRATISNCSNNYGP---------YQHVEKFIPRQITNLIDGVRPRL-------YGAGHQIRDWIHVDDHNRAVWAVLERGRI---GQTYLIGANGELDNKSVVQQLLAEFGRPDDFDHVTDRPGHDQRYAIDPTLLRTELGWEPRYDFRAGLAATIQWYRDNESWWRPQK- 73989418 ---KRLLVTGGAGFIASHVIVSLVEDNYMIINLDKLDYCASLKNLEYKFIQGDICNSHFVKLLFETEKIDIVLHFAAQTHVDLSFVRAFEFTYVNVYGTHVLVSAAHEARVEKFIYVSTDEVYGGSLDKEFDESSPKQPTNPYASSKAAAECFVQSYWERYKFPAVITRSSNVYGPH--------QYPEKVIPKFISLLQHNRK--------CCIHGSGLQTRNFLYATDVVEAFLTVLKK---GKPGEIYNIGTNFEMSVKELIQLIKEESEMETWVDYVNDRPTNDMRYPMKSEKIQG-LGWRPKVPWKEGIKKTIDWYRENFHNWKN--- 143677239 ------------------------DNGYHVEVIDNLTTGKKSQLPKNKFHKCDIGNCENVNKIMKEGKFDAVFHFAASIIVPESVQNPIKYYSNNTLETLNFIKSCVENKIKYFIFSSTAAVYESNSDQKLNESSTIKPSSPYGMSKLMSENMIKDILGIHKIPYIIFRYFNVAGADRLLRCGQQNQNSTHLIKVGCEVALNKRKNLSIFGNNYNTPDGTGVRDYIHVNDLVNAHLKGLLYLKNLKTGLILNCGYGKGYSVKDVVEIFNKFSNGIFKTKIAPKRLGDPASVVADNKLILEILSWQPQFDLEIIIRDSLKWEKK---------- 300853755 ---KTYLVTGGAGFIGSNFIHYMLKKNIKIINYDKLTYGNLENLKFYIFVQGDICDREKLQQLFEKYDIDYVINFAAESHVDRSIRDPEVFVKTNVLGTVALLDTAKNAWTKKYLQVSTDEVYGLGKEGYFTEKTPLDSHSPYSSSKASADLMVKAYFDTYKMPVNITRCSNNYGP----------YQFPEKLIPLVINNCANKRDIPVYGDGLNIRDWLYVEDHCKAIDMVINNGKLGEAYNIGGHNERT-----NIYIVKTIISYIHDNVDPENLIKYVEDRKGHDRRYGIDPTKIKDELGWYPETEFEDGIIKTIKWYLDNKDWMNN--- 209967042 ---KRILVTGGAGFIGSHLCEYLLESGNEVLCVDNYFTGSKRNIEHLRRHDVTIPLYVEVDEIYNLACPASPVHYQH---------DPVQTTKTSVHGAINMLGLAKRLKA-RILQASTSEVYGDPEEHPQREEYWIGPRACYDEGKRCAETLFFDYHRQHGVPIKVIRIFNTYGPRM--------HPDDGRVVSNFIVQALKGDPITIYGD------GSQTRSFCYVDDLVRGMVRFMETP--EAEPGPVNLGNPGEFTILELAETVLRLTGSASPIVFRPLPQDDPRRRRPDIGRADALFGWRPGVPLATGLERTIDHFRN---------- 256828185 ----TILVTGADGFIGSHLVELLVHEGYKVKALSQYNSGWLEDVACRNDIEVDVRDPHFCKKITQGV--DTVFHLAALIAIPYSYVAPDSYVDTNIKGTLNICQAALENSVGRVVHTSTSEVYGTAQYVPIDENHPLQAQSPYSATKIAADAMAMSFFNAFSLPVTIARPFNTYGPRQS---------ARAVIPTIITQIANGVQKIKL-------GDVTPTRDFNFVTDTCLGFLALARSEKTIGQTVNI--GSGTEVSVEETLNLIKELMRSDVEFITDGKRISEVFRLCCDNSRIRELTGFTPRHSLREGLQETINWFEK---------- 48093465 -KGLRILVTGGAGFVGSHLVDRLIARGDSVIVVDNFFTGRKENVMHHFNPRFELIRHDVVEPLL--VEVDQIYHLACPASPVHYKHNPVKTIKTNVVGTLNMLGLAKRVGA-RFLLTSTSEVYGDPLQHPQKETYWIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRM--------CIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQFVSDLVEG----------LMRLMEGEHVGPFNLGNPGEFTMLELAGVVQETIDPNAQIEFRPNTADDPHKRKPDISKAKELLGWEPKVPLRKGLPLMVQDFRQ---------- 142844697 ---KKVIVTGGSGFIGTNLVNFLIRRKFFVINIDKLTYSSNNYNLNYKFFKLDINNKKKLYSLIKKYKPKAIFNLAAETHVDRSIDGPKPFINTNINGTFNLLECLREEIDVKLIHISTDEVYGDIKNNLRSENKNYEPSSPYSASKAAADHLVNSYIRTYKINAVISNCCNNFGP--------YQFPEKLIPKMIANIIKNKNLPIYAKG--------KNSREWIHVDDHCRALFT---LYLKGKNGENYNVGSGKNLTNIDLVKKILKVFKKMKHIKFVKDRPGHDFRYALNSKKIRLKLKWRPKINFDEGLEKTVAWYLKN--------- 219849628 ------LITGGAGFIGCNLADYLLRHGEQVTIVDNLSRPRTPLNLAWLQEQADIRDAAAMQAVIPGHR--VVYHLAGQVAVTTSVQDPRSDFEINALGTLNILEAARAPEPPIVFFSSTNKVYGGMETVAVVEEETLDFHSPYGCSKGAADQYVRDYARIYGLKTVVFRQSCIYGPRQFGV-------EDQGWAAHFAIAALLERPITIYGDG------KQVRDMLYVDDLIAAYMAALERIDRSGRIYNIGGGPQNALSIWEFGPVLSRLVGRAIEVRYGDWRPGDQPVYISDIALAKQELGWEPRISVYEGIERMVSWIRDN--------- 288572961 --TKHCLVTGGAGFIGSHLVDLLMDQGWNVTVVDNFDPFYDKSIKKYRLVEEDIRNLPGMREKLND-SYDVIVHLAAKAGVRPSIQDPVGYQEVNVTGTQNMLEFAKERNIKQFVFASSSSVYGINPNVPWSEDDHLMPISPYASTKVSGELMGHVYSHLYDIRFLALRFFTVYGPR--------QRPDLAIHKFTKLIKEGKPIP--------VYGDGSTRRDYTYVEDIVKGIRSAMDY--DKTLYEVINLGNNKTVSLAEMIEAIEQTLGIKAIIDRQPTQPGDVPQTWADADKAHRLLDYEPRGDFSREMARFID-------------- 134891369 MKMKNIIVTGGSGFIGSNLVNYLIKKRFFVINLDKLSYASNKYNRNYKLIKIDINNKNKIFKIIKKYKPSVIFNLAAETHVDRSIDGPENFIKTNINGTFNLLESLRKNIKPKLVHISTDEVYGDIKNGRSDELHQYQPSSPYSASKAGADHLVKSYIRTYKLNAVISNCCNNYGP--------YQFPEKLIPKMISNIFNNKELPIYAKGL--------NSREWIHVFDHCEALFT---LYLKGKNGESYNVGSGTNLKNIDLVKKLLKVCKSKTKIKFVKDRPGHDFRYALNNKKILKRLKWKPKINFEKGLKETVNWYLENKN------- 182677026 -ARKRILVTGGGGFLGSHLCRRLLGQGHEVLCVDNFFTGRRRNIADLMHDRFEMLRHDVTFPLF--VEVDAIYNLACPASPIHYQFDPVQTTKTSVVGAINMLGLAKRLKIPILQ-SSTSEVYGDPTEHPQTETYWVGSRSCYDEGKRCAETLFFDYHRQHKLSIKVARIFNTYGPSMR--------PDDGRVVSNFICQALLGQDITVYGK------GTQTRSFCYVSDLIDGLDRLMNSPPE--VTGPINIGNPNEFTIRELAEKVIAMTGAKSRIIEKPLPSDDPRQRQPDITLAKNVLGWRPTVELEEGLTHTIAYF------------ 144184868 ----TVLVTGADGFIGSHLTEALVRSGHDVRAFVMYNSFDKRGWLDHVDEEGDVRDANAVRN--AVHGCDAVYHLAALIGIPYSYVAPDAYIDTNVKGTLNVVQAARDFDVEKVVHTSTSEVYGTAHFVPMPETHPLQGQSPYSASKIAADQIAWSFQRSFGTPVAIARPFNTYGPRQST---------RAVIPTVITQIAAGFERIKL-------GATSPTRDFTFVEDTVRGFMAVAASSRSVGEA--INLGSGFEISVQDTAVMIADIMGADVDIALDAERLSEVERLYADASKAAELLGWTPDHGLRNGLTKTIAWFQQ-PENLA---- 144131681 ----RILVTGGAGFLGSHLCARLVDDGHDVICVDNFFTSQKTNVAHLLGRNFELVRHDIIHPI--WLEVDEIYNLACPAAPGHYQFNPIKTMKTSVMGAINVLGMAKRCRAKVLQ-ASTSEVYGDPEVHPQPESNPIGPRACYDEGKRAAETLFMDYHRHNGVNVRIVRIFNTYGPRM--------HPFDGRVVSNFIRQALAGEPITLFGD------GSQTRSFCYRDDLVDGMIRMMNGPDDFPGPVNI--GNPGEFTIRELAELTLELTGSSSPLIEKPLPVDDPERRRPDITLAQHHLGWQPTIPLREGLSKTIDWFR----------- 32472708 ----RCLVTGAAGFIGSQMVERLLDAGAEVVALDNLSTGFKHNLLEGPFVEGDAADRACVQR--SVEGVDHIFHFAAMASVPRSMREPGMCHDWTTTSTVELLAAGSAAGVKRFVLSSTSAVYGNSPYVAKREDDMPAPLSPYAAAKLSSENYCQVFQREFPIETVVLRYFNVFGPRQD------PKSEYSAVIPRFVSMILSGERPVIYGDGQ------QSRDFVFVRDVANAN--MLAATVADAAGGIFNVGRGQRTTLLELLDTLRELLEGDIQPIHEPPRAGDVRDSLADTNQIRSRLGFEPTVDMTEGLRQSIEYYR----------- 224121144 ---RKILITGAAGFIASHVIRRLIKDDYKIVALDKLDYCSNLKNLATCFVKGDIACADLVNHLLIAEDIDTIMHFAAQTHVDNSFGNSFEFTTNNIYGTHVLLEACKTKKIKRFIHVSTDEVYGMETDIGNPEASQLLPTNPYSATKAGAEMLVMAYHRSYGLPIITTRGNNVYGPN--------QYPEKLIPKFILLALKGEQLPIHGDG--------SNVRSFLYCEDVAEAFDVILHK---GAIGHVYNIGTKKERRVLDVAEDICKLYGPEKSINYVQDRPFNDHRYFLDDQKLKK-LGWQESTPWEEGLKMTMEWYTKNPDWWDD--- 78358100 LARKRILVTGGAGFIGSHLCRVLLDRGAEVLCADNYFTGHVRDLLDNPRFELDITFYIEVDEIYNLACPASPVHYQ---------FDPVQTTKTCVHGSINMLGLAKRVKA-RILQASTSEVYGDPEIHPQQEDYWIGPRSCYDEGKRCAETLFMDYRRQHGVEIKIARIFNTYGPNM--------HPNDGRVVSNFILQALQHKPITIYGD------GSQTRSFCYVDDLVSGLLRLMHSPAD--FCGPVNLGNPSERTVLELADKIITLTGSRSELVFKPLPADDPQRRRPDISMARRHLEWEPAVDIDEGLAETIRYF------------ 143229619 ---KKIIVTGGLGFIGSNLIDALIKKKFFVINLDKITYSNFKHLKNYKFIKCDITDKR-ISKILYKYKPNCIFNLAAETHVDRSIDNPDDFIKSNIVGVYNLLECFKNFYKSKLVHISTDEVYGDVLKGRSSEIYQYKPSSPYAASKAASDHLVSSYVKTYKINAVVTNCSNNYGPNQ---------HPEKLIPKLIYNILNNK-------TLPIYGRGLNSREWIYVNDHCEALIKVYQKGKA---GNFYNIGSNKNLSNLEVTKKLIKIIGKNVKINFVKDRPGHDVRYALNSNKIKKELNWKPKTTFSEGIKLTFDWYKKYYNSLNKK-- 143185395 ---RKVIVTGGLGFIGSNLIELLLKRKYKVICIDKVTYSSNEKNKNYSFIKCDISNRR-LSKIVNKINPVGIFNLAAETHVDRSIDNPENFIKSNIFGVYNILEIFKKFCKKKLIHISTDEVYGDILTGRTKENYPYNPSSPYAASKASSDHLVSSYVRTYKIPAIITNCSNNYGP--------KQHPEKLIPKLIYNIITNKNLP--------IYGKGKNSREWIYVKDHCEALIKIFEK---GKIGNFYNIGSNKNLINLDISKKLIKILGKNVKIKFVKDRPGHDVRYALDSKKLIKELKWKPKTNFESGLAKTFEWYLNN--------- 134794196 -DQKKVFITGIAGFIGFHIAVALKKRGDDVIGCDHFNDYYSPHLKQERARMLDIRNIKTLSPLFTSKSITHLVHLAAQAGVRYSLTHPQTYIESNIDGFLQVLELCRSLPSLKLIFASSSSVYGNHTKAPFSETSQTDPASLYAATKKGGELLAKTYHHLFNLSITSLRFFTVYGP--------WGRPDMAYFSFAKAIMEGKEIPLFNQG--------KMKRDFTYISDIEKGVLQAIDLCD---GYHLYNLGNSESQELLALVDLLEKSLGKKAKLSLKPMQKGDVFETYADISKAKKELGFMPRVSLSEGISMFSKWFLE---------- 257357704 ----RVLVTGGAGFIGSAYVRELVRQNAHVTVLDLLTYAGNRANLRFTFVHGDIGDRTLLERVVPGH--DMVVSFAAESHVDRSIAGAADFMRTNVLGVQKLFDACLEAGVERVVHVSTDEVYGSIGSGSWDETAPLAPNSPYAASKAGSDLLARSYHVTHGLPVVITRCGNNYGP--------YQHPEKAIPRFVTRLLSG--------GTVPLYGDGGNVRDWIHVTDHCHGIQVAAERGTA---GEVYHVAGTHELTNVELTDRLLTACGAGWRVEKVPDRPGHDRRYSLTDKKLRA-LGYAPRVPFEEGLRDTVRWYAANAAW------ 168033736 -----VLVTGAAGFVGSHVSLALKKRGDGLVGIDNFNDYYEVSLKRARQEEDDINDAALWSHLFEMVRFTHVMHLAAQAGVRYAMQNPMSYVHSNVAGLVTLFEACKNANPQAVVWASSSSVYGLNTKVPFSESDRTDPASLYAATKKAGEEIAHTYNHIYGLSITGLRFFTVYGP--------WGRPDMAYFSFTRDILKG-----KPINIYQGPHDKDLARDFTFIDDIVKGCVGALDTAGESTGSGGFNLGNTSPVTVPVLVELLEKHLKVKAVKQFIKMRNGDVPFTHANVSLAQAQLAYKPTTNLDTGLKKFVTWYLKYYN------- 91773545 IKNKNVVVTGAGGFIASHLTEELVRRGANVKAFVHYNSRNDWGLLELAEKDGDVTDPFFVNSVTK--DTDIVFHLAALIGIPYSYVAPEHYVNVNVKGTLNVLQACLDNGVGKMVHTSTSETYGTAEYTPIDEKHPLKGQSPYSASKIGADKMAESYYRSFDLPVATIRPFNTFGPRQSARAIIPTI-----------------ISQALTRDEIHVGSLTPVRDMTYVKDTVNGFIEVGLSERSSGETINVGTGSGATIGVI--LEKILKILGKEDIPVIEEQRPGEVMELICDNSKAKDLLNWSPEYSLDNGLKETIEWMQEY--------- 135165407 ----KVLVTGADGFIGSHLTEALVRAGYDVKAFVLYNSFNSWGWLDQCADDVDIRDPHGVRAAMTGC--DAVLHLAALIAIPYSYHSPDTYVDTNIKGTLNMVQAARELGISKVVHTSTSEVYGTARFVPITEEHPLQGQSPYSASKIGADQIAQSFYLSFGTPVITLRPFNTYGPRQSA----------RAVIPTIITQIANGKREIQLGSLHPT------RDFNFAADTVNGYIKALET--DAGVGEVINLGSNYEISIGDTAKAIAEVMRADVEILTDDQRKSEVERLWADNDKAKELLGWQPAYGFKRGLKETADWF------------ 170747736 ----RVLVAGGAGFIGSHLIDALLADGARVTCVDSLLTGRRANLAHLANERFDFVEADVTEPLPALPRFDWVFNLACAASPPHYQADPVHTMMTSVLGTGRLLEVARDAGA-RFLQASTSEVYGDPERHPQQESYWTGPRACYDEGKRSAETLTFDFERQHGLDIRVARIFNTYGPRMRA--------DDGRVVSNVICQALAGDDITVYGNG------EQTRSFCYVSDLVDGLLRLMAAETPLAGP--VNLGNPRELTVGALVDLVVRMTETPSRIVRRPLPVDDPQRRRPDITRAETLLGWSPRVPLEEGLEATIAWFSR---------- 189219376 ---KTAVVTGAAGFLGSHLVDKLLASDYRVIGLDNLITGNLEHLKHDSRFELIIQDVTEYLDI--PGKVDIVFHFASPASPIDYLELPIQTLKVGSLGTYRALGMAKVKN-SSFCLASTSECYGDPLVHPQTEDYWIGPRGVYDEAKRFAEALTMAYHRVHRIPTYIVRIFNTFGPRMRLRDGRV--------VPTFISQALEGKPLTVFGNG------SQTRSFCYVSDLIDGVY----ALAHSDYHEPVNLGNPKEMTILEFAKTICRLTGVPENIIFEPLPVDDPKQRKPDITRAMRILGWKPCVDTEEGLKITIQWFKE---------- 86132674 ------LVTGGAGFIGSHVARHLLALHHQVIILDDLSGGFTSNVPQGIFIEGSITDTTLIDEIFEQHHIDYIYHLAAYAAEGLSHFIRKFNYENNLIGSINLINAAVNHNIKKFIFTSSIAVYGT-QELPLKESQKPQPEDPYGIAKYAVEMDLDNAHKMFGLDYIIFRPHNVYGPGQN------IGDKYRNVVGIFMNQMLKDEPLTIFGDGNQTRAFTYINDVAP--------YIAASYAFAKADNQIFNIGADTENTVNDLAKEVGVVMKKEVTINHLEQRE-EVVHAYADHSKFIEIFSPKPATTLAQGLQETAKWVTEY--------- 67925079 ISSKHCLVTGAAGFIGSHLCDRLLSLGHRVTGLDSLIVGNPKNLENAKTHPQFTFLHQDVAGVTPQENIDWIFHLAGLADLVPSIQNPENYYHSNVHGTFALLNACRHANIKRFVYTASSTCYGIPDTYPTPETYPCSPEHPYALTKYLGEQLVMHWAKVYKLPALSLRLFNVYGPRSRTT-------------GAYGAVFGVFLKQKLAGQPFVVGDGKQTRDFTFVSDVVEAFVKAAQSDVT---NEIINVCSGQPQSVLRLVELL------GGEITHIPKRPGEPDCTWGDITKAKTLLGWEPQVSFPDGVAQMLD-------------- 283782446 ---KRILVTGGAGFLGSHLCERLVDLGHDVVCLDNFFTSQKTNVAHLLGRNFDLIRHDITSPI--WLEVDEIYNLACPAAPGHYQFNPIKTMKTSVLGMINMLGMARRCKAKVLQ-ASTSEVYGDPEVHPQVESNPIGIRACYDEGKRAAETLMMDYHRSNGINIRIVRIFNTYGPRM--------HPFDGRVVSNFIRQALTGEDLTIFGNGDQT------RSFCFRDDLVEGLIRMM--HASDSFTGPCNLGNPDEFTVRELAELVLELTGSKSKIVSLPLPADDPVRRRPDITLAKTNLDWQPMVPLKEGLRRTIEWFR----------- 149372922 ------LITGGAGFIGSNIVGYLLKNNAKVRVLDNLATGSMDNLAEHMEHKGDIRNIEDCKRAMQ--DIDYVSHQAALGSVPRSINDPATSNDVNVGGFLNMLIAQNEKTVKKMVYAASSSTYGDSPSLPKVEDVIGKPLSPYAVTKLVNELYADVFYKTYGTKTLGMRYFNVFGPKQS------PTGAYAAVIPLFMQALVDEKSPTMNGDG------EQTRDFTYVENAVQANVRGM-LSGDEANNEVINIAYGNRISLNTLWSDLKDVSGKELAAVYGPPRKGDVRDSLANIDKAKKLIGYDPKFSVAEGLKVTWEYFTK---------- 255537063 ----TVLVTGAAGFVGTHVSVALKRRGDGVLGLDNFNHYYDVSLKRGRQIEGDINDMGLLNKIFDTVRFTHVMHLAAQAGVRYAMQNPKSYVNSNIAGFVNLLEVCKSANPQAVVWASSSSVYGLNFKVPFSEKDRTDPASLYAATKKAGEEIAHSYNHIYGLSITGLRFFTVYGP--------WGRPDMAYFFFTKDILKG-----KEIGIFQTADGRSVARDFTYIDDIVKGCLAALDTAKKSTGSGGFNLGNTSPVPVSRLVGILESLLKVKAKKKVLPPRNGDVEFTHANISFAQRELGYRPTTDLGTGLKKFVRWYLNHY-------- 222086847 ----RYLITGTAGFIGFHLAKRLLDDGHFVVGFDGMTPYYDVKLKEKRTVTGMLEDKAALDHAAELAEPDVIVHLAAQAGVRYSLENPRSYVDSNLVGSFNVLELARSIQPKHLLLASTSSVYGANEKIPFAESDKDEQMTIYAATKKSMELMAHSYAHLFHIPTTVFRFFTVYGP----------WGRPDMALFKFVEAIKHDRPIEIYGEGKMSRDFTYIDDLVEGIVKLIGVIPSEENRVKNAPFRIVNIGGGQPVGLMAFVETIEAMLGKRAIRHMLPMQPGDVHNTYAVPDLLVALTGFKPQIEVDAGVRRFVEWYQENY-------- 135703059 ---KNILITGGAGYIGSHISEILVKNKKKIYIVDDLSTGYRSLIKKSKFFKLNIHNDKTVKKIIKENKIDSVIHLAANLIITEGEKYPKKYYRNNVVGTQNLLKACSNSNIRNFIFSSTAAIYKDGQ-FKVSENSIIKPKSVYGKTKVKCEKIIQTFCKKNHINYGILRYFNIAGSSPSGKIGLINKSDHLF-KNFSREIMKKRPVLKIYGNDYKTKDGTCIRDFIHVSDIAEIHFKVLEKIDKTNKSKILNCGYNKGTSVLEVAKEFKKQTSKKVKILITKRRKADLVKIIASNNKLMRLISWKPKFNLRKIVKSCLMWEKR---------- 115477399 -----VLVTGAAGFVGAHCSLALRARGDGVVGLDNFNSYYDPSLKRARQRDADINDAALLERLFDAARFTHVLHLAAQAGVRYAMRAPQTYVASNVAGLVSVFEAKHADPQPAIVWASSSSVYGLNTDAPFSEEHRTDPASLYAATKKAGEAIAHAYNHIYGLSITGLRFFTVYGP----------WGRPDMAYFSFARSIVAGEPITLFRTADG---ADARRDFTYIDDVVKGCLGALDTAGESTGTRVYNLGNTSPVPVTRMVAILEKLLGRKANKRVVTMPNGDVPFTHANVSHAARDFGYRPATPLDAGLRRFVDWFVHYYK------- 218201487 -----VLVTGAAGFVGAHCSLALRARGDGVVGLDNFNSYYDPSLKRARQRDADINDAALLERLFDAARFTHVLHLAAQAGVRYAMRAPQTYVASNVAGLVSVFEAKHADPQPAIVWASSSSVYGLNTDAPFSEEHRTDPASLYAATKKAGEAIAHAYNHIYGLSITGLRFFTVYGP----------WGRPDMAYFSFARSIVAGEPITLFRTADG---ADARRDFTYIDDVVKGCLGALDTAGESTGTRVYNLGNTSPVPVTRMVAILEKLLGRKANKRVVTMPNGDVPFTHANVSHAARDFGYRPATPLDAGLRRFVDWFVHYYK------- 125604080 -----VLVTGAAGFVGAHCSLALRARGDGVVGLDNFNSYYDPSLKRARQRDADINDAALLERLFDAARFTHVLHLAAQAGVRYAMRAPQTYVASNVAGLVSVFEAKHADPQPAIVWASSSSVYGLNTDAPFSEEHRTDPASLYAATKKAGEAIAHAYNHIYGLSITGLRFFTVYGP----------WGRPDMAYFSFARSIVAGEPITLFRTADG---ADARRDFTYIDDVVKGCLGALDTAGESTGTRVYNLGNTSPVPVTRMVAILEKLLGRKANKRVVTMPNGDVPFTHANVSHAARDFGYRPATPLDAGLRRFVDWFVHYYK------- 254785444 ----RVLVTGADGFIGSHLVERLLQQGYKVRALAQYNSGWLEDVPAHPHLEGDILDATCCREITR--DIHTVFHLAALIAIPFSYRAPSRYIETNVTGTLNMCQAALDQGVVRFLQTSTSEVYGTAQYVPIDEAHPLQAQSPYSASKIGADALATSFHRSFELPLTIVRPFNTYGPRQS---------ARAVIPTIITQIAAGAESIQL-------GDLSPTRDFSFVTDTCDGFIALANCPQAIGETVNV--GSNFEISVADTLEKIREIMGSNVKFMTDQARASEVMRLWCDNSKYRALTGKQPEFSIDDGLRATIEWFCK-PENLAK--- 301114945 -----VLVTGGLGFIGSHVVEDLLANNFEVVVYDDMSNGKNFNRGAAAVLVKDITVVDDFS--FIIHKIDYVVHLAAAISVEESTRLPEKYERINVEGSRKVLDWAAKNGVKRVVAASSAATYGTPENLPLSEETATGGICAYATTKFQMEKLMKQFNEDYGLPSTALRFFNVYGPRQDP-----HSSYSGVVSWFMEQA-------KINGTLKVTGDGEQYRDFVYVKDVARAIRTAMLLGDDEFDVFNVCTGIKS--TVKSVAERIVEKFGSSAAIVNVPFRSGDVKESVCSPVKATNKLGFTASYDFSDGIGETRDWFL----------- 136217451 ----KVLVTGAAGFIGFSICNKLLEFGIDVCGLDNLNNYYDQRLKGMPFSKIDLVDAEKVKKAFNSYKPTHVINLAAQAGVRYSLENPHAYINSNIIGFLNILENCKNNSVEHLIYASSSSVYGLNKTFPFSETNNDHPVSLYAASKKSNEAIAHSYSHIFKLPCTGLRFFTVYGP----------WGRPDMALYIFTKKILAGEPIDVFG------FGKMRRDFTYIDDIVEGIFKLLDKKPSGSPGEIFNIGNNKPTELEYFISLIEKNLNKKAIKNYLDMQPGDVEETAADISKLNKATGFVPSTSIE---------------------- 142052825 ----NILVTGGAGYIGSHVVEILAKKNKKIFIVDNLSTGYKKLIKKAKFFKCNILDTEKVREIIIKNNIDSIIHLAANLIIGEGEKQPKKYFKNNVKGTDSILSSIKDTKVKNFLFSSSAAVYKDG-MYRVTENSPIKPKSVYGKTKLKAENLIKSKCKKLRINYGILRYFNIVGASPSGKYGLINISD-HLFKNFSTQIIKKKPVLKIYGSNYDTKDGSCIRDFIHVSDIAEIHEKVLNKIDKAKKSVVLNCGYNQGISVKQVANEFKKQAHKNTKIIEVKKRAGDLGKIIASNNRLNKFISWKPKYKLSLMVKSSIKWEKK---------- 238062665 ---RRVLVTGGAGFIGSQYVRDLATGAYRVTVLDKLTYAGNLANLRITFVQGDVCDTALLAEVLPGH--DVVVNFAAESHVDRSIADSAEFVRTNVQGVQTLMQACLDAGVARVVQVSTDEVYGSIDEGSWAEDTPLAPNSPYAAAKAGGDLIALAYARTHGLPVCLTRCGNNYGP--------YQFPEKLIPLFVTELLNGRRVPL--------YGDGGNVRDWIHVTDHCRGIQTVVDRGV---PGEVYHIAGTAELSNMELTGRLLDALGAGWRVERVPDRKGHDRRYSLTDAKLRA-LGYRPEVAFADGLAETIDWYRTHRDWWE---- 142463462 MVTMRILITGGAGFVGSHLSERLLGAGHEVVVVDNYFTGHLQGNPGFEAIRHDVTFYVEVDAIYNLASPASPIHYQH---------DPVQTTKTNVMGAINMLGLAKRLGVPILQ-ASTSEVYGDPEVHPQTEDYWIGPRACYDEAKRVAETLFFDYHRQHGLEIRVARIFNTYGPRMAA--------DDGRVVSNFIVQALRGEPLTIYGD------GSQTRSFCYVDDLVSGLVGLMEN--THQETGPVNIGNPGEFTMLELASQVLELTGSSSEIEHRDLPADDPRQRQPDISLAKKTMNWEPHIPLSEGLEKTIAYFRHH--------- 83309176 ---KKILVTGADGFIGSHLTEELVRRGYDVRAFGWLDAAEPAVRNSLDVFLGDIRDPHGVRK--AMEGCDAVLHLAALIAIPYSYHSPATYVETNVTGTLNVVQAARDLGVSRVVCTSTSEVYGTARYVPIDEDHPLQGQSPYSATKIGADQMALSYHRSFATPVTVLRPFNTYGPRQS---------ARAVIPTIITQIAAGARTLKL-------GALHPTRDFSYVADTAAGFIAMLNA-PETVLGEVINIGSGFEISIGDTARLIAEVMGAQVDITCDDQRKSEVERLFAGTDKAARLLGWQPAHGFRRGLAETVRWFSD---------- 228475494 ----KVLITGGAGFIGSHVAEYFMKHDTEVHIIDNLSSGFLKNIPFVNNEHIDITDFEFVTQLIQKEQFDFVIHLAAMVSVVETIEKPELSNRINIDATVNILEAIRIYNIKKVIFASSAAIYGHLPDLPKSEQSKPFPLSPYAIQKYTGEQYTKIYNHLYQIPCTCLRFFNIYGPRQNPTSDYSGVISIMNTKFLNH------------STFTFYGDGEQTRDFVYIDDLINALSIVLNTTLTDG--FIYNVGTGTQTNLKAVFQSFEHGFDYHIPYQFEAPRLGDIKHSCADITPLKA-LGYNPRYSIEEGI------------------- 136183174 MKT---LVTGGAGFIGSHLVENLVQAGHSIIVLDNLSTGRLENISHRKNIKFIKCDISKDKNIYKYFRDDWVFHLAALADLVPSIKKPIEYFDSNVKGTIAVLDASVRMNVKKFLYSASSSCYGVPKKYPTSENAHIDPKHPYALSKRLGEELVLHWNKVYKLDVVSLRLFNVYGTRSRT-------------SGTYGAMFGVFLKQKIKGYPYIVGNGNQKRDFTYVTDVVE---AMLKAIRIKTRENIFNVGSGKTVSVNYIVNLL------GGNHIYIKKRPGEPDITFADISRIKKALKWKPLVTVENGLKKNIDYWKKAPLWTSSK-- 294642350 LNGRNILVTGSPGFIGANLVLRLLGEG-TVISLDNMNDYYDPALKRHVFVKGSIADKALVDSIFAEYKPSVVVNLAAQAGVRYSIDHPDVYIESNIIGFYNILEACRHYPVEHLVYASSSSVYGGNKKVPFSVDDKDNPVSLYAATKKSNELLAHSYSKLYNIPSTGLRFFTVYGP----------AGRPDMFYFSATNKLVKGENIKIFN------YGNCKRDFTYIDDIVEGVYRVMQGAPEKANGEDYNIGGGTPENLLDYISTLQEEYDFEAHRELVGMQAGDVPVTYADSTALEKDYGFTPKIGIREGLRNFTEWYSGFYK------- 195440368 ---KRILITGGAGFVGSHLVDDLMIQGHEIIVVDNFFTGRKRNVHWLGHENFELIHHDIVNPLF--IEIDEIYHLASPASPPHYMYNPVKTIKTNTMGTINVLGLAKRVMAKVL-IASTSEVYGDPTVHPQPETYWIGPRACYDEGKRVSETLSYAYAKQEKVQVRVARIFNTYGPRM-------HMNDGRVVSNFILQALRNE-------TITVYGNGKQTRSFQYVSDLVDGMIALM----ASNYTQPVNLGNPVEQSIEEFAQIIKQLVGGPSEIKQTKAMEDDPQRRKPDITRAKTLLKWEPKVPLETGLMKTISYFRN---------- 257791791 ME--TYLVTGGAGFIGSNFVHWVVDNQVHVVVLDKLTYAGNRENLAGIFVHGDICDEELLEKIVP--GIDGIVHFAAESHNDNSIADPEPFVRTNVHGTFRLLEAARKHDV-RFHHISTDEVYGDDDPARFTEETPYCPSSPYSSSKASSDLLVRAWFRTYGVRATISNCSNNYGPRQ---------HIEKFIPRQITNVLTGIRPKL-------YGDGLNVRDWIHTEDHSSAVWAILTKGRLGETYLIGADGEKNNIDVLHAI--LENMGKDADDFDWVKDRPGHDRRYAIDSSKLRSELGWKPKTDFAEGLKATIDWYRDNPQWWQDAKE 142474060 ----KVLVTGGAGFIGSNLVEKLLELGHDVISIDNESSQSNEVFYWAENYKLDICDYDRIRPLF--EEVDIVYHLAAEARIQPSLNNPILTAKTNALGTCTILQCAREAGVKRVIYSSTSSAYGRKNSTPSVESMQKDCLTPYSVTKTCGEELCKMFTDLFGLETVVFRYFNVYGERQ--PIKGQYAPVVGIF----------LKQKKEGLPMTIVGDGLQRRDFTHVSDVVEANLLASNLKNKNISGELFNIGTGRNYSIID----IKDMIGSEFIN--LPKRMGEAQETLADITKAKKMLDWSPKVVLED--------------------- 143375188 -------VTGGAGFIGSHLVDTLLAHGVKVRVIDNYSTGRPENLQHVSS------DVDVVEADFNFEGADWVFHLGALADIVPSIQRPQDYFQANVNGTFNVLEAAKRAQVKRFVYAASSSCYGIPDAYPTTEAAEIRPQYPYALTKRLGEELVMHWAQVYQLPAVALRLFNVYGPRSRT---------SGTYGAVFGVFLAQKLASKPF---TVVGDGTQTRDFTYVTDVAD---AFLTAAKSSHQAEIYNVGSGQTISVNRLVELL------DGDVTYIPKRPGEPDCTFADITKIRRDLKWQPKVTIEKGVEQ----------------- 282165387 ------MVTGAAGFIGANFAHFILNKGIDVLVYDKLTYAGNLDNLKDKFVKGDICDAEAVGKAIKEHGVDEIINFAAETHVDRSIDSASDFLESNVKGVYTMLEAARKYDIKKLLQISTDEVYGSIQDGSFYETSNINPSNPYSAAKAAGDLLARSYYNTYRLPVLITRSSNNFGP--------YQFPEKLIPLMILKAMRNEPLP--------VYGTGMNVRDWIYVED----NCAGIDTVFHKGRGEVYNIGGGNEKPNLEVVRLILKQLGKPGSITFVKDRPGHDLRYSLNSDKTKA-LGWKPAYTFEDAMKKTIDWYVNNEWW------ 118481067 LKSKRIVVTGGAGFVGSHLVDRLIARGDSVIVVDNFFTGRKENVMHHKNPRFELIRHDVVEPLL--LEVDQIYHLACPASPVHYKHNPVKTIKTNVVGTLNMLGLAKRVGA-RFLLTSTSEVYGDPLQHPQVETYWIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRM--------CIDDGRVVSNFVAQALRKEPMTVYGDGKQTRSFQFVSDLVEG----------LMRLMEGEHVGPFNLGNPGEFTMLELAQVVQETIDPNARIEFRPNTEDDPHKRKPDITKAKDLLGWEPKISLRQGL------------------- 142374065 ---------------------KLIKSGFNVVILDNLKNSDRVTIKTCQFFETDIRDKKNLKEIFEKYNFDTVFHFAGLKSIVESLDYREEYMSCNVDGTKILIDQIKKSSVNKIIFSSSASVYGNNLEPPWSETKNLNPSNTYAQTKLIIEKMLYSLTERDDLKVGILRYFNPIGSHESGLIGDRIGNSTNLVPAIINTILGNQNFIEVFGNDYQTKDGTGVRDYIHVEDLIDGHLKAYEFIEQNKGCNVWNLGMGTGHTVIEVINCFKINSGLDIPFKIKKRRKGDLAAYWADVSKAKKELGWSTKKNLNDMVVDTLNY------------- 225849014 ----KYLITGGCGFLGSNLAAEVLKRNEELIIFDNLSYANLEWLKEFKFIHGDVRNREDIEVLIKNEKPDVIFHLAGQVAMTTSLENPRLDFEVNAWGTFNLLDVVRKFSPEIVIYSSSNKVYGDLEWVEYEETEPLDFRTPYGCSKGAADQYILDFYKTYGIRTVVFRHSSMYGGRQFSTY-DQGWIGWFCLKAIEIKNSKLKEPFTIHGNG------KQVRDVLHARDMVSLYFKTVENIEKKGEAFNIGGGIENSLSLIELLDVLEKMLDIKMNYEKLFPRKSDQKVFVADITKAKKLIGWQPKVSKEEGIKLMVEW------------- 254780920 ----RLIVTGGAGFIGSALCRYLVNDKIQVLVIDKLTYAGNLNSLKEISQQVDICDRECIRSALKEFQPDAIVNFAAESHVDRSILGADEFITTNIIGTFILLEETRLWWSCRFLQISTDEVYGSLDKGLFSEDMPYNPSSPYSATKASSDYLVLAWGHTYGIPVLLSNCSNNYGP--------YHFPEKLIPLAITRMIEG--------SHVFLYGDGQNVRDWLYVEDHVRALYLVLKKGRI---GERYNIGGNNERKNIDIVFEIGFLLDALIPIRFIEDRPGHDRRYAIDSSKIKSEIGWFPQENMESGLNKTVCWYLDN--------- 143051801 ----NLLVTGAAGYIGASFSYEALKKGFRVYGCDNFINSDETNIKDFIFEKIDLTELEKVKNFISNKQIECVIHFASLKSVEESEKIPNEYWRNNLDSTFNVLKAMEDEGLKALVFSSSASVYGQSKIQPLTEDTNLIPESTYGKTKLAIEFILKDLAEKSLINVASLRYFNPIGSHKDGLIVEISDNQSNIMPKIIRVALGVDKKFTVFGNDYETNDGTAERDYIHIEDLISGHLDAVKFILHSKGFIELNLGTGKKVSVLDLLKTFMAASRKEVPIEFSSRRAGDVPVCYADPTKSNKMISWKSKFGLKEMCEDSWR-------------- 82408011 -DRKRILITGGAGFVGSHLTDKLXXDGHEVTVVDNFFTGRKRNVHWIGHENFELINHDVVEPLY--IEVDQIYHLASPASPPNYXYNPIKTLKTNTIGTLNXLGLAKRVGA-RLLLASTSEVYGDPEVHPQSEDYWIGPRACYDEGKRVAETXCYAYXKQEGVEVRVARIFNTFGPR-------XHXNDGRVVSNFILQAL-QGEPLTVYGSGSQTRAFQYVSDLV----------NGLVALXNSNVSSPVNLGNPEEHTILEFAQLIKNLVGSGSEIQFLSEAQDDPQKRKPDIKKAKLXLGWEPVVPLEEGLNKAIHYFRK---------- 260577230 ---KRIFITGTAGFIGYHLAELLLAQGAQVQGYDGMTDYYDVSLKHRRHAEAMLEDAAALDAAIDSFAPDVIVHLAAQAGVRYSIDNPRAYIDANIIGSFNVMEAARRNHVQHLLMASTSSVYGANTDMPYAETDKADTMSFYAATKKATEAMGHAFAHLHGLPTTMFRFFTVYGP--------WGRPDMAYFKFTEAILAGR--------PIDIYNNGEMWRDFTYVADLVRGISLLIDAVPQRPASRIVNIGNSEKVRLMDFIAAIETALGRKAAYNFMPMQQGDVPATWADASLLQRLTGYKPHTGVGQGIGAFVAWYLE---------- 305666594 ----RVLITGAAGFIGFYLSKALVANGHYVVGLDNINDYYDVNLKYARLKELGISDRENLPNLFKKECFDVVCNLAAQAGVRYSLENPEAYMDSNMVGFLNILENCRHNDIKHLVYASSSSVYGLNEKVPFTTDAVDNPISLYAATKKSNELMAHTYSHLYGFPTTGLRFFTVYGP----------WGRPDMAMFLFTDAIVNGKPIKVFN------HGKMERDFTYIDDIVQGVTLIIEGDTSNRKTIIYNIGNNKSVRLMDFIEEIEQSLGINAKKEMLPMQPGDVGKTWANVEDLVRDYNYSPNTPIEKGVKEFVIWYKNYHK------- 117924833 -KRKHILVTGGAGFLGSHLCERLLNAGHEVICVDNFFTGDRDNILRFEFIRHDITIYLEVDEIYNLACPASPIHYQ---------LDPVQTTKTSVHGAINMLGLAKRTGAKIFQ-ASTSEVYGDPAMHPQQESYWIGPRACYDEGKRCAETLFFDYNRQHKTRIRVARIFNTYGPRM--------HPNDGRVVSNFIVQALRGEPITLFGEGQQT------RSFCYVDDLIEGFVKLMDAPDD--VTGPINLGNPVEFTIQQLAELVIELTGAGSILVHKPLPQDDPRQRKPDITLAQQHLNWQPTIPLREGLGKTIAYF------------ 85714632 LQGKKVLVTGADGFIGSHLTEHLIAQGANVRAFVYYNSFNSWGWLDETDAQVDIRDPNGVRTAMRGC--DVVFHLAALIAIPYSYHSPDAYVDTNVRGTLNVVQAARDLGVERVVHTSTSEVYGTARIVPITEEHPLQGQSPYSATKIGADQLAMSFHLSFGTPVSIIRPFNTYGPRQS---------ARAVIPTIITQIASGVKRIKL-------GAMTPTRDFNYVRDTVRGFVAVGECDQAVGKVLNV--GSNFEISIGDTASLIAQRMGKDVEFELDQQRVSEVERLWADNTKINELTGWTPEYARRDGLDETIAWFSD---------- 70730338 MSAERILVTGGAGFIGSHLVDALLAKGYAVRVLDDLSTGKVGNLPMGDAELLVGDAADAALLADAVQGCDAVVHLAAVASVQASVEDPVATHQSNFIATLRLCEAMTAAGIRRVVFASSAAVYGNNEGTPIAEDTPKSPLTPYAADKLASEYYLDFYRRQHGLEPVILRFFNIFGPRQD------PSSPYSGVISIFSERAKAGRPITLFGD------GGQTRDFVYVADLVKILVQGLECPAPAADATNV--GLGGVTTLNDLIGALQQISGKPLQVSHGATRSGDIRHSKADNRRLREDLG-TPS-SLAEGLERLYR-------------- 296936057 ----HLLVTGGAGFIGSNFVHYLLDNTHTVTVLDKMTYAANKTSLNGNLMVGDIADRELTDELVA--QADAVIHYAAESHNDNSLANPEPFIHTNLVGTFTLLEAVRRHG-TRYHHISTDEVFGDEDPAKFTETTPYNPSSPYSSTKAGSDLLVRAWVRSFGIEATISNCSNNYGP----------YQHIEKFIPRQITNILSGLTPKLYGEGL------NVRDWIHASDHSSAVLRILESGKIGETYLIGADGEENNITVLRTI--LRLMGKDENDFEHVIDRPGHDMRYAIDGTRLREELGWEPQTDFEAGLADTIAWYTDNRSWWE---- 159042009 ----KALITGGAGFIGSHLVDKLLARGYQVKVIDNLSTGRIENITHVNKIQFTKTDLKNIEDTLKALKDDVIFHFAANPEVRVSTVSPEVHFNENVVATFNLLEAMRRMDVREIVFASSSSVYGEPEEIPVSEDAPTRPVSVYGASKAACENLIHAYSRLYGMKAVILRYANVVGLRLR------------HGVIYDLIMKLRKDPTRL----EVLGDGTQVRSYIHVDDAVEATLIAHER--TGGGYHVFNVASEDWITVDEVVNIITEELGAKPEIIHKPIWPGDVKRIALRIDRLR-ELGFKPRMNSKEAVRVTVK-------------- 237654447 ---KTWLITGAAGFIGSNLLETLLTLDQTVVGLDNFATGHREQWARFAFLKGDIRELEDCRR--ACNGVDYVLHQAALGSVPRSLADPITSNATNIDGFLNMLVAARDEGVKSFVYAASSSTYGDHPGLPKVEDTIGKPLSPYAVTKYVNELYADVFARSYGFTTIGLRYFNVFGRRQD--------PDGAYAAVIPKWTAALLKGDTVY----INGDGETSRDFCYVANAVQANILAATTSNPEARNQVYNVAVGDRTTLNTLFLMLRNNLSPNVRPTYREFRAGDVRHSQAATEKAQSLLGYAPSHTLEQGIAEAVDWYLR---------- 310631444 ------------------------------------------------------------------------LHFAANSLVGESVEKPLMYFNNNVHGTQIALEVMQEFGVKHIVFSSTAATYGEPKAMPITEETPTNPKNPYGESKLMMEKIMKWCDNAYGMKYVALRYFNVAGAKKDASIGEDHTPETHIVPIILQVALGQRAELSIFGDDYDTPDGTCIRDYVYIEDLIAAHILALEYLKNGGESDVFNLGSNNGYSVKEMLDAAREVTGQEIPATIAPRRAGDPSTLIASSEKAKRVLGWQPEVTVKDIIATAWQWH------------ 111225353 ----RILVTGAAGFIGSTVTDRLLADGHSVVGVDDLSAGRLANLPRFSFEQLDVTSPE-LHALADRVRPDVVAHLAAQIDVRVSVADPLRDARQNVLGTINVLEAGRLAGASKVIHTSSGSIYGSPARLPVDETAPVAPESPYAAGKAAGELYLNVYRATHGLASTALALANVYGPRQD------PHGEAGVVAIFGTAMLAGRQA-KIFGD------GTASRDYVFVGDVAEAFVRVLPVDAAAGARLNI--GTGVETSVRDLHSRIAAIVGVPDTPLRAPARPGELARIALAVHLAERLVGWRPRVDLDEGLARTVAWLRR---------- 135206860 -------------------------------------------NRQACFVEGDIRDLEALDNVFKEHTIDAVIHFAGLKAVGESMQKPAEYYDVNVGGATKLLLAMQRAGVSKFVFSSSATVYGSEAPTPYIEDFGHGTTNSYGSSKAIVERILADQAVAYPWSIAVLRYFNPIGAHESGLIGEDPLGPNNLMPFITQIAVGRRELLYVFGGDYPTPDGTCRRDYLHVMDLAEGHVKVLE-GLDKPGCEAYNLGTGKPVSVLEMISGFELETGIKIPYVITHRREGDLAEFWADPTKANMQLGWLAKRSLGLMIADAWRWQKNNPNGFG---- 135319346 ---KNILITGGAGFIGSHLVKYFVKKNYNIINIDKLTYASNENENNYKFFELDICNLDSMLKLFDEKKITHVIHLAAESHVDKSIESSIEFGKSNVLGTLNLLEAAKNNWKSSFYHISTDEVFGTGEKGKFNEESKYDPHSPYSASKAASDHFVRAFHDTYKLPIIISNCSNNYGPNQN----------KEKLIPTIIYNLISNTDIPIYGDGKNIRDWLFVEDHIDAIDLLFHKGKVGETYCIGGENEHSNIELTKKIISIYSSITGIDNVKLNKLIKYVTDRLGHDKRYAIDITKIKNEFDWFPKTNLEKGLSETIKYYLN---------- 308811703 ----RVLVTGGAGFVGSHLVDRLMERGNIVIVADNFFTGRKENIMHHQNPFFELIRHDVVEPML--VEVDQIYHLACPASPVHYKHNPVKTIKTSVMGTLNMLGLAKRVGA-RMLLTSTSEVYGDPLEHPQKESYWIGVRSCYDEGKRVAETLCFDYHRQEGVDIRIARIFNTYGPRMAL--------EDGRVVSNFVSQALRGEPLTVYGDGKQT------RSFQYVDDLVAGLMALMD---NENEIGPVNIGNPGEFTMLELAEVVKEVVDKNAKIEYKENTADDPGRRRPDITLAKKTLGWEPKVTLREGLPKMVEDFRE---------- 135338629 ----KIVLTGGAGYIGSHVLAGLLGLSKSVHVVDNFHNSSPAPLREFCVSELDICNGSKLDKLIHEAHPSAVMHFAGLKAVGESISHPLSYYENNVKGTLELLKAMDKSGCKNLIFSSSATVYGVPERLPLSEDHRLAATSPYGRTKLIIEEMIRDWSSTDPKKSAIIRYFNPVGAHPSGTLGESPNGPNNLMPFISQVAAGRLEILNIFGNDYDTADGTGVRDYIHICDLASGHIEALNYCLKSSGVETFNLGTGRGYSVIEMVNAFEKASGRPVPYRIMPRRLGDVAASYA---------------------------------------- 148655748 ---RTILVTGGAGFIGSNFVELMLTKDYRIVVYDKLTYGRLENLARFHFVRGDICDPAGVREVIRAHGVDTLVNFAAETHVDRSIMDPDAFIRTDVYGTYVLLEAVKEMKLERALFVSTDEVYGIEPGHSSSEDDPLKPRSPYAASKAGGEHLAYAYYITYGLPVLITRGTNNIGP----------YQYPEKAVPLFITNAIDDIPLPLYGD------GRQMRDYQYVMDHCEGIDVVLHRGVI---GEAYNIGSGVETENIVMAKAILDLLGKPYSIQPVADRPGHDRRYSVRTDKIKA-LGWQSRHTFAQAIEKTVRWYVEHQDW------ 282895549 ---KKILVTGADGFIGSHLTEALVREGYQVRAFVLYNSFNSWGWLDHSPREVDIRDPYNVKS--AMNGCDVVLHLAALIAIPYSYHSPATYVETNITGTLNVLQAARSVGVEKVVHTSTSEVYGTAKFVPITEEHPLQGQSPYSATKIGADQMAMSFYYSFGTPVAIIRPFNTYGPRQS---------ARAVIPTVITQIASGKRQIKL-------GSLHPTRDFNYIKDTVRGFIAIAKSQSSVGEVINI--GSNFEISIGDTVELIAESMGVEVEIVTDDVRKSEVNRLWADNSKAKRVINWEPREGFKQGLIETAAWF------------ 219130674 -QRKKILVTGGAGFVGSHLVDKLMMDGMEVIVVDNFFTGQKKNVLHHPNFSLVVHDVTEPIQL----EVDEIYHLACPASPPHYQYNPVKTIKTSTMGTLNMLGLAKRVRA-KILLTSTSEIYGDPKVHPQPESYWIGPRSCYDEGKRVAETMMYSYKNQNGVDVRVARIFNTFGPRM--------HPNDGRVVSNFIIQALQNKNMTIYGEGKQTRSFQYVTDLVDG----------LYALMNGNYDLPVNLGNPEEYSVKDFATYIQELTKSTSDIIFLPKSEDDPSQRRPDITTAKRELGWEPQVKVQKGLEKTIEYF------------ 142517105 ------LITGAAGFIGSNLVEKLLILNQKVVGLDNFDTGHQHNIDQAIHDNGDIRDFKDCNS--ACEGVDYVLHQAALGSVPRSIKDPINSNKANIDGFLNMLVASKDADVKRFVYAASSSTYGDHPDLPKVEDKTGNPLSPYAVTKLANELYAKVFAKTYEFKTIGLRYFNIFGKRQDPN-----GAYAAVIPKWVAAIINGDD-------VYINGDGKTSRDFCYVDNAVQINILAAMTEDDEAVDQIYNVALNDNTSLNELYRIIESGLLKNKKPIYRDFRPGDVRHSQANIDKAKTLLSYQPKYKISEGLDEAMDWYIQN--------- 168018902 -----VLVTGAAGFVGSHVSLALKKRGDGLVGIDNFNDYYEVSLKRARQEEDDINNAALLKHLFVKVQFTHVMHLAAQAGVRYAMQNPMSYIHSNIAGLVTLFEACKNANPQAVVWASSSSVYGLNSKVPFSEADRTDPASLYAATKKAGEELAHTYNHIYGLSITGLRFFTVYGP--------WGRPDMAYFSFTRDILKG-----KEINIYKGQNDRDLARDFTFIDDIVKGCVASLDTAGRSTGSGTFNLGNTSPVSVPVLVEILEKYLKVPAKKVFIKMRNGDVPFTHANVSLAQTQLGYKPTTNLDTGLKKFVTWYMKYY-------- 152974749 ----NIFVTGGAGFIGSNFIRYILRQTYRIINYDLLTYSGNLDNLQSVHVQGDIQNRELAQKVILQHRIDCIINFAAESHVDRSIENSTPFFNTNVMGTVALLEIVKEYPHIRMLQVSTDEVYGLGNKGLFTETTPLAPSSPYSSSKASADMIALSYFKTYGTHVSITRCSNNYGP--------YQYPEKLIPLMIIQALKGKQLP--------VYGDGKNVRDWLHVED----HCRALDAVMHRGKGEVYNIGGNQERTNIEVVRSILQQLGKETAIEFVADRLGHDRRYAIDASKIKKELGWSPTYTFEEGLRETIEWYCENELWWSR--- 5579434 ----RILVTGGAGFIGSEFVRSLLRNTPWVTVLDKLTYANLDPVAGHPFVRGDICDAHLADHVMRGH--DAVVHFAAESHVDRSISGAGPFVTTNVVGTQVMLDAAYRHGVGRFVQVSTDEVYGSISEGSWSEGAPLDPNSPYAASKAGADLLAHSYHRTHGMDVVVTRCSNNYGPR--------QFPEKVIPLFITNLLDGREVPLYGDGQH--------MRDWLHVSDHCRGIRLALRHGKA---GETYHIGGGTELTNEALTGLLLEATGRGWDVRRVPDRKGHDLRYSLRCDNARQHLGYEAEVGFEKGLADTVAWYRNNRSWWE---- 254486076 --TRTALVTGSSGFIGYFTSIALLDAGWKVIGLDCLSDYYDVALKERRQAMLNIKDRLELMEIFEKHRPDAVVHLAAQAGVRHSIEAPRDYVEANLIGTFELLEAARAYPPAHMLLASTSSVYGSNTDMPYAETHKVDTMSFYAATKKATENMSHSYAHLYHLPITMFRFFTVYGP----------WGRPDMAHFKFTKAILNGDPIDIYNHGDMKRDFTYIDDLLALIDTVPDTPVENDSLSNVAPFRVVNIGNDSPVPLMDFIAAIEKACGRAAIKTYHDMQPGDVPATWADASLLQNLTGQRPVTPIETGLQNFVDWYRDYYK------- 255323441 ----KILVTGTAGFIGFHLANALVARGDEVVGYDVINDYYDVNLKLARFIKADLADEKTMKELFAKEKFDVVVNLAAQAGVRYSLINPKAYIDSNITGFMNILECCRHNEIKNLVYASSSSVYGLNENMPFTHEAVNHPISLYAATKKSNEMMAHTYSHLFNVPTTGLRFFTVYGP--------WGRPDMALFLFVDAALKGKKIDVFNYG--------KMKRDFTYVDDIVKGVIKCIDNPAKPNPAWDYNIGNNSPVELMDYIKAVELKIGREIEKNFLPLQAGDVPATYADVGDLVADFDYKPNTSVNDGVARFIEWYCEFY-------- 223999897 -KRKKILVTGGAGFVGSHLVDKLMMEGHEVIVIDNFFTGQRKNIHWMHHPRFSLVVHDVTEPI--MLEVDEIYHLACPASPPHYQYNPVKTIKTSTMGTINMLGLAKRVKA-KILLTSTSEIYGDPKVHPQPESYWIGPRSCYDEGKRVAETMMYSYRNQNNVDVRVARIFNTFGPRM--------HPNDGRVVSNFIIQSLQDKPLTIYGD------GSQTRSFQYVSDLVD----GLHALMNGGYDLPVNLGNPDEYTVKHFAEYIKEITGSASDISFLKATQDDPTQRKPDITTAKRELNWEPKVTVKEGLQKTIQYF------------ 89098352 ------LVTGAAGFIGLNFVHALKDPSVSVTVIDALTYAHPEEMKRFRFIKGNIANEQELETAFDRH-YDSIIHFAAESHVDNSIQNASLFIETNISGTYQLLLNMLKGRAAKMIHISTDEVYGTAGKSAFTEDSPLMPNNPYSATKASSDLLVRSFRETYKLPVITTRCSNNYGP----------YQHSEKFIPTIVRKALNNEKIPVYGDGL------QIRDWLYVEDHCRAIRLILEKGRL---GEVYNIGGGNEKTNIEVVKHILDLLGKPDSIEYVEDRKGHDRRYAIDSSKLQSELGWKQEAPLEQALERTVDWYKSRLKGYA---- 291288656 ---KNIMVTGGSGFIGANFIRYALKDTNRIINVDKLTYAGNPENLEDIINDIDICDYDEMNKVFMKYDVDCVIHFAAESHVDRSIHGPGEFVRTNINGTFTLLETARKNWLNKFHHVSTDEVYGLGETGYFYEDTPYDPRSPYSSSKAASDHLVKAYHHTYGMNITMSNCSNNYGP---------YQFPEKLIPVMVLNILAEKD-------LPVYGDGKNIRDWLYVID----HNTAIWNIVTKGRGETYNIGGENEWTNIELVNTLCELTGKPDIITFVKDRPGHDRRYAINCDKLKSELGWKQSVTFADGLKNTLRWYLKNSEWID---- 218134467 ------LVTGGCGFIGSHIVDRLLAEGHTVRVIDNFSTGHQKGNPNLTIYEMDIRNKEQIEPVFEGV--DYIFHMAALADIVPSIQRPWDYFSSNVLGTYNVCECARAAGIRKLVYAASSSCYGIPDEYPTKETAEIRPQYPYALTKRLGEETVLHWGQCYGLPVVTLRLFNVYGTRSRT-------------SGTYGAVFGVFLAQKLAGEPFVVGTGEQTRDFTYVTDVA-------DAFYTAAMSDIVNDTFNVGSGGTYSVNRLCELLGGE--IIHIPKRPGEPDCTFADTTKIEKALNWHAHVTLEEGVQKILD-------------- 143030527 ---KKIIVTGGSGFIGSNLVNFLIRKNFFVINLDKLTYSSNNYKNNLKNIKIDILKRKKIIEIIKRYKPNAIFNLAAETHVDRSIDGPANFINTNINGTFNLLEALRHNINPKLIHISTDEVYGDIKKGKRSEDHKYEPSSPYSASKASADHLVKSYIRTYNLKAVISNCCNNYGP--------YQFPEKLIPKMISNIFNNKELPIYAKG--------NNSREWIHVKDHCEALFK---LYLKGKNGESYNVGSGVNLKNIDLVKRIVKIMGNKTKIKFVKDRPGHDFRYALDNKKILKKLQWKAKIKFEDGLKETILWYLNNKKFLSS--- 78485803 -QGKQVLVTGADGFIGSHLTEQLVKAGAKVRALALYNSFNSWYLDEIEVVSGDVRDPFLCKKITQDCH--TVFHLAALIAIPYSYIAPNSYVETNVNGTLNMAQACLDNNVTRFMHTSTSEIYGTAQYVPIDEKHPSQPQSPYSASKIGADAMAMSYFNAFEMPVSIARPFNTYGPRQS---------ARAVIPTIITQIANGMKEIKL-------GDTSPTRDFNFVTDTCRGMMAIAESDKAIGETINI--GSNFEISVQDTLEMIKDIMQSDVVFVTDEQRLSEVFRLWCDNTLIQSLTDFKPQYNLRTGLEETVRWFRN---------- 77864465 ----RALVTGAAGFIGSAFVRSLTQPGMEITAFDALTYGNTENLPRFTFVRGDIADGKLLAEVLPGHDI--VLNFAAETHVDRSIEGPSVFVRTNVLGTHTLLEAARRAGVERFVQISTDEVYGSIDTGSWTEESALCPNSPYAAAKAGADHLALAYARTYGMHVCITRCSNNYGPH--------QFPEKVIPRFVTLLMEGHRVPL--------YGDGGNVRDWLHVDDHCAGIRLVLEK---GKPGEVYHIGGGTELTNAELARLLLEEFGHADRVERVPDRLGHDRRYSLDDSKLRA-LGYRPGVPFAEGLRATVAWYREN--------- 227502223 ----KVLITGGAGYIGSTIAACCQDNGITPVILDDYSKGLKEFSRPYANYEGDIADTCVVQNILSEHDIDSVIHCAAKIVVPESVSAPLDYYENNVAKSLTLLRELSGLGVRRFILSSTASMYEVGDDYMVDETGAVAPQSPYSASKWMLERVLRDFAATGAMNAIALRYFNPIGADPS-MRSGLQDPHPTHALGKMIEAYRSGGVFTVTGVDWPTRDGSGLRDYVHVWDLARAHVAALQNFDEIDGFDIINLGTGTGTTVFELADAFGQATGKPLNVQTAPPRLGDVVGCATLTDKAEQLLSWQAELSIADGVRESLEWAEKLP-------- 143566506 ----TILVTGGAGFIGSNFLHQAVNSSEKIICVDNLSYASDEQIKDLPIENANIIDQHYCDYIFKKYKPKTIFHFAAESHVDNSIKDCSKFIDTNVHGTVNLLNLSVKYDIERFHHISTDEVYGNYEDPPFTETTPYDPQNPYSASKASSDHFVATYHNTYGLPTIITNCSNNYGPRQN---------KEKLIPKIISNVLQNK-------EIPIYGKGENIRDWIFVDDHCRAI---IDLYRYGTVGEKYNIGGECELRNIDLVRRIINLMDADEKIKFVDDRLGHDLRYAIDNSKIKNAIAFHPQYDIKTGLRKTISWYENN--------- 135614498 -KPKTWLITGVAGFIGSNILEALLKLNQNVVGLDNFSTGYQKNLDEVKFIDADIRNSDSC--ISACSNIDIVLHQAALGSVPRSIEDPILTNSANVNGFLNVINAARVSGVERFVYAASSSTYGDHADLPKRESVIGSPLSPYAVTKLVNELYADVFTKCYGLSSIGLRYFNIFGRRQDPNGAYAAVIPKFISALIQNNSVT------------INGDGETSRDFCYVDNAVQANILAGLTQSEPATNQIYNIAVGEQTTLNELFNEIKNQLLKEVYPNYGDFRDGDVRHSLADIKKANQLLGYSPEFNVKEGLAKAISWYL----------- 143453458 ----NILVTGSAGFIGFHVAKKLLKKGYKVLGIDNHNDYYDQALKENRHFRVDLDAEDSINEIFSNHNIRVVINLAAQAGVRYSIENPKSYIKSNLNGFFNILNCSKEHNIEHFIYASSSSVYGANEEQPYSESHHTAPLSLYAATKRSNEIIAHSYSSLFKINTTGLRFFTVYGP--------WGRPDMALYKFTEKIIKGEEIE--VFNEGKHIRDFTYIDDVVKMILTIMKDYPFKEVDNSSAGHRIFNIGNGNPQPLMTYINEIESKLGVTARKKFLPLQDGDVPETSADISKFEEFYGYRPLTQIKEGVGNFIDWYKEY--------- 289549040 ----RVVITGAAGFLGSHLCDRFLKEGFEVIGIDNFLTGRPENIAHLFGHRFKFIHYSVTNYIYIDGPVDLVLHFACPASPVDYMRHPIHTMKVDSLGTINTLGLAKLKKA-RYILASTSEIYGHAHVHPQPETYWIGPRSVYYEAKRFSEALCMAYHREHGIDVRIARIFNTYGERMRLNDGRV--------IPTFLTKALRNEPIPIHGDG------SQTRSFCYVEDMVEGIYRLATYEGLQGE--VFNLGNPQEVSIKDLADNILKITKSSSTVMFLPPREEDPPRRCPDITKAKKLLHWEPKVDLLEGLARTVRWMRD---------- 135857064 ----KILVTGGAGFIGSHTVVELIKNNFQPIIVDDLRNSESFIIKKIPYYAIDFGDTLKLSEIFKKENPEGIIHFAADKAVNESIKKPLKYYNNNLSNLVNLLSLVGKFKVKSFVFSSSCTVYGLPDFIPVNENAKKEAASPYGFTKQVGEKILTDFFKTMPNSSLAHRYFNPIGAHPSGLIGELPIGPSNLIPYITQTAIGKRECLTIHGNDYNTTDGTCIRDYIHVVDLASAHVLTLNHLLNNSENLILNVGTGYGSSVLKVVNRFQEINNIKVKYKFGPRRSGDVPVIYADNSLICKKIN------------------------------ 120603628 MQYKRILVTGADGFIGSHLVEYLVRQGYSVKALDSLS---PDIMNNVEVFTGDIRDPEGVRTAMSGC--EAVLHLAALIAIPYSYHSPDTYVDTNVKGTLNVLQAARALDIGKVVHTSTSEVYGTAQFVPITEEHPLHAQSPYSATKVAADQLALSFYRSFATPVAIIRPFNTYGPRQS---------ARAVIPTIITQLAAGARSIKL-------GALTPTRDFNYVLDTVRAFEAVLQADQSVGQVL--NAGSGYEVSIEDTARMIAEIMGVSLSIECDEMRKSEVERLWADNSRLRLITEWEPAFGFRLGLEKTICWFSK-PENVKN--- 142971269 ------LITGGGGFIGSHLAEKLVKMKHKVIVIDNFSVGRKSNLKKIKIVDADILNEKSITKYFK--NIDNVFHLAARADIIPSIENPELYFDVNVKGTLNILNASVKNKIKRFIYIASSSSYGIPKKYPTPESAEINPQYPYSLTKRMGEELVIHYSKVYNLNCSSLRFFNVYGPRART---------SGTYGAVFGVFLAQKIANKPF---TVVGDGKQTRDFTYVSDVVDSIIKVSNKKNISGE--VFNVGSGKTISVNKIVDIL------GGKKIFIPKRPGEPDKTFADIRKIRKKIGWRPKVNIEKGI------------------- 283488505 ---KNILITGAAGFIASHVCNRLIRNDYKIVVLDKLDYCSSLKNLDPKFIKGDIASADLVHFILQTEFIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTQIKRFIHVSTDEVYGETDEDAMHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGTN--------QFPEKLIPKFILLAMNGKILPIHGDG--------SNVRSYLYCEDVAEAFEVILHR---GEVGHVYNIGTKKERRVIDVARDICRLLDPESQIKFVENRPFNDQRYFLDDQKLKS-LGWYERTTWEEGLKKTMEWYVSNPDWWGD--- 219849940 ---RNLLVTGGAGFIGSNFVHYMLGKDYRIVVYDKLTYAGNLANLRFVFVRGDICDIDAVRETVRTYDIDTIINFAAETHVDRSIMAPDAVVRTNVNGTWALLEVARELKLERFHQISTDEVYGIPAPRRSREGDPLEPRSPYSASKAGAEHLVYAYYITYGVPITITRGSNNIGP--------YHYPEKAVPLFTTNAIDNLPLP--------IYGDGLQVRDYQYVLDHCEAIDVVLHKGQI---GEVYNVGTEVETPNIEMARKILDILGRPHSIQHVADRAGHDRRYALDCSKLRA-LGWRSRHTFDEALEKTVRWFVENEAW------ 54022174 ----RLLVTGGAGFIGANFVQQTVAEDATVTVLDALTYAGNRASLRIDFVHGDIADLDLVDELVSGV--DAVVHFAAESHNDNSLAEPWPFVQTNIVGTYSLLQAVRRHDV-RYHHVSTDEVYGDAEQPPFTETTAYNPSSPYSATKASSDLLVRAWTRSFGVRATISNCSNNYGP---------YQHVEKFIPRQITNLIDGVRPRL-------YGAGHQIRDWIHVHDHNRAVWDILERGRI---GQTYLIGANGELDNKSVVRMLLEAFGRDPDFDHVTDRPGHDQRYAIDATLLRTELGWTPRYDFRAGLAATIDWYRANESW------ 134770696 MNRMRILITGGCGFIGNHVVRALLIPGVESIILDALTYGHAENLNDIDDERGSINDFDLVSKILNDNQIDVILHLAAESHVDRSINSVQPFIETNIDGTRVLLESKQKGHIVHFVHVSTDEVYGGPDDEPFTEDTPLNPQNPYAASKAAADMLVQSFVNTHELSAIITRCSNNYGPRQF---------PEKLIPLMTLNALNDK-------MLPIYGDGKQIRDWIHVDDHANGVLCAMLFVGKIETGEVFNFGANNEQQNITIVRSILSQTNAESLMEHVNDRPGHDRRYAMGYEKASRVLGWKPEIDWATGLSETIEWYR----------- 135623777 ---KKVLITGGAGYLGQHLAKTLHDDGNLVYCIDRVPTKCPYYHQTWVE---DLNCSENLSTALKM-GMNTVFHLAGRIEVCLSWKQPLRFWRDNLYSTMNVLGKMKKYGVNNIVYSSSGGVY-EPKDELITEQDSIAYNNPYANSKIAAENVIRD----SGLNSVVFRFFNLAGADPSITMGENHDPETHLIPLLFQNS----NDFTINGDNYRTKDGTCVRDYVHVSDVAEANLLAMNYTWKQGQNATINLGTGVGYSILEIINLCKELLGLDIKYEVGPRRSGDPSKLVADISHAKSFLGYKPKYDISDILKTAYSWYIKN--------- 254558773 -----VLVAGGAGFIGSHLVDALLARGARVVALDSLLTGRRDNLAHLSHERFEFVEADITEPLPRLPRFERVFNLACAASPPHYQADPIHTMLTSVVGTLRLLERARNDGA-RFLQASTSEVYGDPLVHPQPEAYWTGPRACYDEGKRAAETLAFDFERGQGLEVRVARIFNTYGPRMRA--------DDGRVVSNVICQALADAPVTVYGDG------EQTRSFCYVTDLVEGLMRLMACEAAPGGP--VNLGNPREMTVAELVSLVAEMTGTRSPVVRRPLPVDDPQRRRPDIARAQALLGWSPKVALEQGLEATIAWFVAKPRSIGGRH- 283795743 ---KKYLITGCAGFIGSNFVYYMLKKYQDIVNLDKLTYAGSEGDPRHVFVQGDICDKDLVASLFEKYDFDYVINFAAESHVDRSIANPEIFVQTNVMGTINLLETKAWKEGKKYLQVSTDEVYGLGTEGYFTETTPLSPHSPYSSSKASADHFVQAFHDTYGMPVNITRCSNNYGP--------YQFPEKLIPLMI--------NNVKHHKQLSVYGDGMQIRDWLYVED----HCKAIDMVANDGKGEVYNVGGHNERPNIFIVKTIKDEGISEDLIKHVEDRLGHDRRYGIDPTKIKNDLGWYPETPFEKGIVLTIDWYLEHEDWMNN--- 141772688 ------------------------------------------------------------------------MHLASYAYVSESIENPAKYYRNNIVGAINLLDAMLKNNVKNIIFSSTCATYGAPDAIPIKENDLQKPINPYGSSKLVVEKIIKDYHRAYNFKYSILRYFNAAGADLDGEIGEYHIPETHLIPLVIRAAFDKKYTLKIYGNDYKTTDGTTIRDYIHVVDLANAHTLSLKKIKNTGNSFCLNLGANFGLSVMQIINHVELLTNKKVKYEVVSRRLGDPAILIADNNSAKEEIGWTPKYSISQILNSAIEWYVKNY-------- 227509201 ----NILVTGGAGFIGSNFIHLLLRQSDRLINFDALTYAGNLDNLDDIPEKGDIADKEAVKKVVSNYQINVIVNFAAQSHVDRSIIDATPFVHTNIEGVNTLLEVAREYHLDKFVQVSTDEVYGTPSQARFDEQTPLNPSSPYAATKASADLLALSYFKTFGTPVCITRSANNYG--------RYQFPEKLVPLMVTAALRGKKLPIYGNGQ--------NKRDWLNVQDNCRAIEMVM---SNGKPGQIYNIAGRQHKTNLQIVKIIEKLAAIHPQVTFVKDRPANDQLYAIDDSKIRHELGWRPEFSFEIGMGDVIDWYVIHPEW------ 300943035 ----RILVTGGAGFIGSHLIDRLMEQKHDVLCLDNFFTGNKQNILKWIGIRHDITEPIRLE-------VDQIYHLACPASPVHYQYNPVKTIKTNVMGTLNMLGLAKRVKA-RFLLASTSEVYGDPDVHPQTEENCIGPRSCYDEGKRVAETLAFDYYHQNKVDIRVARIFNTFGPRM--------LENDGRVVSNFIVQAIRGIPLTVYGD------GSQTRSFCYVSDLVE----GLIRLMNGEYIGPVNLGNPGEYTILELATKIQQMINPDAEIQYKPLPQDDPKQRQPDITKAKKYLNWEPTVHLDDGLELTIE-------------- 254445874 ----RILITGGAGFLGSHLCERLLGEGHEVVCLDNLFTGRKANIPYFEFARHDVIDP-------FKFEVDQIYNLACPASPPHYQYNAIKTIKTSVMGAINCLGLAKRLRARVFQ-ASTSEIYGDPVEHPQTEAYWIGIRSCYDEGKRCAETLFFDYHRQNGVDIRIARIFNTYGPRMLANDGR--------VVSNFIVQALKGEDLTIYGDGSQTRSFCFYSDLIEG---------FIRLMSQDETTGPVNIGNPGEFTMLELAEAVLREVGSKSKLVHLDLPADDPKQRQPDISIAKEKLGWEPKVPLEEGLRETIAYFRK---------- 136547569 ---KNILITGGAGFIGSHVVRLMVNKEYQIFNLDALTYAGNENAPNYHFVKANILEADVLKEIFEKHAITDVIHLAAESHVDRSIVSPLDFVYTNVIGTVNLLNTAKEFWKHLFYHVSTDEVYGLGKDGLFTETTAYDPNSPYSASKAASDHFVRAYGETYHLPIVISNCSNNYGPN--------HFPEKLIPLFIHNII--NKKSLPVYGDGLYTRDWLYVKDHARAIDIIFHQGKKADTYNIGGFNEWTNIDLVKLMCAQMDVKLGRAAGESEALITYVKDRPGHDRRYAIDATKLNKELGWSPSVTFEQGLAETI--------------- 88604300 -----IFITGVAGFIGSNFVYHYLDIHPEDIIIDALTYGNPENLAALPEDQGDITDPEQVQSIFSRYDIQGVINFAAESHVDRSIHDPAIFLKTNILGTHTAQAAWRKNGTWKFLQVSTDEVYGLGPTGLFSETTPLDPHSPYSASKAGSDLIAKAYHDTFGIPLVITRCSNNYGP--------YQFPEKLIPLTITRALEHQSIP--------VYGDGRQIRDWLYVMDHCRAIDL---AFHKGKPGEVYNIGGSNERENIIIVKTILRLLHEESLITYVTDRLGHDRRYAIDASKIKREFGWAPQTPFEEGIRKTIRWYLEHQSWVKS--- 283488497 -----VLVTGAAGFIGSHCSLALKKRGDGVLGLDNFNDYYDPSLKRARQVEGDLNDGPLLTKLFDVVPFTHVLHLAAQAGVRYAMQNPQSYVKSNIAGFVNLLEAKAVNPQPAIVWASSSSVYGLNTENPFSERDRTDPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGP----------WGRPDMAYFFFTKDILQGKPIDIYRTQDQKAV---ARDFTYIDDVVKGCLGALDTAEKSTGSGVYNLGNTSPVPVGRLVSILEGLLNTKAKKHVVTPRNGDVPYTHANVTLAYKDFGYKPTTDLSSGLRKFVKWYVNYF-GIESKH- 227498816 ----NVLVTGGAGFIGNHTVRYLIEKGYDVTVVDDLSRGNAGLLLEAHFYPIDIL-TPQFQEFMAARHFDAVIHLAAQIEVASSERDPLRDASLNIGGTLAVLEGARKAHVSRFVFASSAAVYGHPSELPLAEEAPLCPLSPYGLSKVTAENYIRMLAPSFSMEWVILRFANVYGER-------EVRKDPGGVIQIFANQIARHRPITLFGATDPT------RDWIYVRDVAEALAKSLVTIRGDA---VYNISTGKEVSLKTVLAMLERTAGYSVPHEQGPKRYGDIHRSVLSCAKARTLLAWIPKMTLEEGLFRTFRFAQDQAR------- 298245004 ----KIVVTGGAGFVGSHLCKRLLDEGHTVLCADNCITGNIDDLRTHPNFQFIQHDVTEPFD----YEADAIFHMASPASPIGYMEHPIETILVNTQGTHRMLEAARKNNA-RFLVSSTSEIYGDPLVHPQTEGYWIGPRACYDESKRLSETLTMEFVRQYNVNARIVRIFNTYGPN-------SQIHDGRMIPNFITQAL-KNEPLVIYGDGSRTRSICYVSDLIDGLMRAMF--------SENTQGEVFNLGNPNEHTVLEYAHEIIKLCNSRSTILFEPVRVDDPERRRPDITKARRVLGWEPRIEKKEGLRQTIAWFK----------- 148241183 ----RVLVTGGAGFIGGAVVRRLLDSDALVFNLDKCGYASDLASIEALPEQLDLADAEATAAAVQQADPDLVMHLAAESHVDRSIDGPGAFISSNVNGTFALLQAARSHWRFRFHHISTDEVFGLGATGRFSESTPYDPRSPYSASKAASDHLVNAWHHTYGLPVVLTNCSNNYGP--------WQFPEKLIPVVILKAIAGEPIPL--------YGDGANVRDWLYVEDHVDAL---LLAATRGQLGASYCVGGHGERSNREVVETICQLLDHARLITRVADRPGHDRRYAIDPARISSELGWQPRHDFNAGLAATVDWYLQNQQW------ 258543467 ----TLLVTGAAGFVGFHVTQALLARGEQVIGIDNLNGYYNPQLKQARFYRCDLGQPENLQELQKKANIEGIFHFAAQAGVRYSLKDPYIFADSNVRGHVAMLEFAREPNLKHFVYASSSSVYGRNRKLPFSETDPDHPGSFYAVTKRAAELASSAYSHLYNIPQTGLRFFTVYGP--------WGRPDMAYYSFARAITEGR--------DVTLYEGASLARDFTYIDDVVAAVLAVYEQVPPATEPRVLNIGNHRPEPVKYLVELLEQALGRKAAIRYLPRPESDVEKTWADITSIQQLTGWAPQTTLEEGIPEFIRWFQA---------- 304405552 -DNMKVLVTGADGFIGSHLVELLVNQGYEVRAFSWLDSLQKDVLNQIEVVTGDIRDANGVYDALKGV--EQVFHLAALIAIPFSYSSPDMYVDTNIKGTLNVLQAARRLHLSKILITSTSEVYGTAKYVPMDENHPFQGQSPYSATKIGADRLAESYYRSFDMPIAIVRPFNTYGPRQS---------ARAVIPNIISQLLSGKEEIEL-------GSISPTRDFVYVKDTVNGFLKIAESEHTIGQEINI--ATQKEISVGDLAEVIIKQINPNARIICKEERKSEVNRLLGSNEKIMRLTNWKPQYSFEQGIKDTVEWIKNNLDTYKTD-- 198275595 ---KTYLVTGAAGFIGANYIKYILGKHDDIVILDALTYGNLETIERCFFVKGNICDRNLADQLFADYKFDYIVNFAAESHVDRSIENPQLFLQTNILGTQNLLDAARRAWVTRFHQVSTDEVYGLGAEGYFTEETPLCPHSPYSASKTSADLIVMAYRDTYKMPVTISRCSNNYGP--------YHFPEKLIPLIIKNILEGKRLP--------VYGDGTNVRDWLYVEDHCKAIDMIIH---NGKDGEIYNVGGHNEKQNIEIVKLYRNILKKKEMITFVKDRLGHDQRYAIDPTKITRELGWTPETKFEDGIVKTIRWYLDNQKWVKN--- 117927624 --------TGGAGFIGSHYVRTMLSGGYEVTVYDKLTYAGNLANLRYRFIQGDICDGRLLAEVLPGH--DLVVNFAAESHVDRSIYGAAEFVVTNVLGAQTLFEACVQAGIPRVVHVSTDEVYGSILEGSWTEDHILEPNSPYSASKAGADLLARAYAGTYGLNISVTRCTNNYGP--------YQFPEKVIPLFITNLIDGKKVPL--------YGDGLNVRDWLHVDDHCRGIQIVAEKGR---PGEYYNIGGGLELTNRELTQKLLDACGATWDVEFVEDRKNHDRRYSLDDTKIRA-LGYEPRVSFDEGLAQTIRWYHENEWW------ 134781330 MKTKTVLITGGAGFIGSHVVLRMVQHNYHIINLDALTYAYLEAIAHAPFVKADINDGALVTQLFQEHQISDVLHLAAESHVDTSISQPLQFAQTNVMGTLQLLEAARKAWDHRFYHISTDEVYGLSDTGKFLEATPYAPRSPYAASKAASDHFVRAYHHTYQLPIVLSNCSNNYGPHQYP----------EKLIPLCIKNIMEHQPLPIYGKGI------NVRDWLYVED----HAVAIDRIFHQGKGSTYNIGGNTELRNIDLVHQLIAITDSLPLIEFVADRLGHDYRYAMDTSKITAELEWKPATPFHKGLEATVKYYIQ---------- 115436236 ----RVLVTGGAGFVGSHLVDRLVERGDSVIVVDNFFTGRKDNVAHHANPRFEVIRHDVVEPIL--LEVDQIYHLACPASPVHYKYNPIKTIKTNVVGTLNMLGLAKRIGA-KFLLTSTSEVYGDPLQHPQVETYWIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRM--------CIDDGRVVSNFVAQALRKEPLTVYGDGKQT------RSFQYVSDLVEGLMSLME----GEHIGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRPNTADDPHKRKPDITRAKELLGWEPKVPLREGL------------------- 136592827 ---------------------------------------------------GDIADQELVAKICKVHSVTSVVHFAAYKAVGESMAKPEMYWSNNVASTEKLLAVLADNKVDKFVFSSSAAVYGTPKSVPVTEAMPTMPESVYAETKLAVEKYLLAVRATQPINSVSLRYFNAAGASSDNKIGEDWSTSQNLLPRVMRALLDNVFKFEIYGNDYDTPDGTCIRDYIHVEDLAAAHLKALEYLDSGGKTIACNIGTGNGTSVMQLIDLTEQIAKRKVPYTVSARRPGDPVSVYADATLANKTLNWHATKSLQQIVESAYAWHSTHPNGYRK--- 257087324 ---KKIIVTGGAGFIGSNFVHYVVKNHVHVTVLDKLTYAGNEKNLEGLPSDGDIADAELVNRLVA--ETDAVVHYAAESHNDNSLNDPFPFVQTNLIGTYTLIEACRKNNV-RYHHVSTDEVYGDGEGEKFTAETPYNPSSPYSSTKAGSDLLVKAWVRSFNLQATISNCSNNYGP----------YQHIEKFIPRQITNVLSGITPKLYG------AGKNVRDWIHTNDHSSAVWAILTKGQI---GETYLIGADGEEDNKTVMELILELMGQPIDYEHVNDRAGHDLRYAIDSTRLREELGWEPEFTFREGLAETIKWYTENEDWWRADKE 297817618 LKRKRVVVTGGAGFVGSHLVDRLMARGDTVIVVDNFFTGRKENVMHHFNPNFELIRHDVVEPIL--LEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGA-RFLLTSTSEVYGDPLQHPQVETYWIGVRSCYDEGKRTAETLTMDYHRGANVEVRIARIFNTYGPRM--------CIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQFVSDLVEG----------LMRLMEGEHVGPFNLGNPGEFTMLELAKVVQETIDHNANIEFRPNTEDDPHKRKPDITKAKELLGWEPKVSLRQGLPLMVKDFRQ--RVFGDQRE 295132938 ----KVLVTGAAGFIGSHAAEALNKEGYEVVGLDNFSDYYDVRLKELNTDKVDLRKPEDFKKLTS--DFDFIIHFAAQPGISSSST-FDQYLQNNVIATQNLIEAHQNKKLKHFFNISTSSVYGL--EATFPEDAAAQPASFYGVTKLAAEQLVLAESRAKRLNSSSLRLYSVYGPRER--------PEKLYTKLIACAFNNEKFP-------LFSGSQKHLRSFTYVGDIIEGLLSAVKKH-HELNGEIINLGTEAEYTTQEGIDYVEELLGKKIELEVKPRRKGDQWHTRANINKAKKILDYHPTTTLKDGLQRQINWYKAN--------- 261367242 ---KTYLVTGCAGFIGSNFVHYMLDKYEDIINLDKLTYAGNEDDARHIFVQGDICDKALVTDLIAKYDPDYVINFAAESHVDRSIKNPEIFVESNVLGTVNDAEAKTWKAGKKYLQVSTDEVYGLGAEGYFMETTPLCPHSPYSASKASADMFVKAFHDTYGMPMNITRCSNNYGP----------YQFPEKLIPLLINNAKQHKKLPVYGDGMQIRDWLYVMDHCKAIDMVANGGKDGEVYNVGGHNERPNIFIVKTV-IAQLHDRLKDEGISEELITHVADRLGHDRRYGIDPTKIKEDLGWYPETPFEKGIVLTIDWYLAHPEWMS---- 83311258 ----TILVTGAAGFIGYHTSLRLLARGERVLGVDCLSPYYDVRLKQTRLEQADIADRAAMQQVATSNEVTDYINLAAQAGVRHSLTAPFDYTHSNIEGHLVMLEMARNPKCRHFVYASSSSVYGANTKLPFSEDRVDTPISLYAASKRSGELMSHSYSHLFRVPTTGLRFFTVYGP----------WGRPDMAAYLFADAIIAGQPIKVFNNGDM------RRDFTYIDDIVSGVVGVLDNPPADDGYRLYNIGNNNSEKLMDFIGLVESSLGRKATYDFHPMQPGDVKETYADISAIQRDVGFAPATPISVGVPRFIEWYKQYHR------- 242090279 LKRKRVLVTGGAGFVGSHLVDRLLERGDSVIVVDNFFTGRKDNVLHHEMIRHDVVEPILLE-------VDQIYHLACPASPVHYKYNPIKTIKTNVVGTLNMLGLAKRINA-KFLLTSTSEVYGDPLQHPQVETYWIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRM--------CIDDGRVVSNFVAQALRKEPLTVYGDGKQT------RSFQYVSDLVEGLMKLME----GDHVGPFNLGNPGEFTMLELAKVVQDTIDPNAQIEFRQNTQDDPHKRKPDISRAKELLGWEPKIPLREGLPLMVSDFRK---------- 141834698 ----KILVTGGAGYIGSQMVHSLLDNGFNVSVIDNLTTGNKNTIPKSEFFHADIGAGNKITNYLKKNKIDAIFHFAASIKVEESETNPLKYFKNNFCETRAFLDSCIKANIKFFIFSSTAAVYGNSQSQFSKENDILEPSNNYGLSKLFCEQMIEKVCKTHQIKYCILRYFNVAGSDLRLRTGQMNDQPSHLIAVACHAALGLIESIPVFGTDYKTKDGTAIRDYIHVVDLVDAHLNALDYLKKDGTSGIFNCGYGQGYSVYEVINALNMIIEKEIKTTLRPR-------------------------------------------------- 253991810 -KPATWLITGVAGFIGSNLLETLLKLNQNVIGLDNFATGHPEQWQKFHFIEGDIRNLDDCQK--ASVGVDYILHQAALGSVPRSIEDPITSNATNISGFLNMLVAARDARAKRFVYAASSSTYGDHPELPKIEERIGNPLSPYAVTKYANELYASVFARTYNLQSIGLRYFNVFGPRQDPN-----GSYAAVIPKWTATMLAGE-------QIYINGDGKTSRDFCFVANAVQANILAATTINHNAINQVYNVAISQQTTLNELFEMIKSELDNILPAIHRDFRKGDVRHSLADINKASNLLGYKPTHTIRQGIKLATQWYLKEYKN------ 135556368 ----KILVTGGAGFIGSHLVERL-AKNNKVIVIDNLSRGRIENIKKITFFKADISKINTIKKYFKGV--DRVFHLAALADIVPSIKNPQEYYDTNITGTLNVLKCSEHFKIKKFLYAASSSCYGIPKKYPTDEKQPLDPQYPYALTKMMGEQLVLHWSKVYKLKSISLRLFNVYGTRSRSSGTYGAMFGVFLAQKLSNAPLT------------IVGNGKQTRDFTYVSDVVDAFIC---ASKSKIYNQVFNVGSGKNISVNEIASLL------SNKKVHIPKRPGEPNATLANINKIKKILNWRPKIDIKKGV------------------- 116786981 ----RIVVTGGAGFVGSHLVDRLLERGDHVIVIDNFFTGRKENVMHHKNPRFELIRHDVVEPIL--LEVDQIYHLACPASPVHYKFNPVKTIKTNVMGTLNMLGLAKRIGA-RFLLTSTSEVYGDPLQHPQTETYWIGVRSCYDEGKRTAETLTMDYHRGANVEVRIARIFNTYGPRM--------CIDDGRVVSNFVAQALRKEPLTVYGDGKQT------RSFQYVSDLVEGLIRLME----SNHVGPFNLGNPGEFTMLELAEVVKETIDSNAKIEFKENTADDPHKRKPDITKAKDLLKWEPKISLREGLPLMVE-------------- 187920921 -----IFVTGGAGFIGANFVIDWLAHHDEPINIDKLTYAGNYGTLQALHDDRDIGDRTAMSAIFEQYRPRAVVHFAAESHVDRSIDRPREFIDANVTGTGELLDVARLYWSFRFLHVSTDEVYGSANDAAFTETTPYAPNSPYAASKASSDHIVRAYHHTYGLPTLTTNCSNNYGP--------YQFPEKLIPLMIQRALSGDALP--------VYGDGRNVRDWLYVRDHCDAIRTVLARGT---PGETYNIGGNNEKTNVEVVHFICDLLDAREQIAFVTDRKGHDRRYAIDATKLRRELGWVPRETFASGLSMTVQWYVENGAWLEE--- 256075034 -KKKRILVTGGAGFVGSHLVDKLMQDGHEVIALDNFFTGKRHNIHWVGHSNFELLHHDVTNPIY--VEVDEIYHLASPASPQHYMHNPIRTIKANTLGTLNMLGLARRTNA-KFLFASTSEIYGDPEVHPQPESYWIGPRACYDESKRLGETMTYAYFRHLNLPVRVARIFNTYGPRM--------QINDGRVVTNFIAQALNNESITVYG------LGEQTRSFQYISDLVNGLVALME----SNYTMPVNLGNPVEFTVNELAIMVKNFTDSKSDIIYQPLPIDDPQRRQPDIGIAIKQLNWKPTVTLQEGLSKTIIYFKD---------- 135778220 ---KKIIVTGGLGFIGSNLIDLLIKKKFNVINLDKITYYNTKEFKRSKQYKFIKCDIKEIKNILNKYKPDCIFNLAAETHVDRSIDDPQSFIQSNIVGVYNCFKAYCKKNKSKLIHISTDEVYGDILTGRSSENYPYQPSSPYAASKAASDHLVSAYVRTYKIPAIVTNCSNNYGP--------KQHPEKLIPKLIYNILNNKPLP--------IYGKGTNSREWIYVKDHCEAL---IKVYQKGKVGEFYNIGSNKNLNNLEVSKKLISVLGNKVKISFISDRPGHDIRYALNSDKIKKNLKWKPKVSFEKGIKFTFDWYFKYYKSISKK-- 142817854 -SRQRVLVTGGAGFIGGAVVRRLLRESDAVFNLDKMGYASDEEVLRHVLQQVDLADAKAVRDAVQAADPDLVMHLAAESHVDRSIAGPGVFIESNVTGTYNLLQAVREHENFRLHHISTDEVFGLGEEGRFCETTPYDPRSPYSSSKAASDHLVSAWHHTYGLPVVLTNCSNNYGP--------WQFPEKLIPVVTLKAAAGEPIPL--------YGDGLNVRDWLYVEDHVDAL---LLAACRGTSGRSYCVGGYGERTNREVVECICNHLDHKHLIQMVTDRPGHDRRYAIDPARIQAELVWKPRHDFNEAIAKTVQWYITHY-------- 88799032 --------TGADGFIGSHLVELLVAKGYQVRAL----AQYNSFNFWGWLEDLDCLDQVDVRDPYFCQDIDWVFHLAALIAIPYSYTAPDSYVDTNVKGTLNICQAALHNGVEQVIHTSTSEVYGTAQYVPIDEQHPLQPQSPYSASKMSGDHMALSFFNAFGLPVSVARPFNTYGPRQS---------ARAVIPSVITQIASGKKVLKL-------GDLSPTRDFNYVTDTCHGFLSIAEAKGTEGE--IINIGSNSEISIYDTLELIRQIMQADVAIQTDEARKSEVHRLWCDNRKIKELTGYVPQHDLKAGLTKTIEWFLENLRRYKSD-- 86357005 MRNKRVLITGGAGLIGSHIADLVLEKPREIIVLDNFVRGRRDNLSTANIIEGDIRDRALLAKTFA--GIDIVFHQAAI-RITQCAEDPRLAFDVLAEGTFNVLEAAVKAGVSKVVAASSASVLGLAESFPTTEHHPYNNRTIYGAAKTFNEGLLRSFAEMYGLRYVALRYFNVYGPRMD------VYGAYTEVLIRWMERLAAGMPPLIYGDG------SQTMDFVDARDIARANILAAKSDVTD---EVFNVASGQEISLLELAQMLSSVMGVSLEPQHKEARVNGVTRRLADISKAERLLGFKAEISMEQGLRDLVAWWQQ---------- 251779542 ----KIVVTGGAGFIGGNFVHYMLKKEYKIICVDALTYAGNKDNKNFNFYKIDIADREAVYDMFEKEHPDMIVNFAAESHVDRSIENPEIFLKTNIMGTAVLMDACMKYGIKRYHQVSTDEVYGDRPDLFFTEETPIHTSSPYSSSKASADLLVGAYNRTYGLKATISRCSNNYGP--------YHFPEKLIPLMIANALNDKELP--------VYGTGENVRDWLYVEDHCRAIDLIIHRGT---VGEVYNIGGHNERTNLEVVKTIIHELGKENLIKFVGDRKGHDMRYAIDPTKIHNELGWLPTTSFDEGIKKTIKWYLENRTWWEN--- 295695831 -----VLVTGAAGFIGSHVTELLVRRGARVRPFVRYNSGWLAHLDPAIQQELDVGDLGDAEAVLQAAQMEVIFHLGAMISIPYSYAHPREVVETNLIGTLNILTAARDLGVRRVIHTSTSEVYGTARFVPIDENHPLQGQSPYSASKIGADKLAESFFCSYELPVVTVRPFNAYGPRQSL---------RAVIPTILVQALTRDR--------IQLGDLSTKRDFTYVVDTAAGFVRAAE--VDEAVGEVFNIGSGREITIGDLVEEVFSITGRRLPVEQEAKRLSEVRRLWADSSKAARILGWRPQVDLREGLERTLAW------------- 27381100 LRDARVLVTGSDGFIGSHVVEELVKAGARVIVYYNLDTVPADVMKSVEVVAGDIRDPHFM--IAAASGCTDVLHLAALIAIPFSYVAPDSYVETNVRGTVNVLQAARLAGVRRFVQTSTSEVYGTAQTVPIKENHPLVGQSPYSASKIASDQMALSFQASFDMPVVVIRPFNTYGPRQS---------ARAVIPTIISQIATGKRKIRL-------GAVSPTRDFSFVTDTARGLIAGLTAPAEQSVGQTINLGSGFEISVGATVEMIADVMGVDIEIETDEARLSEVERLWADNSKARQQLGWAPEFGMRRGIENTVSWFT-NPANLSR--- 125974705 ----NILVTGGAGFIGRWVVKRLLEDGHKVWVLDDLSNGQRKNIEFAGFVEGDIKNIPVLETLFEN-KFDICYHLAASINVQDSIDDPGTTFQNDVVGTFNVLEQCRKHNTKIVFMSTCMVYDRANDENGITEAHPTKPASPYAGSKIAGENMVLSYWYAYKLPAVVIRPFNTYGPMQKS------SGEGGVVAIFIRRNLEGLP-------LNIYGDGCQTRDLLYVEDCAEFVVRAG--YSDRVNGEIINAGLGRDISINDLALLIAKDKEKIVHVPHIHPQ-SEIAKLLCNYQKAKELLGWTPKVSLEEGIKRTEEW------------- 136409931 MQSKKVLITGGAGFIGSHAVDLFLHKGYEVRSIDNLSGGHLKNNKFFKLEKADLLNLGKLKKFIQDCQ--YILHFAGVGDIVPSIENPKKYFENNVQGTVNLLNSLNLSSVKKFVYAASSSCYGKAT-TPTSENHKIDTLYPYALSKYLGENICFHWHKVYRLPVNSIRIFNAYGTRSRT------TGAYGAVFGVFLRQLIAKQPLTVVGD------GNQKRDFLYVTDVVEAFYKASTTKLN---NKIWNLGSGKPQSVNKLIKILNPIGRINI-----PKRPGEPSITYADITRIKNDLNWKPKVSFEEGVAK----------------- 225464343 ---RNILITGAAGFIASHVTNRLVKNDYRIVALDKLDYGPSRSSSNFKFVKGDIVCADLVNHLLVAEDIDTIMHFAAQTHVDNSFGNSFEFTNNNIYGTHVLLEACKTKRIKRFIHVSTDEVYGLDTDIGNPEASQLLPTNPYSATKAGAEMLVMAYHRSYGLPTITTRGNNVYGPN--------QFPEKLIPKFILLAMKGEQLPIHGDG--------SNVRSYLYCEDVAEAFEVVLHKGVI---GHVYNIGTKKERSVLDVAEDICKLLDSKQAINFVHDRPFNDKRYFLDDQKLKK-LGWEERTPWEEGLRRTMEWYTKNPGWWGD--- 225464343 --------------------------------------------------KGRLQDRKTLMEDIRRVQPTHVFNAAGVTGRPWCESHKVETIRTNVLGTLTLADVCKEQGLLMMNFATGCIFEYDEEHPEFKEEDKPNFVSFYSKTKAMVEELLREYENVCTLRVRMPISSDLSNPR-----------NFITKIARYNKVVNIPNSMTVLDELLPISIEMAKR-----------NCRGIWNFTNPGVVSHNEILEMYRDYIDPGFEWVNFNLEEQAKVIVAPRSNNE-----LDASKLKKEF---PELSIKESIIKYV--------------- 170078425 ----KVIVTGAAGFIGSSLAETLLQQGITVVGVDQVNDYYDITLKRYQNFQLIEADIQHLDWEDLLKGVSVVYHQAAQAGVRASWIGFRDYTERNINSTQVMLEAAKKVGTERFVYAGTSSVYGDAETMPTPETICPQPVSPYGITKLAAERLCWLYLKNYAVPVTSLRYFTVYGPR--------QRPDMAFHKFFRAAILGE--------TIGIYGDGKQTRDFTYISDAVQANL--LAAQEPKAIGEIFNIGGGSRVILNDVLDEIDQIVGKPIPRNYGDRARGDARHTSADVTKAKTILGYDPQVKLSEGLQREWDW------------- 224438236 MLNKKILVTGGAGFLGSHLCERLLNRGDEVLCVDNLFTGTKQNILHNPRFEFMRHDYVEVDEIYNLACPASPVHYQ---------FDPVQTTKTSVMGAINMLGLAKRVKAKILQ-ASTSEVYGDPKIHPQVE-SYKGSVNPYDEGKRCAETLFFDYQRQHNLNIKVMRIFNTYGPRM--------HPNDGRVVSNFIIQALRGEDITIYGD------GSQTRSFCYIDDLISGMIALMD--SKDGFYGPVNIGNPHEFSMLELAQNVLELTESKSKLVFLPLPQDDPKQRQPDITLAKKELNFSPKVQLKEGLEKTIAYFK----------- 137581861 ----KILVVGGAGYIGSHMLKHFQDTEYKIEVLDNLSTGFETNCLDFPLHKCDLANRDEVYSILKN-NYDVVMHFASYINVGESYLNPQKYYQNNVVNTLNLLNCMVDLNILNFVFSSSAAVYGEPKSNPISEKHPLAPVNPYGQTKAIVENILKDYDHAYGLKSISLRYFNACGAHSDGTIGERHNPETHLIPLILQVASGRKDKITIYGDDYPTRDGTCIRDYIHVMDLAEAHLLALNRLSQTQLSEVYNVGN------------------------------------------------------------------------------ 254458138 ---KNILVTGCAGFIGSNFVPYFLEKEYNIVNLDLLTYAGDENNTKYKFIKGNICNRELVEFIFNEYNINGVIHFAAESHVDNSIKNPGVFIETNVNGTFTLLDVAKNYWMCRFHHISTDEVYGTNETDLFTESTPYAPNSPYSASKASSDMIVRSYQETFGLNTVITNCSNNYGP----------------KQHDEKLIPTIIRKALVGENIPIYGDGKNIRDWLYVLDHCKGIDLV---YHTGKEANVYNVGGRNERTNLQIVDAICTILDEKVPITFVKDRAGHDRRYAIDATKLENELGWIADENFESGIVKTVNWYLE---------- 61969670 ---KTWLVTGVAGFIGSNLLEKLLKLDQTVVGLDNFATGHAEQWQRFTFIEGDIRDYPSCEQAVTGV--DYVLHQAALGSVPRSIADPLTTNAANITGFLNMLQAAKEAQVKSFTYAASSSTYGDHPALPKVEENIGKPLSPYAVTKYVNEVYASVYARTYGFKATGLRYFNVFGRRQDPNGAYAAVIPKWTSAMINNE------------EVFINGDGETSRDFCYIENVVQMNMLAA-TGSEDALGEVYNCAVGGRTTLNTLFDTLKIELNYSKNVTYRDFRLGDVRHSQASIEKANVKLGYEPKYNIQQGISEAMPWYVRF--------- 225159061 ----RILVTGGAGFLGSHLCDRLIEAGHEVICLDNFFTGRRTNVAHLIGHRHDVIDKFEVDQIYNLACPASPVHYQ---------YNAIKTVKTSVMGAINCLGLAKRTRARVFQ-ASTSEVYGDPSVHPQPESYWIGIRSCYDEGKRCAETLFMDYHRQNKVDVRIVRIFNTYGPRM--------HPNDGRVVSNFIVQALKGEDLTIYGD------GTQTRSFCYVDDLIEGFIRLMNQDHVTGP---INIGNPGEFTMLQLAELTLKLIGGKSKIVHHPLPADDPKQRRPDITLAQKHLNWSPTIPLEDGLKRTIEYFRK---------- 294791315 ----RILVTGGAGFIGSNFVRYLVHNHPEVTVLDALTYAGNIHNLDGLIAQGSICDDSLVDSVVS--QADAIVHFAAESHNDNAIYDPDPVMKTNIMGTFTMLQAARRYGV-RFHHVSTDEVFGDDSQEEFTEDSPYRPSSPYAASKAASDHLVRSWVRTYGLQATISTCSNNYGP----------YQHVEKFIPRQITNILQGKPIKIYSDGLAV------RDWIAVEDQCSAVWKILEAGTIGETYVISSRGERSNIQIAHDIMSLMGA--PADSIEFVPDRPGADRRYALNASKIRAELGWEPEYDFYQGLRRTIAWYRDH--------- 150020963 ----TLLVTGCAGFIGSNFVYYYLEKDRKIVGLDKLTYAGNEQRKRFKFIKGDINNKELLEYIFEEYEIDGVINFAAESHVDRSIYDPQIFLKTNILGTQYWYRDGKWKEGKKFLQVSTDEVYGLGPTGYFTEKTPLDPHSPYSASKASADLIVKAYHDTYKMPINITRCSNNYGP---------YQFPEKLIPLMIWNTLNHK-------ELPVYGDGRQIRDWLYVKDHCRAIDMVYEK---GKIGEVYNIGGHNEKENIYIVKKIIEILRKKTGIRHVKDRPGHDRRYGIDPTKIKEELGWEPEVMFDEGIEMTIEWYLNNVEWVKR--- 134486482 ----KVVIIGGAGYIGSHVVLDAVERGYDITVFDDLSTGSKENINKNKFVHGSTLSISDLSKLFTLNRYDAVVHLAASKAAAESMLEPAIYARNNIIGSQNVINACSDFKIKIIIFSSSAAVYGIPKYKLIDEHHPLYPTNYYGQTKLHVEESLKWFSKLKGIKYASLRYFNAAGYDLQKRIKSIEINPQNLIPKVMEVASGRKKQIAVYGNDYNTKDGTGVRDYIHVSDLAKAHIDSINYIFNNKENLTINLGNETGYSVLDVINKSSEVSEKRIEHIIEARREGDIGSLIANTDLAKK--------------------------------- 136171666 MRSKSILVTGGAGFIGSNFLHHLVTVTDEIICIDKLTYAWRHIPDNIKFYTIDIASKSACDIIFKRHKIKTVFHFAAESHVDNSIKDCSPFIHSNIVGTVSLLDLALKHEVDKFIHISTDEVYGSIDYGSFTEYTNYTPRNPYSASKAASDHFVMAYHNTYGLPVNITNCSNNYGPR--------QYKEKMIPKTILNILDGKKIP--------VYGDGLQVRDWLYVQDHC---TALIKVWKNGVIGEKYNIGGECEMTNIDLVKKILIMSKPESMIEYVKDRPGHDRRYSTSICKIRNNLFWTPSYSIDYGLKKTIEWYERNRN------- 135827038 MENKKVFVTGADGFIGSHLCENLLLKGYHVKALAAYNSFGNRGWLDNIDKKGDIRDRDFIFK--STEEVDIIFHLASLIAIPYSYHAPQSYIETNVMGALNIYQAALNNNCERIISTSTSEVYGSARRIPITENHPIQAQSPYAATKISADHLLESFVLSFGLPAVILRPFNTYGPRQSERAIISSIIRQLLDKNSNFIKVGNLEP---------------KRDFNYVDDTVNAFILLANLSEKKIKYGTVYNARGKSYSMKYVLQKLINITNSKKNIILEDKRISEVLNLVASSKKLNLATGWSPKVKLEEGLKKTIKWWTEYKR------- 238027116 LTRKRILVTGGAGFLGSHLCERLVADGHDVLCVDNFYTGTKDNIAHLR----DCDNFELLRHDVTYVEVDQIYNLACPASPIHYQHDPVQTTKTSVHGAINMLGLAKRVKARIFQ-ASTSEVYGDALVHPQKEDYWLGPRACYDEGKRCAETLFMDYRRQHGLQIRIARIFNTYGPRM--------HPADGRVVSNFMMQALQGEPLTLYGD------GSQTRSFCYVDDMIDAFVRLMNLDEDPGGP--VNLGNPHEVTMRATAERIVALTGSASPIVLHPLPVDDPWHRQPDIARAQALLGWRPGTSLDEGLAATARYFRD---------- 224140115 ----RIVVTGGAGFVGSHLVDKLISRGDEVIVIDNFFTGRKENLVHFGNPRFELIRHDVVEPIL--LEVDQIYHLACPASPVHYKYNPVKTIKTNVMGTLNMLGLAKRVGA-RFLLTSTSEVYGDPLEHPQKETYWIGERSCYDEGKRTAETLAMDYHRGADVEVRIARIFNTYGPRM--------CLDDGRVVSNFVAQVIRKQPMTVYGDGKQT------RSFQYVSDLVDGLVALME----GEHVGPFNLGNPGEFTMLELAEVVKETIDSSATIEFKPNTADDPHKRKPDISKAKELLNWEPRISLREGLPLMVNDFRN---------- 189501064 ----NILVLGGNGFIGSHLVDKLLAEGHKVRIFDKYEEHYRKPITGCDYRYGDFGNRGLLADALN--DIDIVFHLISTTLPETSNDDPVFDVQSNVVETLFLLEQCVAKKIRKVVFISSGTVYGIPTEIPVHENNPTNPECSYGITKLVIEKYLALFKHLYGLNYVIVRPSNPYGERQNPNSIQGAIP-------VFLNKVAKGESIDIWGDGEVV------RDYIFIDDLVDGIYK---AATVKAQSCIFNLGSSTGYSLNYIVKIIRQITGRQVEIKYKAKRTFDIPEIYLDISRAGKELSWAPVTSLESGIEKTWEF------------- 53804727 MKDKRILVTGGAGFLGSHLCESLLGLGHDVLCVDNFFTGSRDHLLGNPHFELLRHDYVEVDEIYNLACPASPIHYQ---------FDPVQTTKTSVHGAINMLGLAKRVKAKIFQ-ASTSEVYGDPEVHPQTEDNPIGPRSCYDEGKRCAETLFFDYRRQHNLSIKVARIFNTYGPRM--------HPNDGRVVSNFIVQALKGQPITLYGD------GEQTRSFCYVSDLIEGFIRLMDSPDD--FTGPVNLGNPGEFTIRQLAEKIIEMTGSSSKLVYQPLPVDDPRQRRPDITLAKEKLDWEPTIHLEEGLVHTITYF------------ 21227264 ----KIVITGGAGFIGSHIAENLAKDGHEIVIVDNLDPYYSVDLKDATFINADVTDLSGIKDVIDST-VDYVYHEAAQAGVRISVEDPFKPNDVNVHGTLNVLKASLDAGVKKVINASSSSVYGKVKYLPFDEQHPTEPVSPYGVSKLAAEHYCRVFYEVYGLPTTSLRYFTVYGPRMRPDLAI----------SIFTRKMLANEPITVFGD------GEQTRDFTYIEDVVEANKRLLYNRATDGKVLNI--GGGNRISVNNLIENLRFITGSTSEIINADKQKGDTEDTLANVDLGNNMIGYTPLFNINKGLNKFVDWFK----------- 195375267 ---KRILITGGAGFVGSHLVDYLMIQGHEIIVVDNFFTGRKRNVHWLGHENFELIHHDIVNPLF--IEIDEIYHLASPASPPHYMYNPVKTIKTNTMGTINVLGLAKRVMAKVL-IASTSEVYGDPQVHPQPETYWIGPRACYDEGKRVSETLSYAYAKQEKVQVRVARIFNTYGPRM-------HMNDGRVVSNFILQALRNE-------TITVYGNGKQTRSFQYVSDLVDGMIALM----ASNYTQPVNLGNPVEQTIGEFANIIKHLVGGQSEVKQIKAMEDDPQRRKPDITRAKQRLNWEPKVPLETGLLQTISYFRN---------- 183222577 ---KRILITGGAGFIGSHLAENLLNAGNQIIVLDNFHTGRKEHLLSHPNFELDITDKLEVDQIYNMACPASPVHYQS---------NPIKTIKTNVLGTMNMLGLAKRVKA-RILQASTSEVYGNPLEHPQNESYWIGIRSCYDEGKRVAETLCFDYHRQHGVDIRVIRIFNTYGPRM--------IPDDGRVVSNFIVQALRGEDITIYGD------GSQTRSFCYVDDLVKGIINMMNVENFVGP---VNLGNDGEFTVKELAELIIKETGSKSKIIYLPLPQDDPARRKPNLSLAKEKLNYSTTVPLLEGVKKTIEYFSK---------- 83594582 MKTKRVLVTGGAGFLGSHLCERLIGQGCDVLCVDNFFTGQREHLIGNPYFELMRHDYVEVDEIYNLACPASPIHYQ---------FDPVQTTKTSVHGAINLLGLAKRTKA-RILQASTSEVYGDPTIHPQTEDNPIGPRACYDEGKRCAETLFFDYHRQFALDIKVIRIFNTYGPRM--------HPDDGRVVSNFILQALRGQPISLYGDGLQT------RSFCYVDDLIDGMLAFMATPPSEPGPLNL--GNPHEITIRALAEKIIAMTGSASDLVFHPLPADDPRQRRPDITKARALLDWAPTIDVEDGLGRTIEYFRA---------- 300868569 ----NCIVTGAAGFIGSHLVETLLNQGKNVIGVDQFNDYYDENNPAFKMEEGDIL---ALNWSSLLEETEVIYHQAAQAGVRASWGEFRAYTERNINATQVLLEAAKDPNLNRFVYASSSSIYGNAESFPTSETACPQPVSPYGITKLSGERLCFLYYKNFGVPATALRYFTVYGPR--------QRPDMAFHKFFKAILLDEAITIYGDGQ--------QTRDFTFVSDCIAANL--AAANVPESVGEVFNIGGGSRVVLAEIIKTMEKIVGRPIRISFIESAMGDARHTSADVSKAEQILGYQPQVSLVEGLTKEWQW------------- 289451218 ---KKILVTGADGFIGSHLTEALVRSGFEVKAFVYYNSFNTWGWLDYCSDDVDVRDPNGVRTAMK--GTDAVLHLAALIGIPYSYHSPDTYIDTNIKGTLNVLQAARDLNLSKVIHTSTSEVYGTAQFVPITEEHPLKGQSPYSASKIGADQLAYSFYSSFSTPVIIVRPFNTYGPRQS---------ARAIIPTVITQLLSGKTKVQL-------GSTSPTRDFNFVTDTVNGFISAL--KSKQGFGEVINIGNGFEISVGDTVKLIANIIGKEIEIVSDSNRISEVERLWASNQKAKDLLNWQPAYNFRKGLEETIEWFKKNLKGYKAE-- 143378040 MSDSTVLLTGGAGYVGAATADALIAAGMRVVILDDLSSGHADTIPNEASFKGGYGESDKVEELLRDARIDAIVHCGAKSIVAESSEHPERYFATNVQASLNLLGAACNVGVKRFLFASSAAVYGAPTSSTINESTPLNPVNPYGATKVAFELALRAYAEAHEMRAISLRYFNVAGSTER--VRERHDPETHLLPRLVRA-AESGEPLDLYGNDYATPDGSALRDYVHVADVAAANLAAVNYLLDEPGHSAVNIGSGVGTSVKQAISAVERATKRTVNVNVLPRRAGDPPRLIADITRAVSLLGWRPRQS------------------------ 13475647 -SGKKVLVTGADGFIGSHLTEALVRNGADVTALDDLPDKIRSQLKL---VRGDVRDSAFLNRIVRGQ--AVVFHLAALIAIPYSYAAAQSYVETNILGTVNVLEAARQWETERVVHTSTSEVYGTAQTMPIRETHPLQGQSPYSASKIGADMMAESYARSFDVPVVIMRPFNTYGPRQS---------ERAIVPTIVRQALDPKCPSIMVGDTSPI------RDLTFVEDTAAAFLTAGLAGLEFGHA--YNAGSQRAATISDVLDLVLELSGSKKPVHRDERRLSEVRALLADSSRFEGETGWRAQTSLRDGLERTIAWWRA---------- 239945360 -TGRTVLVTGAEGFIGSTLVDLLVERGARVRAFVHYKPGHLARYLDDPHIAGDVGDAGRV--MDAVEGCDTVFHLAALIGIPYSYDSPGAYVRTNVVGTENIAEACRRHSVRRLLHTSTSEVYGTALTAPIGEDHPLQPQSPYSASKIGADMMALSHWHAFELPVTVVRPFNTYGPRQS---------ARAVIPAILAQLHSGAREIRL-------GSLTPTRDFTYVTDTAAGFLALAGCDRALGES--VNLGTGREISVGDLAKALIAASGRDAEIVVDPARLSEVHRLLSDNTRARTWAGWEPEVGLEEGLERTSAWVADH--------- 237740071 ----NVLITGGAGFIGSHLVEKFLKEKHRVIVVDNFDSFYSKILALKDDEKLDICNLENLKEIFIKENIDFIVNLAALAGVRPSILRPFDYERVNVKGFLNILEICKELKINKLIQASSSSVYGNSKADIFTEDIRVDPISPYAATKKAGEEFGNVYSHLYNIDMIQLRFFTVYGERQRPDLAIHKFIEK----------IENNEEVTIYGDG------NTSRDYTYIKDIVDGIFKSFEYLNNHQNYEIINLGSSRKIKLIDMIKIIENKLNKKAKLKFIDKQAGDVDKTFACIDKAKKILNYKVSTKFEDGIENFVNWYRQ---------- 253682392 --GKKVLVTGAEGFIGSHLTERLVELGADVTALVQYNSFNNWGWIDTFDKNGDVREYDNVKRMVSGQ--EVIMHLAALIAIPYSYLSPMAYVRTNVEGTTNILEACREKNIEKIVHTSTSETYGTALYVPIDEKHPMQGQSPYSASKIGADKMAESFYKSFNLPIATIRPFNTYGPRQS---------ARAVIPTIISQILAGKREIKL-------GSLTPTRDFNYVKDTAEAFVKIAESDKTIGE--VINAGSNYEISIGDTVKKIIKLIGHDVKVLCDEERISEVNRLWADNTKIKNLTDWTPKYSIDEGLAETIEWIKNNMQYFKTD-- 295133944 ---RNILVTGGAGFIGSNFIIYYLDHNPDSVILDALTYGNTDNVKRYRFIQGNICDRALIERLFYKYDIKDIIHLAAESHVDNSIARPDAFMQTNIMGTFNLLDVAKNYWMDRFHHVSTDEVYGTGETGLFKETTAYAPNSPYSASKASSDFIVRSYHHTYGMNVVTTNCSNNYGP---------KQHDEKLIPVIIRKALAGEN-------IPIYGNGKNIRDWLYVLDHCKGIALAFHKGVS---GNTYNIGGKNERTNIYIAKKICKLLDKKVQISYVTDRAGHDFRYAIDAKKIEKELGWKADENFESGIEITVDWYLKKYKS------ 254446690 -----ILVTGGCGFIGSNFVRVLLAKGFDKIIVDSLTYAGNPANL-SDLEKSDILDQDTIASLIKEHSVSAIVHFAAESHVDRSIDTPEPFVETNVTGTLRMLEAARHHWAFRFLHVSTDEVFGTPNDPAFCETTPYAPNSPYSASKASSDFLVRAYFHTYGFPVVTTNCSNNYGP--------FQFPEKLIPLVTLNALEAKSLP--------IYGDGKQIRDWLFVEDHCTGILAALEK---GALGETYCIGGRSEMENIQIVKRICSLLDYEDLITYVKDRPGHDRRYAINCERSEKECGWVPAETFDSGIRKTVQWYLDNQDW------ 136823006 ----KYLVTGGAGFIGSNLVDYLCQLGHEVIVIDDLSSGKKEYIPKAKFYKKDISSMRRESDYSLFEGVSVVFHLAAKAEVDPSIKNPLPFHDVNINGTLNVLMACREKKVKRIVYSASSSCYGNPTQIPTTEQAEINPMSPYALQKLTGEEYCKLFSKLYDLESVCLRYFNVYGPRQR------DEGAYSLVTGIFMRQHDNGESLTV------TGDGEQKRDFVSVQDVVEANVLASQ-SDKVGKGESINIGNGEAITINELAKSISD------DIVYVEKRY-EPDITLANISKAKKLLKWNPMVSF-----KTWNYRREHEQTI----- 143186541 ----RVLITGGAGFIGSNLVDYLLSKNYEVLVIDNLSTGRLDNISHITFIKQDISQKGIWQ--LNLKNIDYIIHLAALADIVPSIEQPDKYFESNVIGTMNILEVAKNNKIKKLIYSASSSCYGIPNSFPTNELSDIKPQYPYALTKYLGEELIMHWGLVYKLPVVSLRFFNVYGIRSRT---------SGTYGAVFGIFLAQKLANKPF---TVVGDGKQTRDFTYVSDVIEAVYK---AMISNVSNEIFNIGSDNTYSINKIVEYL------KGPTTSIPKRPGEPDCTWADISKAKNMLNWKPKINLQDGIKKLME-------------- 136215849 ---KKIFITGGAGFIGGALVRHIIKNDHTVLNIDKLTYAGNEEVSESPFEQLDINDTERVKELIFSFEPDFLMNLAAESHVDRSISGPSEFIKTNILGTFSLLQASLDYYNFRFHHISTDEVYGDYTEKLFTEETSYSPSSPYSASKASSDHLVRSWFRTFGLPILITNCSNNYGP--------YHFPEKLIPKTIINALRGESIS--------IYGDGKQIRDWLYVDDHVQAL---LKVATEGKVGETYNIGGHNEKTNMEVVIGICKILEELCPINYVGDRPGHDLRYAIDATKIKNDLGWTPKETFNSGLKKTVEWYTNNTEWWEE--- 114571036 ------FVTGAAGFIGFHAARAQLEAGEDVVGIDNLNPYYDPKLKQARLDQIDLADHEAVAGLVADVRPQRILHLGAQAGVRYSLEAPFAYARSNLTGHLSVLEAARADRLEHLVYASSSSVYGERSAVPFKEDAAESPASLYAATKRSDELMSASYCSLYGIPATGLRFFTVYGP----------WGRPDMAYWLFADAMLAGRPIQVFNNG------NMERDFTYIDDIVEALSRILADSPARGRHAIYNIGGSSPVRLLDMIETLETELGVVADKVMLPMQPGDVTRTFADTSRLEADYGFRPSVDLATGLAGFATWF------------ 15077469 -RGRHVLVIGGAGFIGSQYVRELVRDGDRVTVLDKLTYAGNLANLRYTFVHGDICDARLLAEVVPGH--DLVVNFAAESHVDRSIADAAPFIRTNVQGVQALMQVCLEAGTARIVQVSTDEVYGSIETGSWDEDAPIAPNSPYAASKAGGDMVALAYARTHGLPVSVTRCGNNYGP--------YQFPEKVVPLFTTRLLDGHSIPL--------YGDGGNVRDWVHVSDHVRGIRLVAERGL---PGQVYHIAGSAELTNLELTQQLLDALGAGWRVERVPDRKGHDRRYSLCDARLGA-LGYAPRVSFEQGLADTVRWYAENRDWWE---- 24374702 ---KTWLITGVAGFIGSNLLEQLLKLNQTVIGLDNFATGRSEQWMRFSFINGDIRDYAICEAVVNGV--DYVLHQAALGSVPRSIADPITTNAANITGFLNMLQAAKEAEVKSFTYAASSSTYGDHPALPKVEQNIGNPLSPYAVTKYVNELYASVYARTYGFETIGLRYFNVFGRRQDPN-----GAYAAVIPKWTSSMIKGED-------VFINGDGETSRDFCY-IDNVVQMNILAATAASEAKNEVYNVAVGDRTTLNDLYFAIKDSLNVNQNPNYRDFRAGDVRHSQADVSKAVTRLGYQYTHKILEGISEAMPWYKEF--------- 261880801 MDYKNIMITGGAGFIGSHVVRLFVNKDYRIINVDKLTYAGNESEPNYVFVKADICDFDKMCKLISDYQVDGIIHLAAESHVDRSIKDPFTFARTNVMGTLSLLQAARLYWGKRFYHISTDEVYGSLETEFFTEDKRYMPHSPYSASKASSDHFVRAFHDTYGMPTIVTNCSNNYGP----------YQFPEKLIPLFINNIRHRKPLPVYGKG------ENVRDWLYVEDHARAIDLIFHK---GNIADTYNIGGFNEWKNIDIIKVLIKTVDRDDLITYVTDRLGHDARYAIDSRKLQRELGWEPSLQFEEGVERTVKWYLDNQDWMDN--- 136156517 ISKMKIFVTGGAGFIGSNYVRWFANTDHEVTVYDSLTYAGNDDSPRFRFVKGNICQPGDVESAMAGH--DAVVHFAAESHVDRSIAGSEDFILTNCFGTNVVIDAARRLNISRVLHIGTDEVYGSVETGSSREGDPLEPRSPYSASKAGSDLIALSYFSTHGTPVVVTRCTNNFGP--------FQYPEKAIPLFTTNLLDGKKIPL--------YGDGLNERDWIYVDDHCSGVHLALEHGR---PGEIYNIGAGNETANRVLVDKLLALLGKDESVQYVADRLGHDRRYSVDITKITK-LGWKRSRSLDEALEATVNWYRNNRWWWE---- 307316686 ---KRILVTGGAGFLGSHLCELLLGAGHEVICLDNFSTGLRRNIAPLTRFDHDVVEPIDLE-------VDEIYNLACPASPPHYQADPIQTTKTCVIGSLNLLDLAARRGARIFQ-ASTSEIYGDPHVHPQVESYWGNPRSCYDEGKRCAETLFFDFHKSHGVAIKIVRIFNTYGPRMR--------PDDGRVVSNFIVQALKGEDITIYGDGSQTRSFCFVDDLIDGFIRLMASPPSL--------TGPVNLGNPAEFTIGELAEEVIRLTGSRSKIVRRPLPVDDPRQRRPDISLATEELGWRPKVNLAEGLAHTIRYF------------ 32266146 MLNKKILVTGGAGFLGSHLCEKLLNRGDEVLCVDNLFTGNIIHLLSNPRFEFMRHDYVEVDEIYNLACPASPVHYQ---------FDPVQTTKTSVMGAINMLGLAKRVKAKILQ-ASTSEVYGDPEIHPQVE-SYKGSVNPYDEGKRCAETLFFDYQRQHNLNIKVMRIFNTYGPRM--------HPNDGRVVSNFIIQALKGEDVTIYGEGKQT------RSFCYVDDLIEGMIRLMD--SRDGFYGPVNIGNPREFSMIELANAVLELTHSKSKLVFSPLPQDDPKQRQPDISLAQNELGWNPNVELKEGLIKTIAYFKE---------- 136481868 -QTQTWLLVGGAGYIGSHVADAFLASGKDVVIYDSLYQGRKKYKKEIPLIVADIRDTAKFDEVLTTYKPYGIVHTAALKAVGESMEKPDEYFEVNFHATTKMLELISQHGIKNFIFSSTAAVYGAPHSNPIKEDDEKNPISPYGASKLAAEGEVNKFLAIPGNHGTSLRFFNVVGTAAPELLDNS----VENLVPIVINKLKAGQPPVIYGTDYPTPDGTCIRDYVDVRDIAGAHLAAAD--SSSVLPLAMNVGTGRGGSVREVIKLVCEAAGRADVVDEKERRAGDPAFLCADVSLIKSAIGFSSKYSLEE--------------------- 227825049 ---KKILVTGGAGFIGSHILEKCSEPGLSVTVLDNLSSGFKEYVPLSMNVQMDVRDKALIDFLLQ-EKFDTVIHLAAQTLVPFSMEYPLVDADINIKGLINLLEGCRKSGVKRIIFSSSAAVYGDNQHLPLTEKEIPAPTSFYGLSKVTAESYIRLYCLLYGMHGIILRFANVYGERQGETGEG-------GVISIFARLLHEHKPLTVFGDGQQT------RDFIYVGDIAETMVRSLSYE----GLATLNVSTGCKVSLNALLSVMEKLTGQIPSVQYGPRRKGDIRDSVLSHEALQKELGPMTFTSLQDGLSRTLAYFSTHP-------- 220903395 ----RWLITGVAGFIGSNLLERLLGLGQTVVGLDNFLTGYPEAWSRFTFIEGDIRDVDTCRKACEGVQ--HVLHEAALGSVPRSIDDPLLSNSCNITGFLHMLVAARDAGVKSFVYAASSSTYGDSPELPKVEDKIGRPLSPYAVTKYVDELYADVFTRCYGFSSVGLRYFNVFGQRQDP-----YGAYAAVIPQWFASLIKGE-------TVYVNGDGETSRDFCY-IDNVVEANLLASFARGEAANTVYNVAFGQRTTLNELFALIREEVARKADVEHRDFRAGDVRHSLADISRAETRLGYAPRFDVRQGLRLAGDWYAAN--------- 116787327 LKRKRVVVTGGAGFVGSHLVDRLMARGDSVIVVDNFFTGRKENVLHHFNPRFELIRHDVVEPLL--LEVDQIYHLACPASPVHYKHNPVKTIKTNVVGTLNMLGLAKRVGA-RFLLTSTSEVYGDPLEHPQTEAYWIGVRSCYDEGKRTAETLTMDYHRGANVEVRIARIFNTYGPRM--------CLDDGRVVSNFVAQALRKEPLTVYGDGKQT------RSFQYVSDLVEGLMRLME----GEHVGPFNLGNPGEFTMLELAQVVKETIDPNAKIEFRPNTEDDPHKRKPDITKAKDLLGWQPKVSLRKGLPLMVEDFRR--RVFGDEKD 140814196 ---------------------------------------------------------------------EMIMHFASSINVGESYDHPIKYYENNVTNTLNLLECMIDLKILNFIFSSTAAVYGEPKSIPIKEEQNLTPINPYGKTKSVVENILSDYDKSYGLKYISLRYFNACGAHIDGTIGERHNPETHLIPLILQTASGRRNNFKIYGDDYKTKDGTCVRDYIRVMDIAEAHLLSLEKLIQTQISDIYNIGNKKGYSVREIIDMVEEITQTKIPYKISEKRKGDPAELIADNSKITEKLNWRAKYSLNTIINTAWEWEKK---------- 136358152 ---KRFIVTGGFGFIGSNLIRLLLKKRHKVINIDNLSYSAQKYNLKDKFNKIDINNKIDLLKVFKKYKPHGIFNLAADTHVDRSIDSSFNFIKNNILGVYNLLEAIKDYKKRRLVHISTDEVYGDIGNKRSSEIYPYMPSSPYSASKASSDHLVNAYFKTHNIDAVISNCSNNYGPR--------QFPEKLIPKMIYNILHNKPLPLYAKG--------KNSREWIYVDDHCEAIKIFFKGISGEKYNVGSNINCSNTIIVKKIIQSFAQKIGNKVKIVFVKDRPGHDFRYALNSGKLRKKMKWKPKINLNQGISKTVDWYLNNKNYFSS--- 142143399 ---RRALITGTAGFIGFHLAKLLLEQGWQVAGFDGMTDYYDVTLKQRRHQEALLEEPDAVMQLAEAFQPDVIVHLAAQAGVRYSLENPRAYLDANVIGTFQVMEAARAVGVQHLLMASTSSVYGAETEMPYRETQKSDPLTIYAATKKANEAMGHAWAHLNRLPTTLFRFFTVYGP--------WGRPDMALFKFTRGVLEGT--------PIDLYNHGDMWRDFTYVDDLVRGIAGLIQAVPPQVDARDVNIGNGESVRLLDFVSAIEEATGRPALRNMMEMQKGDVPKTWADASLLRALTGEAPATPVKEGVARFVEWYRAYYEA------ 251771294 -AGERVLVTGGAGFVGSHLCDRLLALGSRVDVLDDLSTGSAANIPLGIGRFIE-RDVSASDPLPDY---DVIFHLACPASPPRYQADPVATFRTAVFGTVRMLE-EAWRTGARILIASTSEIYGDPQEHPQKESYWVNPISCYDEGKRAAETVATDYRRKYGIDLRMVRIFNTYGPRMD--------------PFDGRVVSNFIRQGLLGLPLTLYGDGSQTRSFCFVSDLVEGILRLGALPDEPGREAPVNLGNPGEFTIGELADIVEEVLGSSLGRVNHPLPSDDPRRRRPDIARAEHLLGWSPQVPLRQGIALTVENFRGRPEEL----- 111225106 ----RAIVTGGAGFLGSHLCERLLGDGYEVICFDNFLTGRPDNVEHLLVDRFRLVNRDVNDFIYVSGPVDVVLHFASPASPLDYYELPIETLKVGSLGTFHALGLAREKRA-RFLLASTSESYGDPQVNPQPETYWVGPRSVYDEAKRFAEAVTMAYRRKHGVDTAIVRIFNTYGPRMR--------VDDGRAIPAFVSQALRGEPITVAGDGSQTRSICYVDDLIDG----------ILRLLHSDLPGPVNIGNPHEMSILDTAKLVRDLCGSTAPITFVPRPQDDPSVRQPDITIARTRLGWEPRTSLHDGLTRTISWF------------ 295681240 LTRKRILVTGGAGFLGSHLCERLVTQGHDVLCVDNFYTGNIAHLLDCPNFELMRHDYVEVDEIYNLACPASPIHYQ---------YDPVQTTKTSVHGAINMLGLAKRVKARIFQ-ASTSEVYGDALVHPQKENYWIGPRSCYDEGKRCAETLFMDYRRQHGLSIRIARIFNTYGPRM--------HPSDGRVVSNFMMQALRGEPLTVYGD------GTQTRSFCYVDDMIDAFILLMNSTDDPGGP--VNLGNPHEVSMREIAQRIVAVTGSASPLEAQPLPADDPWHRQPDISLAIKLLGWRPGMSLDEGLLRTAHYFRA---------- 260461389 -RTRTVLVAGGAGFLGSHLCEALFRDGYRVICVDNFLTGRIENITRFRLIEQDICNPLEL-----GEPVDRIFNLACAASPPRYQADPIHTTRTCVIGTLNLLELAVCDDA-RFLQASTSEVYGDPEQHPQREDNCTGPRACYDEGKRTAETLCFDYLRADMADVRVARIFNTYGPRMD--------PADGRIVSNLVMQALEKRPLTIFGDGRQT------RSFCYVTDLVEGLLRLMD--IEPNPRQPINLGNPGEFTILDLAGLVRELTGTRSPVKFLPLPEDDPRRRRPDIARARSLLGWSPKVPLRQGLLQTV--------------- 142920508 -----------------------------------------------------------------------VMHFASYINVEESYINPQKYYENNVTNTKNLLNCMVQNKILNFIFSSSAAVYGEPDSIPISEEHTIKPLNPYGQTKAIVEDILKDYDTTYGLKSICLRYFNACGAHLDGSIGEQHNPETHLIPLILQAASGRMENICIYGDDYPTKDGTCIRDYIHVMDIAEAHLLALEFLKEKQISEIFNIGNNEGFSVKELIKTAQEITQKKIKVNVLKRRKGDPAQLIANNKKITHRLNWSAQYSLKTIISSAWQWEQK---------- 237808784 ---KKLLVTGGAGFIGANFVHYWLKTHDRVVVLDALTYGNIETLPNFRFVKGNICNSELVEMLLRDEQIDTLVHFAAESHVDRSITGPDAFIETNIIGTHSLLKAARAVWPHRFHHVSTDEVYGTLEDPAFTESTAYAPNSPYSASKAASDHLVRAYHHTYGLQVTTSNCSNNYG--------YFHFPEKLIPLCLTNILDNKALP--------IYGDGKQIRDWLFVED----HCSGIELVLNKGVGETYNIGGVNEWQNIDIVHLICELVDGEFAITYVTDRAGHDRRYAVGTEKIQSELGYQPQETFQTGIAKTIKWYLANESW------ 254486854 -SRKRILITGGAGFLGSHLTDRLLEQGHEVLCADNLFTGNIEHLHANPRFEFIRHDYVEVDEIYNLACPASPVHY---------KHDPVQTTKTSVHGAINMLGLAKRLNCKIFQ-ASTSEVYGDPFIHPQTEDYWIGPRSCYDEGKRCAETLFFDYHRQHDLNIKVARIFNTYGPRM-------HHADGRVVSNFIVQALAGK-------SITIYGDGSQTRSFCYVDDLVEGFIRLM--ATDDDVTGPVNLGNPGEFTIKELAEKVIEMTGSKSRLIFEDLPTDDPKQRQPDISLARSTLDWEPTVRLEEGLQKTIAYF------------ 146308888 -----ILVTGGAGFIGSHLVDALLAAGHGVCVLDNLSMGKRSNLPLDRFIEGDVADAAQVEQ--AMAGCDAVAHLAAVASVQASVDDPVATHQSNFIGTLNVCEAMRRHGVRRVLYASSAAVYGNNGEGLIDEDTAKAPLTPYAVDKLASEQYLDFYRRQHGLEPAVFRFFNIFGSRQD------PSSPYSGVISIFTQRAQQGQPISVFGD------GEQTRDFFYVGDLIELLLQGL---FGEVVEQPVNVGWNQAVSLKQLLAEIGALCGGLPPVTHLPARAGDIRHSRANNARLQAH--YRPQTPLREGLRQ----------------- 302848856 -ERNRVLVTGGAGFVGSHLCDYLVERGDHVICLDNFFTGSKENIAHLLGKNFEVIRHDVVEPIL--LEVDQVFHCACPASPIHYKYNPIKTAKTSFLGTMNMLGLAKRCKA-RFLITSTSEVYGDPLEHPQKETYWIGERSCYDEGKRVAETLAMDYYREHGLQVRIVRIFNTYGPRMAL--------DDGRVVSNFVSQALTNKPITVYGDGQQTRSFQYVSDLVRG----------LVAVMDGPHIGPFNIGNPGEFTMLELANLVKEVVNPNAVIEYRENTADDPSRRRPDITKATEMLGWKPEVPLREGLLRMVDDFKR---------- 139996761 ----KIIVTGCTGFIGSHLSIFLLNNNYIIYGIDNMNDYYDIKIKENNLALLNKYDKDDIQKIISKIKPYKVIHLASMAGVRSSIDNPLIYSNVNINGFINILEECVKNNVKSIIYASSSSVYGLNKKVPFNEEDKIESNSPYACSKMAMELYAKTYSQLYGISTIGLRFFTVYGPR----------GRPDMAPYKFMNAVIKGEKFKKYGTGL------SSRDYTYIDDIVQGIYGAF-INKNNVICEVYNLGNSSPVSLNEFISLIEKVTNKKAIFDQIEEQLGDVPHTYADISKAKKDLDYEPKVTLENGLKYLYDY------------- 136044934 -------VTGGSGFIGSNLVNFLIKKKYFVVNIDKLTYSSNKYFSDIRNKRLDINNKNKLTKIISKFKPSAVFNLAAETHVDRSIDGPEEFIHTNLNGTFNLLESLRYKIKPKLIHISTDEVYGDKGNLRSDENYKYEPSSPYSATKAGADHLVKSYIRTYKLNAVISNCCNNYGP--------FQFPEKLIPKMIANILNNKELPIYAKG--------KNSREWIHVEDHCEAL---LRLYLKGKSGENYNVGSGKNLRNIDLVKKILKIFGKKSKIKFVKDRPGHDYRYALNNKKILRKLKWRNKIAFEDGLRDTIKWYLTN--------- 218887369 ---RTWLVTGVAGFIGSNLLETLLMHGQKVVGLDNFATGYQRNLRNFRFKEGDIRNLEHCREV--CEGVDHVLHQAALGSVPRSIEDPILANESNISGFVNMMVAARDAKVKTFVYAASSSTYGDEPTLPKVEDKIGKPLSPYAVTKYVNELYADVFATCYGMKAIGLRYFNVFGKRQD------PFGAYAAVIPQWFASLLRGETVFINGD------GETSRDFCYIDNCVQANLLAATATDEAALNTVYNVAFGERTDLNQLFDLIREEVSRHKPPEHREFRFGDVRHSLADISRAHTRLGYEPVYSVRQGLRLSGDWYAAN--------- 300865144 ---KHCLVTGAAGFIGSHLCDRLLALGHAVTGLDSLITGRLVNLERAKTYKFNFLEQDAAAITPSTEGIDWVFHLAGLADLVPSIQNPGKYYHANVHSTYALLDACRHAPIQRFIYTASSTCYGIPHQYPTPESYPCSPEHPYALTKYLGEQLVLHWAKVYKLPALSLRLFNVYGPRSRT------TGAYGAVFGVFLAQKLAGKPFTVVGDGSQTRDFTFVSDVVEAFIKAAESDMM---------GEIINICSGQPQSVLTLVKLLEG------PITHIPKRPGEPDCTWGDIAKAKTLLGWQPQVSFPEGVSQMLA-------------- 150399165 ----KILVTGAAGFIGFSFSENILKNTEQIIGIDNLNPYYDVKLKEKRLDILDIINYEELDEIFNLEKPDLIVHLAAQAGVRYSLENPHVYEYSNNLGTLNIFELAKKYGIKRVIFASSSSVYGGNEKTPFLESDNVDPVSLYAATKKYNELIAHVYNHLYGIEMIGLRFFTVYG--------EFGRPDMAYWKFTKNILEEKPIDVYNYG--------KMKRDFTYISDIVEGIKSAV-FLSKKIDYEIFNLGGDNPIELEYMISLIEKETGKKAIKNYLPVQEGDVLITMADLTKSKENLKYYPNICIEEGIKRFVKWYIQN--------- 149199217 MKAKTILVTGGAGFLGSHLCDRLINEGHNVICLDNLQTGYKQNVAHFEFIRHDICETIRLE-------VDEIYNLACPASPPHYQNNPVGTTKTCVLGSINMLGLAKRNNAKILQ-ASTSEVYGDPKVHPQVEENPIGIRACYDEGKRCAETLFFDYHRQHGVKIKVMRIFNTYGPKMD--------PDDGRVVSNFIVQALQGKDITIYGD------GSQTRSFCFKDDLLDGMQALM--NSDDAITGPINIGNPDEFTIKELAEEVIRQVDTTSQIIYKPLPADDPTRRKPNIEKAKEILNWQPSIKLSEGLKPTIAYF------------ 302762504 ---RNILITGAAGFIASHVANRLVRSGYKIVVLDKLDYCSNLKNLYQSKEKGDIASADLVNYLLITEGIDTIMHFAAQTHVDNSFGNSLEFTKNNIYGTHVLLEACKVATIRRFIHVSTDEVYGETEADAIHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPFITTRGNNVYGPN--------QFPEKLIPKFILLAMQGRPLPIHGDG--------SNVRSYLYAEDVAEAFEVVLHK---GEVGHVYNIGTKKERRVLDVAKDICKLLDPEVCIQFVDNRPFNDQRYFLDDKKLK-DLGWRERTTWDEGLLKTMQWYTSHPNWWGD--- 283845340 ----NVLVTGGAGFIGRWVVKHLLHDGHNVVVLDDLSNGQKKNLADFKDVIGDIKDEQLLDSLFSKYSFDICYHLGASINVQDSIDDPRTTFNNDTVGTFYVMEQCRKHLVKVVFMSTCMVYDRCLDETGITEQHPTKPASPYAGAKIAAENMVLSYFFAYDLPVVVVRPFNTYGP--------------FQKTGGEGGVVAIFIKKKLEGESHIYGDGTQTRDLLFVEDCANFVVQAG--YSEKVNGEVINAGLGRDISINDLAKLIVEDENRIKHVEHIHPQ-SEIQKLLSNYEKAHLLLGWKPKVSLEEGIKRTEDW------------- 226942811 MSDLPVLVTGGAGFIGSHLVDALLARGYSVRVLDNLSSGKPDNLPLGHSREGNVADAVRVREVMAGCG--AVVHLAAVASVQASVIDPVGTHRSNFIGTLNVCEAMREQGLRRVLFASSAAVYGNNEGEVIDEDTPKAPLTPYAADKLASEHYLDFYRRQHGLEPAIFRFFNIYGPRQD------PSSPYSGVISIFTERAAKGLPITLFGD------GEQTRDFVYIADLIGILLQALEAPQILPGAVNV--GLNRSLSLNRLLEEISALFGGLPEVRREAARPGDIRHSQASDRRLRE--------------------------------- 139989597 MENKKCLIVGGAGYIGSHMVKHLMQCGHDPIVFDNLSTGHRDAVRDAQLIEGDLADSRTLKKLFNTHKFDAVMHFASFSQVAESVKKPDVYYLNNVAGTINLLHAMMNANIKQFVFSSTAAIFGEPQRIPIDESHPKLPINAYGRSKLMVEHILQDLDQAFELKSVSLRYFNAAGADESGDIGERHLPETHLIPILLQAASGIREKVVVFGSDYPTQDGTCIRDY------------------------------------------------------------------------------------------------------------ 150017436 ---KTYLVTGGAGFIGSNFILYMLNKDINIINLDKLTYAGNENDKRYEFVEGDICDKELVSMLFKKYHINYVVHFAAESHVDRSIKEPEVFAKTNILGTVNILNCAKNAWGVKFLQISTDEVYGLGSKGFFKETTPLDPHSPYSSSKAGADLIVKAYYDTYKMPINITRCSNNYGP---------FQFPEKLIPLLINNCLNHKR-------LPVYGDGMNIRDWLFVEDHVKAIDMVI---NNGRIGEIYNIGGHNERTNIQIVKTVISYINSESLIKYVEDRKGHDRRYGIAPDKIKKELGWYPETAFEVGIKQTIKWYLDNKEWMKN--- 119500660 ---RNIMVTGGAGFIGSWVARHLYPESYYIVVFDKLDYCSSEAMPNFKFVHGDVTSADDVMRCLHAHKIDVVIHFAAQSHVDLSFRNSYSFIRDNIYGTNVLLESVRQSGIRRFIHVSTDEVYGEDDGEDLPEDSILAPTNPYSASKAAAEMMILAYSKSFRLPAIVVRLNNVYGPH--------QFPEKVIPKFISLLQRGQKLT--------VYGDGTNSRRYLWAGDAADAFDTILHK---GSVGQIYNVGSKSEITNLELCEKVLSMFGIKNWIDFTEDRPFNDHRYATSGSKLQG-LGWKQQTSFEDGLLKTIQWYRDFPDWWGD--- 297831166 -----VLVTGAAGFVGSHCSLALRKRGDGVLGFDNFNDYYDPSLKRARQEEGDLNDGPLLRKLFDVVPFTHILHLAAQAGVRYAMKNPQSYIASNIAGFVNLLEAKAANPQPAIVWASSSSVYGLNTENPFSEEHRTDPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGP----------WGRPDMAYFFFTKDILHGKSIDIYRTQD---NQEVARDFTYIDDIVKGCVGALDTAEKSTGSGVYNLGNTSPVPVGRLVSILEGLLGTKAKKHIKMPRNGDVPYTHANVSLAYKDFGYKPTTDLAAGLRKFVKWYVGYY-------- 136757872 MKTKNILITGGCGFIGSNLVLHLAKKNYKLINLDLMTYASDEDNPNYVFVEGDIRDEKLVNKLFDEHNIDSVIHLAAESHVDNSIKRPLDFVTTNVVGTCVLLEAFRNQGKGRFHYVSTDEIYGTGATGKFSETTPFDPNSPYSASKASGNFFVNAYSETYKLNVITTSCSNNYGP---------HQHREKLIPTIVRTAIAGKD-------IPIYGKGDNVRDWLFVEDHC---VAIDEVFHNGKIGEIYNVGGDKELNNLELANKICDILDYSEQLTFVEDRKGHDFRYAVDFSKIHTELNWNPTKDFEGKLRETLQWCINNSK------- 229588039 --SKQVLITGGAGFIGSHLVDALLAKGYGVRVLDNLSTGKRSNLPLHDPREGDVADADLVAR--AAVGATAVVHLAAVASVQASVDDPVSTHQSNFVGTLNVCEAMRKAGVKRVVYASSAAVYGNNGEGAIDEETTKAPLTPYASDKLASEHYFDFYRRQHGLEPVIFRFFNIFGPRQD------PSSPYSGVISIFSERVQQGVPIAVFGD------GEQTRDFMYVEDLVDVLVQAIEAPA--APLGAINVGWNRTTTLKQVLQALEEVVGTLPTITYGPARSGDIRHSRANNQRLLATL---PETPLKVGLERLLN-------------- 189424205 ----RILVTGGAGFLGSHLCERLLNEGNDVICLDNLFTGSKDNIIHMDNHRFELIRHDIVEPIL--LEVDRIYNLACPASPVHYQYNPVKTVKTSVMGMINMLGMAKRVKA-RILQASTSEVYGDPQVHPQKEEYWIGIRSCYDEGKRVAETLMMDYHRQNKVDIRIIRIFNTYGPRMAENDGR--------VVSNFMLQALKNEDITVFGEGRQT------RSFCYVSDLIDGMIRMMEN--EQDFIGPVNLGNPVENTILEFAEKIITITGSKSKIIYKPLPQDDPKQRRPDITLAQEKLGWQPSIDLETGLKATADYF------------ 134404539 MDNKNILLTGSAGFLGAPLAYDLLNIGHKIIGIDSYVNSSPENTLKFTFYKLDIADSLAVDEIFIKHKPDLVMHLAALKSVQESITNPDLYIKNNIISTTNIINSMKSYNCKKIIYSSSAAVYGNQEIQPIIENTNLKPISTYADTKLACEELIKDACNLGCFDGISLRYFNPIGFHSSKLFKEFLDEENTIMQEIIKVALKRNKSLKIYGNEYPTQDGTCERDFIHIDDLMDAHVKSIDYINSFTGYDVFNVGTGNPVTILNLIKSFIQNNKISINYEFIKRKPGDVISSYADASKINKIMQWKSK-------------------------- 136801795 ---QKIIVTGGLGFIGSNLIELLIHKKFKVINIDKITYSSNQKNSNYEFIKCDLNDKNKLDKIILKHKPICIFNLAAETHVDRSIDSPKSFINSNIVGVFNLLEIFRKYKKTKLIHISTDEVYGDILKGRSHENFPYKPSSPYAASKAASDHLVSAYVRTYGIPAIVTNCSNNYGP--------KQHPEKLIPKLIYNILNNKSLP--------IYGNGKNSREWIYVQDHCEALIKVFQKGKA---GEFYNIGSNKNLNNLEICKALIKLIGSNVKIQFVKDRPGHDIRYALDSKKILKNLKWKTKVSFEKGLEKTFLWYLNYYKSISKK-- 256420557 ---KRVLITGAAGFLGSHLCDRFIAEGYRVVGMDNLLTGNIKNIEHFPLPEFEYYHHDVSKFVHVPGDLDYILHFASPASPIDYLKMPIQTLKVGSLGTHNLLGLAKEKKA-RILVASTSEVYGDPNVHPQPEEYWVGPRGVYDEAKRFMESITMAYHNFHNVDTRIIRIFNTYGPRM-------RLNDGRALPAFMSQALTGQD-LTVFGDG------SQTRSFCYVSDLVDGIYRLLL----SDYHLPVNIGNPSEITLLEFAEEILALTNSKQKIVFQPLPKDDPKQRKPDITKAQELLGWAPKVDRKEGLKVTYEYFKE---------- 142700498 ISDKRILVTGCAGFIGSYLSQSLLNNNY-ICGLDNLNDYYSRDLKLHRFYEISLTDFDALKKIIDDFNPDIVVNLAAQAGVRYSLVNPQSYLDSNLISFFNLLECIKGRNIKKFIFASSSSVYGLNSTIPFIESNLSNPASLYAATKQSNESMAFSFCLNSDIPTVGLRFFTVYGP----------MGRPDMAYYKFSNLIHQRKKITVYNQGKMSRDMTYIDDIIDGIER----SILFENFQSSVKYDVFNLGNNHPISTWDLIKFIEDYFGIDVDCNFEESVL-EVKETWADLSKSNRLLGYEPTTKFHDGMQRFLEWFINY--------- 255088221 ----RVLVTGGAGFVGSHLIDYLMARGDHVMCLDNFFTGSKENIQHHIGKNFEVIRHDVVEPIL--LECDQVYHLACPASPVHYKFNPVKTIKTNVIGTLNMLGLAKRVKA-RFLLTSTSEVYGDPLQHPQTEEYWIGERSCYDEGKRCAETLAFDYYREHGLEIRVARIFNTYGPRMAL--------DDGRVVSNFVKQAIEGTPMTIYGD------GTQTRSFQYVSDLVKGLVALMD----GDHTGPVNIGNPGEFTMKELADKVREVVNPDATTVYKENTADDPGRRKPDITKAKELLGWEPVVPLAEGLQKMV--------------- 304438197 MSKQKVLVTGADGFIGSHLAETLIRRGNSVKAFVWLDTLDADVVRAMEIFSGDVRDPHGVKTAMQGC--DAVYHLAALIAIPYSYHSPDTYIDTNIKGTLNIMQAARELGVAKVVQTSTSEVYGTAQFVPITEEHPLHGQSPYAASKIGADQLAMSFHYSFGTPVAVIRPFNTYGPRQS---------ARAVIPTIITQIANGRRNLHL-------GAVSPTRDFNYVEDTVDGFIAVGEQDASIGEVINI--GSNFEISIEATVQLIADIMQADVTITTDEKRLSEVERLWAENRKARELLGWVPRYGFRRGLEKTVAWFTRNLRKYKADQ- 142511694 ---KKIIVTGGSGFIGTNLVNFLIKKNFFVINIDKLTYSNFRNKRNYKFYKLDINNKKRLIQIIKQYKPKAIFNLAAETHVDRSIDNPKTFIDTNIYGTYNLLESLRQKINIKLIHVSTDEVYGDIEKSLRSEEFKYKPSSPYAASKASADHFVNSYIRTYGIKAVISNCCNNYGP--------YQYPEKLIPKMISNIIFNRELPIYAKGL--------NSREWIYVDDHCQALFT---LYLKGKPGESYNVGSGKNIKNIELVKKILKICGNKVKVKFVEDRPGHDLRYALNNNKISKKLKWKTKLSFEIGLRKTIYWYLEN--------- 60681056 ---KRILVTGGAGFIGSHLCERLLNEGNDVICLDNYFTGSKDNI----RHLLDNHNFELVRHDVYYAEVDEIYNLACPASPPHYQYNPIKTMKTSIYGAMNMLGLAKRTRAKILQ-ASTSEVYGDPSIHPQVEAYWIGIRSCYDEGKRASETLFMDYHRQNGVRIKIIRIFNTYGPRMN--------PNDGRVVSNFIVQALRNQDITIYGN------GSQTRSFQYVDDLIEAMTRMM--ATNDSFIGPVNTGNPSEFTMLELAQKVIDLTNSKSKIVFCPLPSDDPKQRKPDISLAKEKLGWEPQIKLEEGLKKTIAYFEQ---------- 289669730 ------------------------------RVLDHL---QHLMGYRPEFHCLDVR-APELADLMITKRIDAVLHFAALKAVGESVREPLLYFNNNVTGTLALLRAMRTAKFCNLVFSSSATVYGDANRSTIEENAPLKAINPYGRTKLMMEEMIGDLSAWPEFNAALLRYFNPVGAHPSGYLGEDPRGPNNLMPYIAQVAVGRRDALQVFGDDYPTDDGTGVRDYLHVMDLARAHVDAIDYLQRERKGLVVNLGTGRGYSVREVAAAFARASGRRIPLSIAPRRDGDVAVYFASTALANRVLGWKAEYDLDRMCRDTWRWQSMHPEGYAD--- 167627479 -KPRNILVTGAAGFIGSNYVRMMLSRYNDVVSYDKLTYAGSENEHNHTFIKGDICDETLVYETLKNHNIETIVHFAAESHVDNSIANPKVFLETNCAKRYWLDELGLDETNCKFHHVSTDEVYGTKSDPAFTETKAYEPNSPYSASKAGSDHIARAYHHTYKLPVTISNCSNNYGP----------YQHPEKLIPVVINSCINQKPIPVYGDG------SNIRDWLYVVDHCDAIQTVVEKGVI---GEVYNIGGINEVDNLTLINTICKLMDHNRLISFVEDRKGHDWRYAIDNSKIQNQLGWKPSQDFEKMFKETIEFYL----------- 298708329 -DRMRVLVTGGAGFVGSHLVDALMKMGHDVIVLDNFFTGRQKNVHWIGHPSFHLITHDVVEPI--KLEVDQIYHLACPASPPHYQYNPIKTIKTSTQGTLNMLGLAKRTGA-RMLLTSTSEVYGDPEEHPQRETYWIGPRACYDEGKRVAETMMYAYENQGEMEVRVARIFNTFGPRM--------HPNDGRVVSNFIIQAIQGKDITIYGD------GSQTRSFQYVDDLVR----GLIALMNNNYSGPVNIGNPDEYTVKDFAELIKSSTESTSKIIFMDGTKDDPNKRKPDITLAKKELGWEPTVAVKDGLVETIKYFR----------- 143372969 ITNMKIFVTGGAGFIGSNYVRWLANTDHEVTVFDALTYAGNDDNKRFTFVEGNICQPGDVDAAMRGH--DAVVHFAAESHVDRSIEGSEDFILTNCFGTNVIMDAARRLEMQRVVHIGTDEVYGSVEVGSSKETDPLEPRSPYSASKAGSDLIALSYHATHGLPVSVTRCTNNFGP--------YQYPEKAIPLFTTNLLDGKKIPL--------YGDGLNERDWLYVDDHCTGVHLVLEK---GNVGEIYNIGAGNETANRVLVDKLLALHGKDESVQYVEDRKGHDRRYSVDIAKITK-MGWKRERSLDEALEETVKWYRDNRWWWE---- 56478778 ---KTWLVTGVAGFIGSNLLETLLKLDQRVVGLDNFATGHAEQWARFAFVRGDIRQLDDCRR--ACAGVDYVLHQAALGSVPRSLDDPITSNATNIDGFLNMLVAARDAEVKSFTYAASSSTYGDHPSLPKVEDLIGKPLSPYAVTKYVNELYADVFARCYGFNTIGLRYFNVFGKRQDPN-----GAYAAVIPKWTTAMIQGED-------VFINGDGETSRDFCFVDNAVQANLLAATTVDDAARDQIYNVAVSGRTTLNELYGYLQEALGYDRTPKYRDFRAGDVRHSQADIDKANRLLGYAPQFDIRSGIQAVMPWYI----------- 163788985 -KNKKVLVTGAAGFIGFYTSKVLLAKGHQVIGLDNINDYYDVNLKFSRFVRMNLEDREALPKLFKNEQFDIVCNLAAQAGVRYSLENPETYIDSNLVGFLNILECCRHNDIKHLVYASSSSVYGLNEKIPFTDDNVDHPISLYAATKKSNELMAHTYSHLFKVPTTGLRFFTVYGP----------WGRPDMAMFLFTDAIVNDRPIKVFN------HGKMERDFTYIDDIVEGVVRIIEKSPQQNYYKVYNIGNNNSVKLLDFIKEIEVNLDKVATKDMLPIQPGDVERTWADVDELIKDYDYRPNTSIKHGVKSFIDWFKAYY-------- 283488501 -KPKNILITGAAGFIASHVANRLVRNEYKIVVLDKLDYCSNTKNLFPKFVKGDIESADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTQIRRFIHVSTDEVYGETDEDAVHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPN--------QFPEKLIPKFILLAMRGKTLPIHGDG--------SNVRSYLYCEDVAEAFEVILHK---GEVGRVYNIGTKKERRVIDVAKDICKLMDPETSIEFVENRPFNDQRYFLDDQRLKN-LGWSERTVWEDGLKKTIEWYTQNPDWWGD--- 283488501 --------------------------------------------------KGRLEDRSSLNADIQNIKPTHVFNAAGVTGRPWCESHKTETIRANVAGTLTLADVCRDHGLLMMNFATGCAAHPQGSGIGFKEEDKPNFISFYSKTKAMVEELFKEYDNVCTLRVRMPISSDLNNPR-----------NFITKISRYNKVVNIPNSMTVLDELLPISIEMAKRNLRGIWNFTNPGVVSHNEILEMYKTYIDPKFKWENFTLEEQAKVI-----------VAPRSNNE-----MDASKLKKEF------------------------------- 298491459 ----RILVTGGAGFIGSHLIDRLMADGHEVICLDNFYTGHKRNILKWEMIRHDITEPIRLE-------VDQIYHLACPASPVHYQYNPIKTVKTNVMGTLNMLGLAKRLKA-RFLLASTSEVYGDPEVHPQTEDNPIGIRSCYDEGKRIAETLAFDYYRENKVDIRVARIFNTYGPRM--------LENDGRVVSNFIVQALRGNPLTVYGEGQQT------RSFCYVSDLVS----GLIKLMNGDYIGPVNLGNPDEYTILELAQAVQNMVNPDAEIKFELLPSDDPRRRRPDITRAKTWLNWQPTIPLLEGLKLTIEDFRQ---------- 260817164 MEKKRILVTGGAGFVGSHLVDRLMMDGHEVVVMDNFFTGRKRNVHWIGHENFELLNHDVVEPLY--IEVDQIYHLASPASPPHYMYNPIKTIKTNTIGTLNMLGLAKRVN-GRFLLASTSEVYGDPEVHPQNEEYWIGPRACYDEGKRVAETMSYAYAKQEHVQVRVARIFNTFGPRM-------HMSDGRVVSNFILQSL-QDQPITVFGAGKQT------RSFQYVSDLVNGLVMLM----NSNISTPVNLGNPEEHSILEFATIIKNLVGCKSDIVHVKEQEDDPQRRKPDITKAMG-MGWQPKVPMIEGLNRTIEYFRQ---------- 229154497 ------LITGGAGFIGSNFLNHFVTQQHTFINIDSLTYAGNENKDNYHFRKIDISKLEEVEHVFNEIQPDRIIHFAAESHVDRSIKGPKEFIETNIIGTFNLLEVCRKNWESFFHHVSTDEVFGTEKDGFFTEKTPYSPSSPYSASKASSDHLVRAYHQTYGLPITITNCSNNYGP--------FQFPEKLIPLVIQNLLRGKEIP--------IYGEGENVRDWLYVIDHCEAIWAVIEK---GKRGETYNIGGNNERNNIEVVKTLCQIIKYLELITYVKDRPGHDYRYAIDPTKVQKELGWFPKETFDTGLRKTVNWYLENEQWIQS--- 77463730 -RRKVILVTGGAGFVGSHLCERLIAEGHSVVCLDNLLTGRKENVAGLDHPQFRFLEQDILNRIDWQGPLDEIYNLACAASPPLYQRDPIHTFRTCTEGVLNLLALARATGA-RILQASTSEVYGDPEISPQHEGNTVGPRACYDEGKRAAETLFWEFGAHQGLEVRIARIFNTYGPRMS--------PEDGRVVSNFIVQALTRSDITLYGDGMQT------RSFCYVDDLVA----GLMALMASEVSEPVNLGNPGEFTMRELAEMVLTQTGSSSRLVHRPLPVDDPRQRRPDIAQAARLLGWAPTVPLAEGIARTIR-------------- 219851020 FQEKTILVTGGAGAIGGNLVRRLNNLGAKKIILDSLSSSYEWNMEAGDRIQGDILDEEKLRWTFKQ-KPDIVYHLAAHFANQNSVDNPETDLMVNGIGILKVLQYAQMVGVERFVYSSSCGVYGLESKMPFKEDDVIHLHTPYQVTKLLGELYTNYFHNMYDLPIVNARFFNSYGPRYRNVIPNFFYWAMKGLP------------------LPITGEGTETRDWTYVGDIVNGL--LLMAYRDEAIGEAFNLGNGEEQRVIDMANTVNALTGNEAGITFTPRRDWDVKTRLLSIDKSRVVLGYEPQTTFSKGLENTYEWFVENWESID---- 222150906 ----KFLITGGAGFIGSNIAEKLVNNGNEVHILDNLTTGKISNVTFIKEEYIDIRNYDFIRNLLIKHKFDYIIHLAAMVSVVETIDKPLESNQTNIDATINLLETIKSYNPKKFIFASSAALYGDLPGLPKSTEDPLKPLSPYAIQKFAGESYAKIYNDLYDIPTTSFRFFNVYGP------KQNPESDYSGVISILNKKFESKSTFTFLGDGHQT------RDFVYIDDLVSAVMLILDNDQTNGK--VYNLGTGNETSLLEVYNAFKNSFSYEIPVEFKNSRKGDIKYSVAEITPLK-ELGYSAKYSIIDGIKAYTEFHRNHQK------- 154151307 FEDRRVLVTGGAGFLGSWMCEFLIDSGASVTCVDNFASGRKENIHLMANERFRFIEHDISRSLPVETPVDYVFHMASRASPFEFERYPIEILRANTQGVMIALEIAKKHNA-RFVFTSTSEVYGNPDIVPTPET-YHGNVNPYDEAKRCGEAYVIAYRREHRLNTRIARIFNTYGPRMDGIYGRV--------IPRFIDQALTGKPITVFGDGSQTRSFTYVTDQIEGLLRL--------AALDDAEGQVINIGNVNEITVLELARKVIALTGSKSALTFHPLPEDDPLRRRPDVTKAKKILDWQPKVPLEKGLGRMIEW------------- 117164954 ----NLLVTGAAGFIGSRYVRTLLASDARITVLDSLTYGTPENLPLGHFVHGDIRDAALVDKLMA--GTDQVVHFAAESHVDRSIDAAAGFVLTNVVGTQTLLDAALRHGVGPFVHVSTDEVYGSVDSGSATEEHPLRPSSPYSASKASGDLLALAHHRTHGLDVRVTRCSNNYGP--------YQFPEKLVPLFVTRLLDGRKVPL--------YGDGRNVRDWLHVDDHCRGVDLVRTRGRA---GEVYNLGGGTELSNRDLTGLLLDACGAGWDRVHVEDRKGHDLRYSVDWSKARDELGYRPRHDFTTGLEGTVAWYRDNRAWWE---- 255505534 ---RTYLVTGGAGFIGSNYIHYMYDNEIRIINVDCLTYAGNEKRENYTFVKADITDSEAITKIFEENDIDRVVHFAAESHVDRSIKNPEVFVRTNVLGTLVMLNAAKAAWDKKFLHVSTDEVYGENEGEYFYETTPYDPHSPYSASKASSDMLVKSYMDTYKFPANITNCSNNYGP--------YQFPEKLIPLIINNALQGKKLP--------VYGDGKNVRDWLYVEDHAKGIDMVQEKGRL---FETYNIGGHNEKQNIEIIHIIRKALVNENLITYVTDRKGHDRRYAIAPDKIRRELGWEPETMFKDGIRRTIRWYFENEDWMKN--- 284047225 ----KLLVCGGAGFIGSNFVRRVKEHGDDVVVLDKLTYAGRRENLHDRFVHGAIEDPAAVADAIAGV--DAVVNFAAETHVDRSIAEPDAFVVTNGQGTYVLLEAARAAGV-RYVQISTDEVYGSIEEGSFTEESPLQPSSPYSATKTGADLLVTSYFHTYGLETVICRGSNNYGP--------YQYPEKLIPLMVLNALHGDKLP--------VYGDGMQVRNWLYVTDFGRGIGHVLEH---GNPGEVYNVGGPDECPNIEVVKRIVAATGNDESIEYVTDRPGHDRRYSLASEKLRA-LGWEAQVHFAEGLEQTVEWYREN--------- 37521748 ----RILVTGGAGFIGSHLCERLVGEGHEVLCLDNFYTGSRLNIPLLTHPRFELIRHDVIEPIL--LEVERIYHLACPASPVHYQANPIKTIKTGVLGTLNMLGLAKRVRA-RLLLASTSEVYGDPLVHPQHEEYWIGVRSCYDESKRLAETLTMDYHRQNGVDTRIIRIFNTYGPRMS---------------EHDGRVVSNLIVQALQGEALVYGNGEQTRSFCYVSDLVEGMVGLME----SDYTHPVNLGNPGEYTINELADLVRKLINPGLPIVYRPLPSDDPRQRRPDISLARRLLGWQPQVELREGLLLTAE-------------- 118581804 ----RILVTGGAGFIGSHLCERLLNEGHDVICLDNFFTGSKDNIIHLMDVRHDITQPIEVDRIYNLACPASPIHYQ---------YNPVKTTKTSVMGTINMLGLAKRVKA-RILQASTSEVYGDPQIHPQTEEYWIGIRSCYDEGKRVAETLMMDYYRQNNVDIRIIRIFNTYGPRMAENDGRVVSNFILQALRNQDIT--------------VYGDGSQTRSFCYVSDLVEGMIRMMEN--DQGFIGPVNLGNPGEFTMLELAEKVIEQTGCSSKIIFAELPQDDPKQRQPDISLARQWLGWEPAVQLDEGLNMAIAYFRKN--------- 142864292 ----HVLITGGAGFIGSNLAEYLINNGCRVTVLDNLLTGHMENISHFNFIQGDIRDYETCRQAVKGC--THVSHQAALGSVPRSIDDPLLSLHINILGTTNVFFAAKEEGVKRIVFASSSSVYGADETLPKVEEKTGMLLSPYASSKKSTELIQQAFVSCYDMEIIGFRYFNVFGPRQDPL-----GPYAAVIPKFIALMMEGNQP-------EIYGDGEQSRDFTHISNVLDGNILAMTTQVTEINGQVLNLAFGGTTTVNDLFYGIRDESLAKMEPSYKRKRKGDILHSHANIEKVQTYLKFNPSTTLQEGLDQTIDWYLN---------- 300870768 ---KRIIVTGGAGFLGSHLCERLLKEGNYVISVDNFYTASKENIKHFESVRHDITEHIECDEIYNFACPASPIHYQ---------KNPVATFKTNVLGIINMLDLARDCNA-RILQASTSEVYGDPLEHPQKETYWDGVRSCYDEGKRSAETLMMDYYRQYNTDIKIIRIFNTYGPRMNENDGRVVSNFIIQALQNIDIT--------------VYGDGSQTRSFCYCDDLIDGAVRMMNSENFIGP---VNLGNPHEMTVLEFAKKIIEMTNSKSKIIFKELPKDDPVKRQPDISLAKEKLNWQPNYKLEEGLKKTIEYFDNYLRN------ 86609385 ----KILVTGGLGFIGSHLVTRLLQEGHWVICLDNGYTGRQLNVLDNPAFQLIWHDVADLPPALAEAGIQQIYHLACPASPPHYQADPIRTIRTSLWGTYHLLQLAQKTGA-RFLLASTSEVYGDPQVHPQPEDYWIGPRACYDESKRLAETLTFDWQRQYQTEIRVARIFNTYGPAMR--------EDDGRVVSNFIVQALRGDPLTVYGDG------SQTRSFCYISDLVEG----LIRLMNSPYPGPFNLGNPEEFTILELAQQVLALTGSPSPIVYRPLPTDDPRQRQPDIGKARALLGWEPRIPLQVGLQQTIPYFRQ---------- 58039614 ----KVLVTGVAGFIGFHVARALLKQGMEVVGVDTLNAYYDPALKAARLEQVDVASPAAMQDLVARHDLEGVIHLAAQAGVRHSMVDPYSYVTSNVMGQVALLEACRHKKLTHVVYASSSSVYGRNQSVPFRETDRVEPSSVYAVTKRAAELMSESYAYLHGIPQTGLRFFTVYGP----------------WGRPDMAYYGFAKAISEGRPVTLYEGKHLSRDFTYIDDIVRGVQQVLGRPPEAGMSRVLNLGGDKPERVTRMIELLEQNLGKKAFVERRPRPVADMESTWASLENVREFCGWKPAVSFEDGMKEFCLWFRKFHE------- 163754599 ------LITGGAGFIGSNLVAYLLKHNAKVRVLDNLSTGFKHNIEEHPNFEFILGDIRDVETCKTAVEMDFVLHQAALGSVPRSFNDPISTNAVNISGFLNMLVASRDAGVKRMVYAASSSTYGDSKALPKQEDIIGNPLSPYAITKYVNELYADNISKHFDFHSVGLRYFNVFGP--------KQNVKGAYAAVIPLFFNAGIHNTKV----TINGDGNQTRDFTFVENVVQANIKSLFAEITK--HEVFNVAVGDRISVNDLWNNIKEITQSTTEASYGESRIGDVRDSLADISKAKKLLQYNPKYTVRDGLSITYDFFKK---------- 213155464 -QPKKWLITGVAGFIGSNLLETLLKLDQSVVGLDNFATGHSEQWARFTFIQGDIRNLEECQK--ACAEVDYVLHQAALGSVPRSIADPITTNAANITGFLNMLVAARDAKVKSFTYAASSSTYGDHPALPKVEENIGNPLSPYAVTKYVNELYADVFARTYDFKCIGLRYFNVFGKRQDPNGAYAAVIPKWTAAMIQDE------------DVFINGDGETSRDFCFIENTVQANILAATTTNEEAKNQVYNVAVGDRTTLNDLFNAIKNALSVNKKPIYRDFRKGDVRHSQASIEKIKNLLGYDAKYTINEGIDLAINWYS----------- 143428426 MKYKKALVTGGAGFIGSHLVDELLKNKVRVLVIDNLLTGKKTNLDRLENVDIDIGSNESIKEI-EKFKPEICFHLAAQSSVVISVEDPLLDFEHNLLQPIKLIQTLISTDCKKFVFSSSGGTIFGEPNIIPTEDFAGEPASPYGVAKKKLNDFIKLMLENEKMSYSILNLSNVYGPRQD------PHGEAGVMSIFTGKMLNNEKP-------IIYGDGNQTRDYVYVADVVSALIKSSEDDND----LFLNIGTGVETSVNDLVSLIATKTSWEGEPDYKPQRDGELLRSVLNNNKAKKSLGWEPEYDLNKGIEELVDWFKN---------- 297526866 ---RRALVTGGAGFIGSHLVDRLLKNGWIVRVVDNFSSGRYSNLAHHKGDRGDLKDAETCMRAVDGV--DVVFHYAANPEVRVSTTNPDIHFNENVVATFNLLEAMRKKDVRRLVFASSSSVYGEPEEIPVDEGAPIRPVSVYGASKAACEALIHAYSRLYGIKSVVLRYANVVGPRLRHGVIYDIIQKLRMNPR----------------RLEVLGDGTQVRSYIYIADAIE--ATMLAFKNANDYFKVYNVGNEDWITVDEVVNIIIEVIEVHKPIAHGVGWPGDVKRIALSIEKLKR-LGFKPKMDSIESVRETAR-------------- 300732841 -QDQPVLITGGAGFIGANFVDWFKQPNARPINLDKLTYAGNQDNPAYHFVHGDILDGELVARLLREHHPSAILHFAAESHVDRSISGPEAFLKTNIDGTFVLLREAHTYFTFRFLHVSTDEVYGTPDAPAFHEDTPYAPNSPYAASKAASDHLVRAWHHTYKLPTLVTNCSNNYGP--------LQFPEKLIPLMITQALTGKPLP--------VYGDGQQVRDWLYVGDHCSAIRAVL---AGGRIGETYNVGGGNQRANLQVVNTLCDLLDHKQLIRFVTDRPGHDRRYAIDARKIESELNWHATESFETGLRKTVAWYLANTAWTEN--- 144158761 LTPQTLLVTGGAGFIASSFVGQAAQRGQKVIVLDKLTYAGHRANLDWIDAKGDIGDMELVSKLLKDHEIDAIAHFAAESHVDNSIESPGEFVETNVVANYRLLEASRHYYNYRHLQVSTDEVFGLGNEGKFSETTPYQPNSPYSASKAAGDHFARAWFHTYGLPTIITNCSNNYGPR--------HFPEKLIPLMITNALAGKPLP--------VYGKGENVRDWIHVEDHTRGVYLAL---TKGKPGETYCFGGRSERKNLDVVKRICDLLNYHEQITFVEDRLGHDWRYAIDDSKAEKELGFHREYDFETGLKVTIEWFLANQDW------ 292659134 -----ILVTGGAGFIGSHYVRTLLGPGVSVTVLDKLTYANLDEVRGHPFVHGDILDGALADKLMAEHQ--HVVHFAAETHVDRSIDGGARFVHTNVSGTHTLVDAAHRAGVATFVHVSTDEVYGSIESGSWPETHPLAPNSPYAASKASSDLVALSYHRTHGLDVRVTRCSNNYG--------HHHFPEKLIPLFVTNLLDGRSVPLYGDGQHV--------RDWLHIDDHVRGIELVRTAGRA---GEVYNIGGGTELSNEQLTGLLLEACGAGWRVTRVADRKGHDRRYSVDCGKIRGELGYAPGKDFATGLAETVRWYRDHRDWWE---- 114777555 MIRKRILVTGGAGFLGSHLCERLLNDGHDVLCVDNFFTGSKDHLMQNPHFEMMRHDYVEVDEIYNLACPASPVHYQ---------FDPVQTTKTSVHGAINMLGLAKRTKAKIFQ-ASTSEVYGDPKVHPQTEGYWVGIRSCYDEGKRCAETLFFDYHRQHGLNIKVARIFNTYGPRM--------HPNDGRVVSNFIMQALQGLPITIYGD------GSQTRSFCFVDDLVDGFVRLM--ATDNDFTGPVNLGNPVEFTILELAQQIIAMTGSTSTIVLRPLPQDDPTQRQPDITLARSSLGWQPNVALKEGLEKTIRYFSD---------- 168054569 -EPKNILITGAAGFIASHVANRLIRNHYKIVVLDKLDYCSNLKNLFPKFVKGDIASADLVNFLLITEGIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTQIKRFIHVSTDEVYGETEVEAIHEASQLLPTNPYSATKAGAEMLVMAYAKSYGLPCITTRGNNVYGPN--------QFPEKLIPKFILLAMQGKALPIHGDG--------SNVRSYLYCEDVAEAFECVLHK---GEVGHVYNIGTKKERRVIDVAKDVCELLDYKKSIKMVDNRPFNDQRYFLDDKKLIA-LGWQERTSWAEGLRKTKDWYMSNPDWWGD--- 142509418 --KKKWLITGVGGFIGSNLLEKLLKLDQSVIGIDNFSTGYKDNLKSVKNIEGDIKDLEICQQ--GCDGVDYVLHQAALGSVPRSIKDPVNTNISNVDGFLNILVAARDSAVKNFIYAASSSTYGDHPDLPKKEHLIGNPLSPYAVSKYVNELYASVFSRTFRINTVGLRYFNVFGKRQD------PKGSYAAVIPRWIDAFIKNEPVYIFGDG------STSRDFCYIENVIQANLLAAISENLSESGEIFNIAVGDRTTLNQLTDIIKKSLNSESNIIFEDFRDGDVKHSQADISKAKKLLGYEPYYNVEQGISETINWFL----------- 88604328 ----TIFLTGGSGFIGSNFINYLFDNDISIINYDALTYAQQKNNPNYHFIHGNICDLPTVTDAITTTNPDIIINFAAESHVDRSITDASPFISTNIQGVYNLLEICRKHDDLKFIQISTDEVYGTGPDGFFTEASPIKPRSPYAASKASADLLTLAWHETYGLPVNITRCTNNYGP----------WQHPEKLIPMTIQRCLQKEKIPVYGNGL------NVRDWIHVSDHCSGIATVMQ---NGKVGEVYNIGSKNEWKNLDLVKKIINITRSENLISFVPDRQGHDFRYAIDPDKITRELSWCPKITFKEGLVKTIRWYLK---------- 257784808 ---KTYLVTGGAGFIGSNFIHYMLKRNQDIHILDALTYGNLENLSEYDQDHVDIRDKEALTQLFEAHHPDYVINFAAESHVDRSIEDPGAFADTNVMGTVFWNDGQGSYGDHKYLQVSTDEVYGDDPKAFFRETTSLSPHSPYSASKASADMFVKAWHDTYGFPAVITRCSNNYGP---------YQFPEKLIPLMIENCLEHK-------SLPVYGDGLNVRDWLYVDDHCKAIAMVLEGRLGEVYNIGGHNERNNLYIVKRIISEVARITGTEDLISYVTDRKGHDRRYGIAPDKIKEELGWYPETPFEEGIVTTINWYLENRKWVKN--- 135854351 ---KKIIVTGGLGFIGSNLIDLLIKKNFSVINIDKISYSSNFYNLKYNFIKCNIGDK-KFKKILFKYKPICVFNLAAETHVDRSIDNPENFIQSNIVAVYKLLEYFKEYFTSRLIHISTDEVYGDILKGRTSEKYSYNPSSPYAASKAASDHLVQSYVRTYKIPAIITNCSNNYGP--------KQHPEKLIPKLIYNILNNKPLP--------IYGKGLNSREWIFVIDHCEALIKIFKKGKIGNFYNIGSNKNLTNLKVCEHLISVAKILGTKVKINFIKDRPGHDIRYALNSNKIKRELNWKPRINFKEGIKLTFDWYRKYYKSISKK-- 195135298 ---KRILITGGAGFVGSHLVDDLMIQGHEVIVVDNFFTGRKRNVHWLGHENFELIHHDIVNPLF--IEIDEIYHLASPASPPHYMYNPVKTIKTNTMGTINVLGLAKRVMAKVL-IASTSEVYGDPTVHPQPETYWIGPRACYDEGKRVSETLSYAYAKQEKVQVRVARIFNTYGPRM-------HMNDGRVVSNFILQALRNE-------TITVYGNGKQTRSFQYVSDLVD----GLIALMASNYTQPVNLGNPVEQTIGEFANIIKHLVGGQSEVKQIKAMEDDPQRRKPDITRAKKRLNWEPKVPLESGLLQTISYFRN---------- 241761598 -----ILLTGGCGFIGSAVIRYLIKYTHSVINVDCMTYAASKEAVRYRHENININNTLELDKIFEKYHPDAVMHLAAESHVDRSIEKPEKFIETNILGTYNLLECSRKYWIFKFHHISTDEVFGDINDKPFTRQSPYNPRSPYSASKASSDHLVRAWRDTYGLPTFITNTANNYGP--------WHFPEKLIPLITLNAIHGKELP--------VYGDGTNIRDWLFVEDHAEALVKAIEY---GEPGDTYTIGARQPRTNLQVVEAICDILDRRQLIRYVADRPGHDFRYEIDPTYAEQKLGWKAKHNFEAGIRKTIQWYLDN--------- 254481035 --SKSILVTGAAGFIGANFVHYWRSQDDRIVAFDALTYAGNRANLGFSFVHADICDYDTVLATLREHSVDTLVHFAAESHVDRSITGPDAFIETNVVGTHSLLKAAREHWPHRFHHVSTDEVYGTPDAPGFYEEQKYEPNSPYSASKAASDHLVRAYLHTYGLQVTTSNCSNNYGP--------YHFPEKLVPLCLTNILRGLPLP--------VYGDGSNIRDWLYVADHCRGIEKVL---LDGVVGETYNIGGNNEWNNLDIVHLLCEQMDARFAITFVEDRAGHDWRYAIDATKISNELGYQPAETFETGLGKTLDWYLANEDW------ 168045717 -AKMRILITGGAGFIGSHLVDRLMEEGNEVIVADNFFSGSKENLKWIGHPDFELIRHDVTETLF--VEVDQIYHLACPASPIFYKYNAVKTIKTNVIGTLNMLGLAKRVGA-RILLTSTSEVYGDPLEHPQTEEYWIGVRSCYDEGKRVAETLMFDYHRQHGVEIRVARIFNTYGPRMN-------IDDGRVVSNFIAQALRGE-------TMTVQAPGTQTRSFCYVSDMVDG----LYRLMNGDHTGPINIGNPGEFTMLELAGLVKELIEPSAETKIVENTPDDPRKRKPDITKATKLLGWDPKVTLREGL------------------- 167536220 -SDSTILVTGAAGFIGYHLAASLRELNNVVVGIDSFNDYYDVTLKEARAHKVDICDESMLKTLHARYKFDYIGHLAAQAGVRYSVNHPHQYVHSNVDCFVTMLELLRHTPEVPLVYASSSSVYGKGASIPFTEDECDRPTNVYGATKRMNELLAHAYNHLYGVKATGLRFFTVFGP----------FGRPDMAPYIFTDRISRGLPIDVYHTAN---NEEMRRDFTHVDDIVD---GFMRAMKHAAPYDVFNIGRGEPVSVPQFIEMVESALQKKADRHDMPAHDAELMVTFANTSHAMRKLGYAPRVATQDGVDNFVAWY----DWYSKK-- 135079082 -TQKNILITGVAGFIGSHLARRYIAEGHRVVGIDNLQTTRDTKHIADDHPRFSFKQHDIIEPFGARMKFDWILNLACAGSYTSYQWNPVHTMQTNTVGMINILELAREHDA-RILQASTSEIYGDPEEVPQKESNTLGPRACYDEGKRAAETLCMDYHREHGTDVKIIRIFNTYGPNMD--------PNDGRAVTNFILRALDNAPITIYGDGTNTRSFQYIDDLVEGIDRMMQCDDF---------TGPVNLGNPGELTMTQFAERIIKLTGSKSRIVYERAATDDPKRRCPDISLAKKTLGWEPRVSVDEGLRK----------------- 262200608 ----RVLVTGGAGFIGANFVRTLATRDVEIRVLDKLTYAANPATVRADLVEGDIADVELTDRLIA--DTDLVVHFAAESHNDNSLADPSAFVHTNLVGTYALLEAVRRHGV-RYHHISTDEVYGDDDPARFTEQTAYNPSSPYSSTKAGSDLLVRAWVRSFGIAATISNCSNNYGP---------YQHVEKFIPRQITNVLSGIRPKL-------YGDGRNVRDWIHVDDHNDAVWTIIDRGQI---GETYLIGADGEVDNRTVVETVLELLGPADAFDFVTDRPGHDRRYAIDSTRLRTELGWRPQYDFRSGVQATIDWYRDN--------- 294633378 ----RILVTGGAGFIGSAYVRALLGPGVTVTVLDKLTYARLEPVRTHPFVHGDVCDAPTVRRLAAGH--DEIVHFAAESHVDRSIEDGSGFTLTNVVGTQVLLDAALRHGVRTFVQISTDEVYGSLPEGAAREEDPLRPSSPYAASKAAADLIALAHHRTHGLDVRVTRCTNNFGP--------YQHPEKVIPRFVATLLTG--------GNLPMYGDGRQVRDWLHVDDHVRAVELV---RTTGRPGEVYNIGGGTALTNLELAGRLLDWCGAPDRIEHVADRKGHDRRYAVDDGKITTELGYRPRTAFTPALTATADWYRTHRDWWE---- 136057037 ---------GAAGFIGSNLAEALLVNGQRVVGLDNFSTGYRSNIDDALTDEGDVTKFDDC--INACRGIDYVLHQAALGSVPRSLADPITTDRVNVGGFLNMIRASHQSNVRRFVYASSSSVYGDHPDLPKVEDKVGQPLSPYAITKVVNELYAETFARNFSFQSVGLRYFNVFGKRQDP-----SGAYAAVIPKWIGAALKGE-------NIYINGDGETSRDFCFIENVVQANVLAAVTSIQDANHQVFNVALNERNTLKELFEAITRKLEVKTNPVYQEFRPGDVRHSLASIEKAKKQLGYRPSHNLSEGLDESIEWYIAH--------- 18977729 FEGKTALVTGGAGFLGSWLCDVLIELGAKVYCVDNFASGRWENISHLTSEEFVFIEHDVSKPLEIREKLDFIFHFASRASPFEFEHYPLEIIDANTLGTRNMLELAKKNNA-RFIFASTSEIYGHPEVVPTPETYWIGIRSCYDESKRLGEALTMAYYRQFNVDVRIVRIFNTYGPRMRA------DGVYGRVVPRFISQALNEEPITVFGDG------SQTRSFCYVTDLITGVLKF--AAVENGRGEVVNLGNPREISILELAYLIKKLTNSDSPIEFHPLPPDDPPRRCPDISKAQKLLNWKPKVELEEGLKKTIKWF------------ 186477741 MNAQSVLITGGAGFLGSHLCDRLVAAGHDVMCVDNFHTGSKQTIHHLIKVNFEVIRHDVWLPLY--VEADRVFNMACPASPVHYQSDPVSTVKTAVLGAINMLGLAKRCGA-RILQASTSEVYGDAQQHPQRETYWGNPRACYDEGKRCAETLFFDYHRQHGVDIRIARIFNTYGPRMR--------PDDGRVVSNFIMQALHGEPITLYGDG------SQTRSFCYVDDLVEGLMRLMNH---EGEPGPFNIGNPGEITIRELAEMVLRLTGSRSRIQYRPLPPDDPVQRRPDIAKAREHLDWQPGVALEDGLKETIAYFKK---------- 138481470 ----NILVTGGAGYIGSHTCKALHAAGHIPIVVDNLGNGHRDAVRWGPFEEGDIRDISRMDEIFATHKPDAVIHFAGLIEVSRSMSNPQSFWDNNVEGTRILLSTMENAGVDKIVFSSTAAVYGTPDTVPISESAATTPVNPYGETKLAIEGMLAESAAQRGLAYVALRYFNAAGADPASELGERHNPETHLIPLVLQVALGQR--------------------------------------------------------------------------------------------------------------------------------- 171910639 --KKTAVVTGAAGFLGSHLSDRLLAEGYKVVGLDNLLTGNLRNIAHGSNPDFDFIQHDVTKFIDLPGPVNLIFHFASPASPIDYLQLPIQTLKVGSLGTHNALGLAKAKQATCL-LASTSETYGDPLVHPQKETYWVGPRGVYDEAKRFAEAMTMGYHRAHGLDTKIVRIFNTYGPRMRL--------EDGRVVPAFIGQALQGQPLTVFGDG------SQTRSFCYVSDLIDGIF----RLSQSDYHEPVNIGNPAEMTVIEFAEKILRITGSDSKIDFRPLPVDDPKVRQPDITLARKILGWEPKVSFEEGIVNTVAYFKDF--------- 254455421 ----KIIITGGAGFIGSHLAEFLVKKGHKIVIIDNLSTGRLSNIKTFKFVKADISKIGKWIENFENTQ--VVYHLAALADIVPSIQNPKKYFESNVVGTENIASASIKYKVKKVIYSASSSCYGIPKNYPTLETASIEPQYPYALTKNLGEQILIHYAKIYGVNVTSLRLFNVYGTRSRT------SGTYGAMFGVFLAQKLKNKPLTVVGD------GKQKRDFTYISDVIQAFYKAIKIKKN---FQTFNLGTGKPVAVNE----IVKLLGCKSINI--PKRPGEPDLTSANITKIKKELNWKPKISIKQGIKNLLN-------------- 142684691 ---KRTLVTGGAGFLGSFLCEKFLDQGHEVIALDNFYTGSRKNISHLLDHRHDIVEPIEVDWIFNMACPASPIHYQ---------YNPVKTVKTSVMGAINMLGLAKRVHA-RILQASTSEVYGDPEIHPQQESYWVNPISCYDESKRVAETLMMDYHRQNQVDIKLVRIFNTYGPRM--------HINDGRVVSNFIVAALKEEPLEIFGDG------EQTRSFCYVSDLIDVILKMMNKDDFIGP---VNIGNPGEFTIRELAEKILKLTGSRSKIQVKQERSDDPVRRRPDISLAREKLGWEPSVELEEGLRKTIEYFEK---------- 291296406 ---KRVVVTGGAGFIGANYVHYALSADWQIVVLDKLTYGNLENLHRIEFIQGDIANPADARKALQGA--DAVLNFAAESHVDRSLLDARAFVRTNIEGTLVLLEAARQAGVRRFLQVSTDEVYGDLSGTDRHETDPFRPRSPYAASKAGAEHLVLAYGISHGLDVVITRGSNTYGP----------YQYPEKIIPLFITNALEDKPLPIYGDGSAV------RDYMHALDHAAGIDLVLHRGAAGEAYNLGAREQVSGVQVAEAI--LAALGKPATLKKFVADRPGHDYRYSVDPSKA-EALGWVRRYSFSRGLAETIEWYVQNPSWWKKEHQ 148259628 ----RFLITGGCGFIGSAVVRRLVASTHEVVTVDKMTYAASEDALRHRLIRADITDAAAMRAAFEAHRPDIVMHLAAESHVDRSIDGPRDFIDTNITGTFVLLEAARAWFAFRFHHISTDEVFGALEDPPFTETTPYDPRSPYAASKAASDHLVRAWRHTYGLPAIVSNTTNNYGP--------WQFPEKLIPLVLINALEGRPLP--------VYGDGSNLRDWLFVEDHAEAL---LAIALTGTPGETYAIGARQPRSNLDVVRAICRLLDRERLITFVADRPGHDFRYEIDPARSEAALAWRAAHDFEAGLARTVDWYLAN--------- 225439840 ----RIVVTGGAGFVGSHLVDKLIARGDDVIVIDNFFTGRKENVMHHFNPRFELIRHDVVEPIL--LEVDQIYHLACPASPVHYKYNPVKTIKTNVMGTLNMLGLAKRIGA-RFLLTSTSEVYGDPLEHPQKETYWIGVRSCYDEGKRTAETLTMDYHRGAEVEVRIARIFNTYGPRM--------CIDDGRVVSNFVAQAIRRQPLTVYGDGKQT------RSFQYVSDLVDGLVALME----GEHVGPFNLGNPGEFTMLELAEVVKETIDSSATIEFKPNTADDPHKRKPDISRAKELLNWEPKISLREGLPLMV---SDFQNRILNEDE 307202325 ---KRILVTGGAGFVGSHLVDRLMLAGHEVIVVDNFFTGRKRNVHWVGHENFELVHHDIVRPLY--LEVDEIYHLASPASPPHYMLNPVKTIKTNTLGTINMLGLAKRVGA-RVLIASTSEIYGDPTEHPQVETYWIGPRACYDEGKRVAETLSYAYMRQEGVSVRVARIFNTFGPRM-------HMNDGRVVSNFILQALQNE-------SLTIYGSGKQTRSFQYVSDLVD----GLVALMASNYTLPVNIGNPVEHTIEKFARIIKDLVGATSEIVELAAVEDDPQRRRPDISRAKKYLNWEPKIPLAEGLKRTIVYFAK---------- 139393380 ------------------IVELLLE-GHEVVCLDNFCNSSPKATDRIIKIEGDIRSEGTIKNIFKSHSIESVIHFAGLKAIGESVEKPLKYYNNNIGGTLNLLQAMRNAEVYQIVFSSTATVYGEPDNLPLSEESPTQPTNPYSQSKLVIENILKDLSEDNRWKIALLRYFNPVGAHPSGLIGENPNGPNNLVPYISQVAVGKLEKLGIFGDDYRTPDGTGIRDYLHVVDLAKGHLKALDKINNSKALHIWNLGTGQGSSVFEVVKSFEKACGKKVPYEIKPRRSGDIAEYWADPSKAAIELDWKAQLDLDQ--------------------- 170761061 LKYKKVFITGAEGFIGSHLTEKLVQLGANVTALVQYNSFNNWGWIDTFSKEVDMREYDGMKRIIKGQ--DVVFHLAALIAIPYSYLSPMAYVKTNVEGTTNVLEACREYEVEKIVHTSTSETYGTALYVPIDEKHPMQGQSPYSASKIAADKMAESFYRSFNLPVAIIRPFNTYGPRQS---------ARAVIPTIISQILEGKTEIKL-------GSLSPTRDFNYVKDTAEAFIKVAESDKTIG--QVINAGSNYEISIGDTVKKIINIMGNDVKILCDEERISEVNRLWADNRKIKELTSWNPRYNLDDGLKETIEWIRNNMKYFKTD-- 206900525 --KKIILITGGAGFIGSNFIHYMLNKEYEIVNLDKLTYAGNENNKNYHFVKGDITNRELVEHIFEEFNPQYVVNFAAESHVDRSIEGPEIFVKTNVYGTHVLLDVAKEYWENRFIQISTDEVYGLESKEKFTEESPLRPNSPYSATKAGADLICRSYFITYNFPVIITRSSNNFGPR--------QYPEKLIPLTIKRALEGKPIP--------VYGDGQNVRDWLYVEDNCKGIDLVLHKGRI---GEIYNIGGGNEWKNIDLVNLICDAERYKRLITFVKDRPGHDRRYALSIEKIKSELGWKPESDFLEALKFTVKWYMERWEGI----- 225016238 ---KNYLVTGGAGFIGSNFVIYMLNKYDDVINIDKLTYAGNEGNEHYTFIQADICDKDAMQAIFDKYEIDYVVNFAAESHVDRSISDPEIFVQTNVLGTVNLLNIAKNAWQTKFLQVSTDEVYGLGETGYFMETTPLDPHSPYSSSKASADFFVKAYSDTYKFPVNITRCSNNYGP---------YQFPEKLIPLMINNVLQHKD-------LPIYGDGMQVRDWLYVED----HCKAIDMVIRGGKGEVYNVGGHNERPNITIVKTIIDYIKKEHLMKYVEDRKGHDRRYGIAPDKIKADLGWYPETTFEVGIVKTIKWYLENKTWMQN--- 46578735 ---RTWLVTGVAGFIGSNLLETLLRQGQRVVGLDNFLTGYEERWASFRFIEGDIRDLATCHEACKGV--DHVLHQAALGSVPRSIDDPILSNECNITGFVNMLVAARDAGAKSFVYAASSSTYGDEPTLPKVEDIIGKPLSPYAVTKYVNELYADVFARCYGFTAIGLRYFNVFGQRQD------PFGAYAAVIPQWFASLLRGETVFVNGD------GETSRDFCY-IDNVVQANILASLAPAEARDKVYNVAFGQRTTLNELFDLIREEVAAGATCVHRDFRAGDVRHSLADITRAQTLLGYAPVYDVREGLRLAGDWYAAN--------- 167628839 ----NILVTGGAGFIGRWVVLQLLRAGHHVWVVDDLSNGRAENLAYGDFEVGDICDRERLRRWFEEHRFDLCYHLAAEINVQKSIDFPADTFRRDVEGTFGLLELCREFG-TRFVFMSTCMVYAPFEEAAIDERHPVLPASPYAGAKLSGEHLALSYYHAYGLPVTVIRPFNTYGPYQKTNGEG-------GVVAIFVERALREEPLHIFGD------GTQTRDLLYVEDCARFVIQAGMDRQAIGKTL--NAGSGRDVSINELARLVGEVVGFSVCHVAHPHPQSEIRRLLCDFGEAKRLLGWEPQVSLEEGIARTADW------------- 254295548 -ARKRVLVSGGAGFLGSHLIDRLLERGDEVICLDNLFTGNIEHLFGNPRFEFDVCIYLEVDEIYNLACPASPIHYQH---------DPVQTTKTSVHGAINMLGLAKRIGAKIFQ-ASTSEVYGDPNVHPQKEEYWIGIRSCYDEGKRCAETLFFDYHRQHGLEIKVARIFNTYGPRMN--------PEDGRVVSNFIMQALKGEDITLYGDGLQT------RSFCYRDDLVEAFLRIMDTPKE--VSGPINIGNPGEFTIKQLAELVVKLTNSSSKLIYLPLPQDDPMQRQPDISKAKSLLDWEPKVKLEDGLISTISYF------------ 288939982 MNNK-VLITGGCGFIGTSLIHKLLARTAHIRIVDNFVTGSPADLAEVCVFQGDIRDADFLLQCAQGV--DCIVHLAANTGVGPSVEDPRLDMDCNVVGTFNALEAARLNGVKRFIFASSGAPAGEVE-PPIHEELPPHPVSPYGASKLAGEGYCSAYYRTFGIETICLRFGNVYGPR---------SKKKSSVVAKFIRQALQGEPCIIYGDG------TQTRDFLYIDDLVRAVMLAMEQPV---GGETFQIATGMERTVGEVATLLAKALGTHMDIQHDSPRLGDVRRNFSDTSKAARLLEWRTEVEVPEGIERTLDWF------------ 301299649 ---KNIIVTGGAGFIGSNFVHYVVNNHVHVTVLDKLTYAGNKENLAGLPEDGDICDKELVDKLVQNA--DAVVHYAAESHNDNSLRNPDPFIQTNIVGTSVLIEACTKYDV-RFHHVSTDEVYGDGPGEKFTPESPYKPSSPYSSSKASSDLLVRAWVRSFGLKATISNCSNNYGP----------YQHIEKFIPRQITNILSGIRPKLYGTG------KNVRDWIHTNDHSSAVWKIL---NEGKIGETYLIGADGEKNNKEVLELILKLMGPADAYDQVKDRPGHDLRYAIDASKLRNELGWEPQYTFEEGLKATIDWYTNHREWWQDQKD 163847307 ------LITGGAGFIGCNLADYLLARGEHVTIVDNLSRPRTPLNLAWLQEQADIRDAAVMQAVIPGHR--VVYHLAGQVAVTTSVQDPRSDFEINALGTLNILEAARASEPPIVFFASTNKVYGGMETVAVVEDETLDFHSPYGCSKGAADQYVRDYARIYGLKTVVFRQSCIYGPRQFGV-------EDQGWAAHFAIAALLNRPITIYGNG------KQVRDMLYVDDLIAAYMAALDRIDQSGRIYNIGGGPQNALSIWEFGPLLSRLIGREIPVRYGDWRPGDQPVYISDISLAQRDLAWQPQVSVYEGVERMVGWIRDH--------- 25026900 ------LVTGGAGFIGANFVRQTVEQHDKITVLDKLTYAGNADNLAGLPEDGDICEGELVDKLVADHDIT--VHFAAESHNDNSLNDPSPFVQTNVVGTFTILEAIRRHG-KRLHHVSTDEVFGDDDPNRFTETTAYKPSSPYSATKAGSDHLVHAWIRSFGIEATISNCSNNYGP----------YQHIEKFIPRQITNILSGLTPKLYGTG------EQVRDWIHVDDHNDAVHLIL-KEGTLGDT-YIIGADNDHVNNKQVISMICELMGLGPDYEHVADRPGHDLRYAMDSTKLRTELGWAPKYTMRAGLEQTIKWYTENQDWWK---- 168046471 -EPKNILITGAAGFIASHVANRLIRNQYKIVVLDKLDYCSNLKNLFPKFVKGDIGSADLVNYLLITEGIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTQIKRFIHVSTDEVYGETEAEAIHEASQLLPTNPYSATKAGAEMLVMAYAKSYGLPCITTRGNNVYGPN--------QFPEKLIPKFILLAMQGKPLPIHGDG--------TNVRSYLYCEDVAEAFECVLHKGEL---GHVYNIGTQRERRVMDVAKDICELLDYKKSIKMVDNRPFNDQRYFLDDKKLIA-LGWQERTSWAEGLQKTKDWYTSNPDWWGD--- 166364555 ----RILVTGGAGFIGSHLIDRLMEQGHEVICLDNFYTGVRRNIVKWELIRHDITEPIRLE-------VDQIYHLACPASPIHYQYNPVKTIKTNVLGTMYMLGLAKRVKA-RFLLASTSEVYGDPDVHPQTEENCIGPRSCYDEGKRVAETLAFEYYREHKVDIRVARIFNTYGPRM--------LENDGRVVSNFVVQALRGEPLTVYGE------GSQTRSFCYVSDLVE----GLMRLMNGDFIGPVNLGNPDEYTILELAQVIQGMINPEAELVYKPLPEDDPKQRQPDITRAKTYLDWSPTIPLSQGLKMTIE-------------- 212715413 FKPRNIIVTGGCGFIGANFVRYVAHNHPDVTVLDKLTYAGNPQNIAGLPVQGDICDAVLLERIVSGH--DSIVHFAAESHNDNSIANPEPFITTNVEGTFHLLEAARKHDV-RFHHISTDEVYGDDDPCKFTESTPYKPSSPYSASKAASDQLVRAWVRTYGLRATISNCSNNYGP--------YQHVEKFIPRQITSIMEGVRPKL--------YGTGENVRDWIHTEDHSSAVWEILTRGRI---GETYLIGADGEMSNIAVMRMILRLMGCAEDFDWVRDRPGHDRRYAIDSSKLRTELEWKPHTDFEAGLQATIAWYAANRAWWE---- 125554349 -----VLVTGAAGFVGAHCSLALRKRGDGVVGIDNYNSYYDPSLKKARRVDGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPSSYVRSNVAGLVSLLESCKDADPQAVVWASSSSVYGLNDAVPFSEAHRTDPASLYAATKKAGEAITHTYNHIYGLSITGLRFFTVYGP----------WGRPDMAYFFFTRNILQGKPVTVYRGRD---HVDIARDFTYIDDIVRGCLAALDTAGRSTPYRIFNLGNTSPVTVPALVAMLERCLMVKARRHVVEMPNGDVPFTHANISLAREQLGYKPTTSLEMGLKKFVRWYLSYY-------- 224055839 -----VLVTGAAGFVGSHCSLALKKRGDGVLGLDNFNSYYDPTLKRARQVEGDLNDASLLRKLFDVVPFTHILHLAAQAGVRYAMQNPQSYVSSNIAGFVNLLEAKAANPQPAIVWASSSSVYGLNTQVPFSEDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGP----------WGRPDMAYFFFTKDILQGKPIDVYQTQD---DKQVARDFTYIDDVVKGCLGALDTAEKSTGSGVYNLGNTSPVPVANLVSILEGLLSKAKKHVIKMPRNGDVPYTHANVTLAFKDFGYKPSTDLATGLRKFVKWYVNYY-------- 23016886 LAGKRILVTGGAGFIGSAIIDQLATEGCEIVAVDNFVRGRKENLDAARLVDGDIRDRSLMDGLVAGS--DLIFHMAAL-RITHCAAEPRLALEVMVDSVFDLLELAVKHKVEKIVAASSASIYGLASHFPTTEENPYDNRTLYGAAKAFNEGLLKSFADMYGIRYCAMRYFNAYGPRMD------IHGKYTEVLIRWMERIDGGQKPLIFGDGLQT------MDFVHVDDIARAN---ILAAKSDCVEDAFNVASQTETSLRQLADLLCKVMGREGEPEYGPERVNPVPRRLADISKARALLGFEPRVNLEDGLRGLVDWWR----------- 297627137 ----KILITGGAGYIGSSVAACCADNDITPVILDDYSKGLREFAAPYLNYEGDIADVPLIRRILSEHDIDAVIHCAAKIVVPESVSAPLDYYENNVSKTLVLLRELCGHGVRRFILSSTASMYEGGDGHLVDESSAVVPHSPYSASKWMLERMLRDLAATGAMEVVALRYFNPIGADPKMRSGLQDERPTHALGKMIEAYQGGGTFTVTGTD-WPTRDGSGLRDYVHVWDLARAHIAALECFDQVPGFDVINLGSGRGTTVFELVDAFGDAMGVRLDMGTAPARLGDVVGCATLTGKAERLLGWNAELSISDGVRHSLEWAARLPAVLERER- 300313479 LTPRTWLVTGVAGFIGSNLLETLLKLNQRVVGLDNFSTGFPEQWANFRFVEGDIRKLDDCRAAMHHEAVEYVLHQAALGSVPRSIEDPITTNQNNIDGFLNMLVAARDARVKRFVYAASSSTYGDHPGLPKVEDRIGKPLSPYAVTKFVNELYADVFARTYGLQTIGLRYFNIFGRRQ-----NPDGAYAAVIPKWIAAAIKGE-------QIYINGDGETSRDFCYVDNAVQANLLAACTLQDEAANQVYNVAVGDRTTLKELYQFLRDNLSRDAQPIFREFRAGDVRHSLADIGKGRRLLGYEPSHRIGRGLKESMNWYVQQLRNQSVENR 227487173 ----NILVTGGAGFIGSNFCHYTRAHDHEVTVLDALTYGNPASVPEGCRVHGSILDEELVDALVK--QSDVVVHFAAESHNDNSLRDPLAFVRTNVEGTTVIAAACARHDV-RLHHISTDEVYGDTGGERFTPDTPYRPSSPYSASKASADHIVRAFGRSFGLRATISNCSNNYGPR--------QHPEKFIPRQIL--ALENGEPVRLYGDGL------NVRDWIHVDDHNSAVWAILERGEI---GRTYLIGANGELSNREVVDELVKHYPGAEVI-HVTDRPGHDRRYAIDPS-SVEALGWKPRYSFTEGLAQTIDWYRENRSWWEEARE 294494801 ---QTILITGGLGQVGSYLTDRFCREN-KVIVLDNTFSPCRHEVPAG--VQLVIGDIQGPEAVRLVGQADVVIHTAAQIDVNRSMEQPMFDCENNILGTLNLLEAARQTNLKRFIYFSSAAIYGETLQMPVSENHPTQPLSPYGVSKLTGEQYALMYHRAFNLPISVLRPFNIYSPRQDP------------SNPYSGVITKFIERTKAGQSPVIFGDGEQTRDFISVHDIVDLVQLLVEKEDAIGK--VFNAGTGKQTSVNRLAGIVQHVFDSDLPLEYRQARIGDIRHSVADISAAKT-LGFVPKVSLEDGLAEFVK-------------- 136434963 ------LVTGGCGFIGSHLVDLLLSEGHDVVVLDNMSTGRRANLDHVRIVEGSVTDASTV--ITAMADCDFVFHLAALADIVPSIVDPLAYSNANIDGTLVVVDTARCLGVSKLVYAASSSCYGIPDEYPTPESATIAPQYPYAFTKWIGEQTVLHWHQIYGLPVVSIRLFNVYGPRSRT-------------SGTYGAVFGVFLAQKLAGEPMVVGDGSQTRDFTFVTDVVR---AFLAAAKSDVDGEVLNVGSGGTYSVNRLVEIL------DGPSCFIPKRPGEPDCTFADTRRIQELLSWAPAVPLEDGVAQMIDYWREAPVW------ 302757587 -----VLVTGAAGFVGTHVSLALKKRGDGVVGLDNFNSYYDPSLKRARQEDGDVNNSELLAKLFSMVPFTHVMHLAAQAGVRYAMQNPASYVNSNIAGLVTLFEACKSANPQSIVWASSSSVYGLNSKVPFSEMDRTDPASLYAATKKAGEAIAHSYNHIYGLSITGLRFFTVYGP----------YGRPDMAYFSFTKDILQGKPINIYQGPDQT---DLARDFTYIDDIVKGCLGALDKKRGPAQLRVYNLGNTSPVTVPELVSILEKHLKVKAKKNFVKMRNGDVPFTHANVTLARNELRYNPSTDLYTGLKKFVKWYESYY-------- 115345679 ------MITGSAGFVGYFTAHALLRQGWRVIGLDALSDYYDVTLKARRHAMLELETPGLLQQLFAAHEPRLVIHLAAQAGVRYSIDNPASYVQANLVGTAELLEAVRAHPPAHTLLASTSSVYGANTEMPYTETHKADTMSFYAATKKATEAMAHSYAHLYALPITMFRFFTVYGP--------WGRPDMAHFKFTKAILNGDPIEVYNHG--------NQQRDFTYIDDLVAGIIALADAIPDPAPFRVVNIGNGTPVKLMDYIAAIEAACGRTAEKIFLDAQPGDVPATWADTSLLEALTGPRPTTDVHTGLQHFVDWYRSYYR------- 145354176 ----RVLVTGGAGFVGSHLVDRLMERGNIVIVADNFFTGRKENIMHHQNPFFELIRHDVVEPML--VEVDQIYHLACPASPVHYKHNPVKTIKTSVMGTLNMLGLAKRVGA-RMLLTSTSEVYGDPLEHPQKESYWIGVRSCYDEGKRVAETLCFDYHRQEGVDIRIARIFNTYGPRMAL--------EDGRVVSNFVSQALRGEPLTVYGDGKQT------RSFQYVDDLVAGLMALMD---NENEIGPVNIGNPGEFTMIELAEVVKEVVNKDAKIEFKENTADDPGRRKPDITLAKTALGWEPKITLREGLPKMVEDFRE---------- 136356535 MES--ILVTGGCGFIGSNFIKYFLNKYEKIVNLDKLTYAGNSSNLEYTFVHGDICDSNLVKSLFKKYKFSRLVNFAAESHVDRSIDGPADFINTNVVGTLNLLEQARHYFDFKFIHISTDEVYGLGQKGSFSENSPFKPNSPYSASKASSDHLVKSWYKTFGLPILITNCSNNYGP--------YQFPEKLIPLTIINCLEGRSLP--------VYGDGENIRDWLYVEDHCRAIDLIM---KNGVVGNTYNIGGLSEMKNIYVVKKICSTLDKSNLITFVKDRPGHDRRYSVSIEKIQKQMSWSPNESFDSGIEKTINWYLNNWRSLKGKYD 302353274 MQSKNILITGSCGFIGFSVAKKLLEDGCNVLGIDSLNSYYDVNLKISRNKKMDLCDEGAVKKLFSDYSIKTVCHLAAQAGVRYSLTHPLEYKKSNLDGFVNLIEEAKCARVENFVYASSSSVYGDNKIIPFAESPCNQPVSLYGATKRANEIIAYSYSKLFNLPTTGLRFFTVYGP----------YGRPDMALFLFTRAILENKPIDVYN------YGNMRRSFTYIDDIVSGVLSCLKKPFS---YEIFNLGNNKSATLIEYIETIEKKLGITAVKNMLPLQPGDVMATEADLTHVKEKIGFEPKTNIDAGVGKFVDWFRDYYK------- 254390054 ----KIAITGGAGFIGSNLARVLTEQPKITRVIDNLSTGSKTSILGIDFFEGDIQDADLLDQVFRGA--DAVVHLAALPSVPRSIRDPLASHQANATGTLQVLEAARRAGGLQVIAASSSSVYGANPRLPKHEDLTTAPMSPYAVTKLATEAYLGAYHHSLDLPVLPFRFFNVYGPGQRA------DHAYAAVIPKWISAALDGRPVTVHGD------GTQTRDFTYVGTVCRVLTEALLHRIV--EPRPVNLAFGTRVSLLDLIPEVETATGRVVHREHMPARTGDVAHSQADSSRLRALFPTVAPVALEDGVSATVDWFR----------- 254513045 ---QKVLITGTAGFIGFHLANLLLANGNRVHGYDGMTDYYDVHLLETPNFSCDVGDTSSFDHMADAFEPDVIIHLAAQAGVRYSLENPRAYVESNVVGTFNVMEAARRHEVRHLLMASTSSIYGANPDMPFDECEKADHLTMYAATKKANEAMGHSYAHLWNLPTTMFRFFTVYGPWGRPDLAFFKFTDAILEDRPIDIYNHGNMYRDFTYVEDLVRAISLLIDVIPVRPAKPEDITEGDSLSPVAPYRIVNVGNSDKVRLLDFIDAIEKSLGKPAIRNYMDMQKGDVPATWANADLLHRLTGYRPQTDIKDGIQAFVDWYRAYYN------- 143169359 -------VTGGAGFIGSNLVERLLERGDQVVVIDNESANTHDEVYWNPNVKGDVTDFSLLKN--ACINADCIYHLAADISIQYSIENPTESYKNNVVGTLNVLEVARVLGIKKVVFSSTAAIYGS-TSEPCLETDRPDPLNPYSVSKLAGENLMKMYNDLYGIQTVSLRYFNVYGPRQ-------------AYKGQYAPVIGIFQKQKFEGKPLIIGDGNQTRDFIHVADVAHANMMVAEKDV----VGVFNVGSGTEYSVNQ----IASLTNNPHGTTTMPSREGEARRSISDNNKLKNI-GWQPRILLEAWIAK----------------- 142524870 ----KIFITGGCGFIGSNFIKQIDDEKNNILNYDKLTYAGNNNNKRYEFINGDITDFSTLKSAIFDYKPDAIINFAAESHVDRSINNPMDFVNTNILNISNLYFNSKPNSDFKFYQISTDEVYGLGETGLFTEKSLYKPNSPYSSTKAGSDHLTRAWGKTYGLPVITTNCSNNYGP--------YQFPEKLIPLIITNCFDEKPLP--------IYGDGSNIRDWLYVNDHCDAIYSVLNKSEPQ---KTYNIGGNFEKTNIEIVTEICRILDKKNLITYVEDRPAHDFRYAIDSTKIKNEIGWSPKESFKSGINKTVNWYLDNKEW------ 138927379 ----KVLITGGAGYIGSHMALELLDQGNDVVILDNLTSGSKKIVPKATFIEGDISNLNLVQSIFDDHNIEAVTHFAGSVKVEDSVKNPFKYYENNSFKTLKFVDFLTKSDVKNLIFSSTAAVYEEKKSGLISESHTCNPSNPYGQSKLMCENIIRDLCKGTNLKFFILRYFNVAGADTQLRSGQIDEESNHLIKACIDCVLEKRKLIEIYGLDYDTDDGSCVRDFIHVSDLISGHLLAAEHLVKGGDSDICNLGYGKGLSVLEIVDRVKKISKINFKTRIVE--------------------------------------------------- 299534518 ----NVLVTGGYGFIGSAVGRRFFEEGATVYIIDNLSTGHLRNVFEHKSYLLNVED-EVCEHLFKEINFDVVVHCAAQTSVQQSIQEPVRDILTNIVGLSQMLFLSSKYKVQHFVFASSAAVYGDSHYPPLEESDVCEPISMYGLNKSIGETYCEKWQKDYRLPVLIYRFANVFGPRQ-------QMQGEAAVIPSMLKSSMVGKPFTIYGD------GEQTRDFIYVDDIADAIYAGVSARLQGTYNVST-----NEAWSIHQVILLLQHLNHPLEIQYAPAREGDIEHSFLNNNKLANAIGWKPKISFAEGIERTLH-------------- 297830022 -KPKNILITGAAGFIASHVANRLVRSEYKIVVLDKLDYCSNLKNLNPKFVKGDIASADLVNYLLITEEIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTQIRRFIHVSTDEVYGETDEDASHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPN--------QFPEKLIPKFILLAMNGKPLPIHGDG--------SNVRSYLYCEDVAEAFEVVLHK---GEVNHVYNIGTTRERRVIDVANDISKLFGIDPDIQFVENRPFNDQRYFLDDQKLKK-LGWCERTNWEEGVRKTMEWYTENPEWWGD--- 297830022 --------------------------------------------------KGRLEDRASLMADIRSIKPSHVFNAAGLTGRPWCESHKCETIRVNVAGTLTLADVCRENDLLMMNFATGCAAHPEGSGIGFKEEDKPNFTSFYSKTKAMVEELLREFDNVCTLRVRMPISSDLNNPR-----------NFITKISRYNKVVNIPNSMTILDELLPISIEMAKRNLRGIWNFTNPGVVSHNEILEMYKSYIEPDFKWSNFNLEEQAKVI----------------------------------------------------------------- 255537811 -----------------------------------------------------------------FIRFDAVIHFAGLKAVGESVEKPLLYFNNNLIGTITLLEVMAAYRCKKLVFSSSATVYGWPKEVPCTEEFPLSAANPYGRTKLFIEEICRDYRSDSEWKIILLRYFNPVGAHPSGHIGEDPRGPNNLMPYVQQVAVGRRPHLTVFGTDYSTKDGTGVRDYIHVIDLADGHIAALRKLSDAKGCEVYNLGTGKGTSVLEMVTAFEKASGKKIPLVMAGRRPGDAEIVYASTDKAERELNWKAKFGIDEMCRDQWNWASKNPYGYGS--- 134980649 ---KKILVTGSAGFIGYHLTKQLLKRNYKVVGIDSLNTYYDRNLKISRNKDLNITNRRLISDLFYKYKFYKVFHMAAQPGVRYSFEEPYKYIESNLVGFFNILQNCKRFNVKKFIFASSSSVYGAEKKIPYIENHKTKPIQLYAATKLSNEILASTYSRIFNMKIIGLRFFTVYGP--------WGRPDMALFKFMKKIFQNKAIDLYNQGNHL--------RDFTYIDDIVNGI--ILSANYNKKNFEIFNLGKGKPIKTINFVKELSKVLQKKIKINYIKKQKGDMDKTYCSTIKAKKYLKFKCNISLDKGVLKFYKWYKEFYK------- 69248931 ------LITGGAGFIGSTLANHLGEE-HVVIVVDDLSMGKVENLKNITFIEGDVAEKSLMEEIMKANKFDYIFHLAAVASVADSVARPVETHRVNFESVLMILELIRKYQPDRIVFSSSAAVYGDEPTLPKKEESVIRPLTPYAVDKFAAEQYVLDYCHLYDVPGSAVRFFNVYGPNQ-NPNSPYSGVISILVDRYKKQIVGEATSFTLFGD------GSQSRDFVYIDDVI--QALLLVANEEAALGKQFNVGTGKATTLLELIHAINEILGVELTLEYKEERSGDIHDSLADITKIQSI-GYQPKYGILSGM------------------- 270158700 ----RILITGCAGFIGMHTALRFLERKEEVIGVDSLNNYYDVRLKKARLAQLDIGHCQSVHNLFVDEKPSLVVHLAAQVGVRYSLINPQAYIDSNIQGFMNILEACRHHSIEHLVYASSSSVYGNNILIPFDESDTCHPVSLYAATKKSNELMAHVYSHLYQLPTTGLRFFTVYGP--------WGRPDMAFFKFTQAMVTGK--------PIDIYNNGEMIRDFTYIDDIVEGIVRIVDKSSATANYRVFNIGNNHPIDLITYIQAIEHALGIKALKNYLPMQPGDVLATAANIEALEEWISFKPSTPISLGVQHFVDWYREYY-------- 239908669 --NRKVMVTGADGFIGSHLAEALLQAGCDVRAMVQYNSFNSWGWLDGDMEIGDVRDSGFVRRAVAGC--EVVFHLAALISIPFSYVAPESYIDTNIRGSLNVVQACRDLGVSRLVHTSTSEVYGTALFTPITEAHPLQPQSPYSASKIGADQIVLSYHHAFETPVAVMRPFNTYGPRQS---------ARAVIPTIIGQLAAGQRRIRL-------GALTPTRDFNYVADTVRGFMAMAESPHVLGKTVNF--GSNFEISIADIAALIGEVMGVEFEIACDAERLSEVDRLWCDHSLARELTGWTPDFGLRRGLALTAQWFQE---------- 124025564 ---RRILVTGGAGFIGGAVIRKLLKESTSKINIDKIGYASDDYSDRYDFAKIDLSIPDETAKAISDSDPDLIMHLAAESHVDRSIQGPEAFINSNIFGTFNLLEATRKHYDFRFLHISTDEVFGLGLNGKFTESTSYDPRSPYSASKASSDHLVRSWHHTFQLPIVITNCSNNFGP--------WQFPEKLIPVAINKALALKSIPL--------YGDGENIRDWLYVDDHVDA----LFLAANKGKGDSYCVGGYGERKNIEILKIICKILDEERLITKVQDRKGHDRRYAIDPSKIRNELGWEPKYSLEDRLETTVQWYIKNKNW------ 297374638 ----RILVTGGCGFIGSNFIRHILDKDYEVVNLDALTYAGNVNNLK----DVDTKRYRFVHDSVASADVDAVVNFAAESHVDRSIEDAQPFLITNVMGVQVLLDAARKADIKRFVHISTDEVYGETDEGVFTETTPLGPNSPYSASKGAADLLVHAYFRTYGFPVCTVRPSNNYGP--------YQFPEKFIPLMITNLIDGQPVPL--------YGEGRNVRDWFYVGDNCRAIDMVLHKGT---GGQVYNAGGLWQRKNIEIVHQVLDIMGKDHSIRYVKDRPGHDYRYALSNDKIHAELGFTPQMQFRDGLEHTVRWFKDNQWW------ 305679689 ----KMLVTGGAGFIGTNFVRRLTTREYEITVLDKLTYAGNSSNLTGHFVQGDVADRELVDKLVKAS--DVVVHFAAETHVDASLRDPAAFVHSNVVGTFALLEAIRKYD-TRLHYISTDEVFGDTDSRRFTETSPYNPSSPYSATKAGADHLVRAWIRSFNIPATLSHCSNNYGP----------YQHIEKFIPRQITNILTHRTPKLYGTGA------QIRDWIHVDDHNSAVQLILD-NGTIGES-YIIGADNDHITNKQVISLICELMGSPDAYEHVSDRPGHDQRYAMDSTKLRTELGWQPHFTMRTGLAETITWYTENRAWWE---- 139386135 MSKKKIIVTGGAGYIGSHVCKKLYHEGYEPICYDNLSTGHKEFVKWGPLIKADITNKKNLVRVIEKYDPIAIMNFAALSLVEESNKNLYKYFFTNYYGTLCILEAMHLTQKNKLIQSSTAAVYGF-HGKKYKEESKLKPINNYGKSKLAAEESIKYMGKLHQINYIILRYFNAAGADFDSKIGELHYPETHLIP-NITNSIFNGNHIKIYGNDYQTRDGTCIRDFVHVEDMQTHIY------------------------------------------------------------------------------------------------- 50083379 ---KTWLITGVAGFIGSNLLETLLKLDQRVIGLDNFATGHREQWNNFKFIRGDICQLQDCQA--ACEGVDYVLHQAALGSVPRSLVDPITTNSVNIGGFLNMLVAARDAQVKSFTYAASSSTYGDHPALPKLEDHIGKPLSPYAVTKYVNELYADVFARSYGFYCIGLRYFNVFGQRQDPN-----GAYAAVIPKWTAAMIQGED-------VFINGDGETSRDFCFIENTVQANLLAATTQDAAAKNQVYNVAVGDRTTLNQLFATLQSALAENGIHVYREFRAGDVRHSQADIGKISQLLGYQPEYRFAQGVHKAMHWYVQ---------- 297530956 ----NILVTGAAGFIGSHLCEKLLENDHHVIGVDGFNIAHLQSHPRFTFLELDLL-TADLPSLLQNV--EAVYHLAGMPGVRTSWTEFAAYAAHNISATQRLLEACKGRPLKRFIYASTSSVYGE-RSGPLSETLEPAPLSPYGITKLTGEHLCRVYFREFAVPIVILRYFTVYGPRQRP----------DMSFHRFIRQLLAGQPLTVFGDG------TQSRDFTYISDCVDGTIAALERDGVIGETINI--GGKERASVNEVIRLLETLTGKQAIIQYTPAARGEPKQTWADLTKAERLLGYKPVVTLEGGLQK----EIEYIRSYEGEH- 15838870 ----TVLVTGAAGFIGAHVCRALAARNDTVVGLDNYNAYYDPQLKRDHIRTLDLTDRERCAALFNEVQPDQVVHLAAQAGVRYSIENPHAYVDSNLVGFLNVLELCRHCRVQHLVYASSSSVYGDSATPPFSEQQRVDPRSLYGATKVANEVMAYSYAQLYGLRATGLRFFTVYGP----------WGRPDMAPLIFSRAVLEGRPIEVFN------HGRMERDFTFINDIVAGVIGALDAPGEQAPHRLFNLGNHTPVPLEHFINVIEQAAGRPADKHYKPMQLGDMMATMADIAAARAAFGFEPVTSIEIGMPQVVEWCRHY--------- 159042020 ----RVPITGGAGFIGHNTAIYLRERGVEVVVLDSLSTEYAVRRLRDAGVRGDVGDSSTVGPLVG--DSDVVIHAAAYIDVHESMQRPADYVRNNVVGTTVVAHECLRHGKP-MVFISSAAVYGNPVRLPIPEDHPLRPISPYGLSKVLSE-EVVRFFGGLGLRFVILRPFNVYGPGQNSAYAG--------------VIMRFIERVKRGLPPVIYGDGNQARDFIHVLDVARVIERVITGDYW---GETFNVGTGVPTRIIDLARLVMGLFGMDGEPLFDKPRPGDIRDSYADISKARSILGFTPSISLEDGLR------------------ 169797738 ---KTWLITGVAGFIGSNLLETLLKLNQNVIGLDNFATGHSDQWKNFTFYNGDIRNLEDCQK--ACANVDYVLHQAALGSVPRSIADPILTNSANITGFLNMLVAARDAQVKSFTYAASSSTYGDHPALPKVEENIGNPLSPYAVTKYVNELYAEVFARTYGFKAIGLRYFNVFGKRQDPNGAYAAVIPKWTAAMIQ------------GDDVFINGDGETSRDFCYIENTVQANILAAVAN-DEAKNQVYNVAVGDRTTLNDLFKAIKSALKYDKEPVYREFRAGDVRHSQADVTKIKTLLGYDPKFRIFEGISQAMVWYKHF--------- 142337042 ----KILITGVAGFIGFHLANKLLDKNIDVIGIDNINSYYDLDLKKSRFYKIDIVNLNALKNFFKEHKPNLIIHLAAQAGVRYSIKNPEQYISNNIVGFFNVLECCKEFNCDKIIYASSSSVYGNKLKYKSKEDDVNKPLNLYASSKVSNELMAYSFGQLIGLKSIGLRFFTVYGP----------WGRPDMAYYIFTKNILEGKEIDIFGYGKMWRDFTYIDDIVNAIDKIVKVNDN-KMFPKGTYSRIFNIGNNKPEKLMTLVNIIQKTLNIKAKVNYLDYQLGDTIRTSANINELKSMINFVPKTTLNLGIPKFIDWYRNYYK------- 257084754 ------LITGGAGFIGSTLANY-YSKDNQVVVIDDLSMGQTENLENITFIEGSVIDQQLMEKVLQEYQFDYIFHLAAIASVADSVARPVETHQVNFESVLQLLELIRKYQKDRLVFASSAAVYGDEPTLPKQEESVIRPLTPYAVDKFASEKYVLNYCHLYDVPTSAVRFFNVYGPNQNPN--SPYSGVISIVMDSYKRLLANEE-----VTFNIFGDGKQSRDFVFVEDVV--QALDLVSHSDQSLGEVYNVGTGKATDLNELISSLNDIMKVTLPVEYKEARAGDIKDSLADISKLRAI-SYEPKYSIQSGLDKYVKYELK---------- 170111667 -ARKRILVTGGAGFVGSHLVDRLMLLGHEVTVIDNFFTGSKTTVHWVGHPNFELVRHDVVEPF--MIECDQIYHLACPASPPHYQFNAVKTIKTSFMGTLNMLGLAKRTKA-RFLISSTSEVYGDPEVHPQNEEYWIGPRACYDEGKRVAETLTYGFQRQDGVNVRVARIFNTYGPRMN--------PYDGRVVSNFIVQALKGEDMTVYGDGKQTRSFQYIHDLIDG----------LIALMNSDESRPVNIGNGDEFTIGEFAELVRDVVEKVQDIVYKPIPTDDPQKRRPDTTRAKETLDWQPKWTVRMGLEEMVRYYKA---------- 115452247 -EPKNILITGAAGFIASHVANRLVRNHYKIVVLDKLDYCSSRPSPNFKFVKGDIASADLVNYLLTTESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTQIRRFIHVSTDEVYGETDEDAVHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPN--------QFPEKLIPKFILLAMRGLPLPIHGDG--------SNVRSYLYCEDVAEAFEVVLHK---GEVGHVYNIGTVKERRVIDVAKDICKLFGTEKVIRFVENRPFNDQRYFLDDQKLKK-LGWAERTLWEEGLKKTIEWYTNNP-------- 142029750 ---KKILVTGGAGFIGSHLCKKLLDEGNDVLCLDNYFTGTKENIPYFEVIRHDVCFYVEVDEIYNLACPASPIHYQH---------DPVQTTKTSVHGAINMLGLAKRINA-RILQASTSEIYGDPETHPQEENYWIGPRSCYDEGKRCAETLFFDYYRQHNLDIKVVRIFNTYGPNM-------HPNDGRVVSNFIVQALTNQD-------ITVYGNGDQTRSFCYIDDLISGIFKMM--SSEEKFIGPVNLGNPVEFKIIDLAKTIIKLTNSKSNIVNKELPTDDPIRRKPNISLAKNVLDWEPSFNLEEGLKLTIDYFK----------- 135310045 MSAKKILVTGGAGFIGASLVSRLVAQGHQVRVLDNLSRGSKERLESIELVEGDICDPHVVQKAAKGM--ESVFHLAFINGTEFFYSKPELVLDVGVRGMLNVMDACREFGIQELVLASSSEVYQSADKVPTDESDPMNPRYSYGSAKIISEILALHSAAKFMNRVIIFRPHNVYGPMMG---FEHVIPQLTLKLKENTKEGSDTIDLPIQGTGEETRA------FIYIDDFTDGVILSWEKGES---GNIYHIGTMEEISIADLIHCMGEVLGKKIQILPGPAAKGGTQRRCPDTAKIKA-LGFKPKISLKEGLQKTMEWYMK---------- 144169649 MSRGRVLVTGGAGFIGSHICERLLNDGYDVLCVDNYYSSTKDNITHLDHPRFELLRHDVTFPLY--VEVDEIYHLACPASPIHYQRDPVQTTKTAVHGSINMLGLAKRTGA-KILLTSTSEVYGDPLVHPQTEDYWIGPRACYDEGKRAAETLFFDYRRQHGLPIKVVRLFNTYGPRM--------HPRDGRVVSNFIMSALEGRPLSVYGD------GSQTRSFCYVDDLVEGLISMM--NSPEDVVGPINLGNPGEFTIAELADLVLQQIPSPAGIEHLPLPADDPIRRQPDITRAKETLGWQPTIPLADGLTRTIEYFR----------- 142299807 -------VTGGLGFIGSNLIEYLIKKKYFVINIDKITYSSNKNSKNYKFIKCDIKNK-KIEKIFFKYKPECIFNLAAETHVDRSIDNPENFMQTNIIGTFNLLKCFKKFHKSKFIHISTDEVYGDILIGRSNENHPYQPSSPYSASKAASDHLVSSYVRTYNIPAIVTNCSNNYGP--------KQHPEKLIPKLIYNILNGKPLP--------IYGNGKNSREWLYVND----HCDALYKVFKKGKGEFYNIGSNKNLNNIEVSKKLLNVLNKKTKISFVKDRPGHDVRYALDSRKIKKHLNWEPKTSFDEGIKLTFKWYNEN--------- 197122330 MSEQRAVILGAAGFIGSHLTDRFLAEGWRVTGVDNLITGTLRNLEHGREPRFDFLQADVCAPLAISGRVDAVLDFASPASPVDYLRHPFETLHVGSVGVENALELARRSGAP-FVLSSTSEVYGDPLEHPQRESYWVGPRAVYDEAKRFAEAITVAYRRYREVQVRIARIFNTYGPRMRL--------DDGRVVPTFVAQALRGEPITVFGDG------SQTRSFCYVDDNVEAIWRLLHGDCQDP----VNVGDDHEMTVLEFAQAVQRLVGRTVPIEHRPLPQDDPRVRRPDLTRARERLGWAPRIGFEEGMRRTIDWFRAH--------- 291459804 ----KYIVTGGAGFIGGNYMHFAVNTYPEDICLDKLTYGNLETLKNYHFVRGDIADREFIFSLFEKEKPDFVINFAAESHVDRSVTDPDIFVRTNVMGTVTLLDACRSFGIRRYHQVSTDEVYGDRPDLFFTEENQIKASSPYSASKASADLFVMAYHRTFGIPVTISRCSNNYGP--------YHFPEKLIPLVISRALKEEKIP--------VYGNGANVRDWLYVTDHCRAIDCIVR---EGRSGEVYNIGGHNERSNLEVVKTILHALGKPESIEYVKDRPGHDQRYAMDPAKIERELHWEPEYSFDTGIPVTIDWYLKNREWWE---- 124009111 ---KRVLITGAAGFLGSHLSDRFIKEGYHVIGMDNLITGSMDNIEHMPLKEFEFHHHDVSKYVYVAGDLDYILHFASPASPIDYLQIPIQTLKVGSLGTHNLLGLARSKKA-RMLIASTSEVYGDPLVHPQNEDYWIGPRGVYDEAKRFQEAITMAYHTFHGLETRIIRIFNTYGPRMRL--------DDGRALPAFIGQALQGKDLTVFGDG------SQTRSFCYVDDLVEGIYRLLM----SDYAHPVNIGNPDEISIKDFAEEIIKLTGTDQKIIYKDLPKDDPKQRKPDITRAKEMLGWEPKVSRAEGLKITYEYFKNY--------- 136861370 ---KNILITGGAGYIGSHIVEILIKQKKNIFIIDNLSTGYRKLIKKAKFLKIDIQKTKIINKIINKYKIDSIIHLAASLVIGEGEKYPKKYFKNNVLGTQSILNSCKGTKVNNFIFSSTAAVYKDGLYK-VNESSKLKPKSVYGKTKLKAEKLVKFFCNKEKINYGILRYFNIVGSSSTGKIGLINKSD-HLFKNFSREIFKKNPSLKIYGTDYDTKDGSCIRDFIHVSDIAEIHLKILERIEKTKQSNILNCGYSKGTSVLEVAKEFKRQSLKKIDIIKLSRRKGDLGKIIASNKNLKNFIKWKPKFNLNVIVKSCLNWEKK---------- 143976245 ----NLLVTGGAGFIGSSFVGQQVAKGNRVVVLDKLTYAGKKENLTWIGEDGDILDEPLLKKIIDEHDIDKIIHFAAESHVDNSIKNPDAFIQTNINGTHSLLKIYKKKPNFHFHHISTDEVFGDEGNEKFTEETPYNPSSPYSASKAASDHLVRAYYTTYNLPITISNCSNNYGPRQ---------HDEKLIPTIIKKAIAGD-------EIGIYGDGKNVRDWIHVDDHNRAVDLILEK---GKIGATYCIGGNNELDNNQVVNKICEILDKEEQITYIKDRAGHDRRYAIDGSKIENELGFKNQITFEGGVEKLI--------------- 300782135 MSDKKVLFTGGGGFIAAHVIPLLLEGGYTVRIFDNMTRGDREFVATGQVELVDVRYGGAVREAMRGC--THVIHFATVS-INKSIADPHESIDINMIGNHNVFAAAADEGVERLVFASTASVYGDPKRLPMHEDDELRPLTPYCISKRAGEDLLGFYERQKGLSWNALRFFNVYGPGQ------KIEAYYTSVINHFIQRLRAGQPPIIDGRGD------QSMDFVHVTDLAKAVVAALESERA---NLPINIGTGIDTSIAALAKILIEAVGVDVEPLFNER---DVLRRAADISRARDVLGWEPRITVEDGMRDLVR-------------- 219849036 ----RILITGGAGFLGSHLCDRFLAEGHTVIAMDNLITGSTDNIAHAGHPRFLFIHHDVTNYIYIEGPIDAVLHFASPASPIDYLELPIQTLKVGALGTHKALGLARAKGA-RFLLASTSEVYGDPQVHPQPESNPVGPRGVYDEAKRFAEAMTMAYHTYHGVETRIVRIFNTYGPRMRLRDGR--------VVPNFISQALRGEPLTIYGD------GSQTRSFQYVSDLVEGVYRLLF----SDEVEPVNIGNPGEFTIAEFAQIVNEITGNKAGVIYRDLRKDDPQVRQPDISKARRILQWEPKVSLREGLELTIPWFRQ---------- 212704387 ---KRVLVTGGSGFLGSHLCARLLDEGHEVLCVDNFSSARSNVEELMDNKRFELLRHDVTFPLF--VEVDEIYNLACPASPVHYQHDPVQTIKTCVHGAINMLGLAKRLKARIFQ-ASTSEVYGDPDVHPQPESYWGHIRSCYDEGKRCAEALFFSYRRQNNVNIKVGRIFNTYGPKM--------HPNDGRVVSNFIVQALKGEPITIYGDG------SQTRSFCYVDDLVECMCRLMATPDD--FTGPVNMGNPGEFTIRELAEKVIALTNSSSKLICEPLPGDDPKQRRPDISLAREVLGWEPKVQLEEGLKKTIAYF------------ 108757676 MRGKRVAVLGGAGFVGSHLCERLLDDGAAVIAVDNLITGNEENLPGFSFVKADITERIPVEGPL-----DYVFNMASPASPIDYAQLPLETLRVGSIGTENGLKLAEANKA-VFLMASTSEVYGDPLVHPQREDYWIGPRSVYDEAKRYSEAITAAYGRTKGVQVRIVRIFNTYGPRM-------RLNDGRVVPAFVGQALKGED-FTVFGDG------SQTRSFCYVKDLVDG----LVRLMLSDESNPVNIGNPREMTIRQFAEAVRAAAGGGGSIIEKPLPKDDPKQRQPDITRARTLLGWEPKVPLEEGLRETIAWFRE---------- 294787276 ---RKILVTGGVGFIGSNFVRYTARRYPQVTVLDALTYAGNLANLEGTFVHGDIRDRELLENLVPGH--EAIVHFAAESHNDRAIADPEPFISTNVVGTYRLLEAARVNKI-RFHHISTDEVYGDDSREKFSEVSPYRPSSPYSASKAASDQLVMAWQRTYGLEATISNCSNNYGP----------YQHVEKFIPRQITSILEGIPLQIYGDGSAV------RDWISVEDQCSAIWAILNRGRS---GQTYVISADGERSNLRIMDMIQALMGDHTPRVSVPDRPGADRRYALDSTKIRTELGWRPRHDLEAGLKTTIAWYESHRSWWKAQKE 103487051 ---RRVLVTGGAGFLGSHLVDRLLARGDEVLCVDNLFTGNLDHLAGNPLFEFDVCFFVEVDAIFNLACPASPIHYQH---------DPVQTTKTSVHGAINMLGLAKRLKVPIFQ-ASTSEVYGDPTMHPQQESYWIGIRSCYDEGKRCAETLFFDYHRQHQLDIKIARIFNTYGPRM-------HAADGRVVSNFIVQALHGED-------ITIYGDGSQTRSFCYVDDLISAFVAFMDAGPN--VHGPINIGNPAEFTILELAEKILSKVGGASKLVRQPLPQDDPLQRQPDISRAKAQLGWEPTVELDEGLDRTIAYFRR---------- 163754701 ---KRILITGAAGFIGSHLCDRFINEGYHVIGMDNLITGDIRNIEHLFHKEFQFYHHDVTKFVHVPGKLDYILHFASPASPIDYLKIPIQTLKVGSLGTHNLLGLAKEKKA-RILIASTSEVYGDPLVHPQHEENTIGPRGVYDEAKRFQESITMAYHRFHGLETRIVRIFNTYGPRM-------RLNDGRVIPAFIGQALRGED-LTVFGDGLQT------RSFCYITDQVEGIFRLLM----SDYVEPINIGNPHEITIRDFAEEIIKLTGTSQKVIYKELPVDDPLQRKPDIRKAKEILNWEPKVDRSEGMKKTYEYFKSLPEKFKKEHK 146278459 ----TILVAGGAGFVGSHLCETLLRQGHRVICLDSFLTGSMENVQLCNFREFRLIRQDVVEPLRLSEQVQQIYNLASPASPPQYQADPVHTMMTNVVGTQNLL-ALAEAHGARFLQASTSEVYGDPEIHPQPEDSCTGSRACYDEGKRAAETLCFDYRRRDRADVRVARIFNTYGPHMR--------PDDGRIVSNLLVQALQGVPLTIYGTGAQT------RSFCYVTDLVAGLMALM--AVDEAPEGAINLGNPGEFTIAELADLVQRLVPSAAGVVHRPLPEDDPRRRRPDISRAKRLLGWEPRVPLSEGLPQTAAWFARH--------- 158423195 ---KRIAVTGGAGFVGSHLCEALLGRGHEVLCIDNFYTGNVQHLLGNPRFELMRHDYIEVDEIYNLACPASPVHYQ---------FDPVQTVKTSVIGAINALGLAKRLRVPVLQ-ASTSEVYGDPEVHPQPEGYWIGPRSCYDEGKRCAETLFFDYHRQHQIAIKVVRIFNTYGPRM--------HPNDGRVVSNFIVQALRGEDITVFGD------GSQTRSFCYVDDLVRGLIAMME--SPKDVIGPINIGNPAEFTIRQLAEQVIALTGSRSRIIEKPLPQDDPKQRQPDISRARDTLGWGPTVQLEQGLKATIAYF------------ 68146481 ----RLLVTGGAGFIGANFVHHLTTREFEICVLDALTYAGNRDNLKSRFVEGDICDTELVDRLVA--DADLVVHLAAESHVDNSLRDPEPFVRSNVMGTYVLLEAVRRYD-RRFHHISTDEVFGDDSEEKFTEASPYNPSSPYSATKASSDMLVRAWVRSFGVAATLSNCANNYGP---------YQHAEKFIPRQVTNVLTDRLPKL-------YGSGRNVREWTHVEDHNEAVHLILEK---GGIGETYLIGSGCEMSNKAIVKILLELMGKPDRYEEILDRPGHDLRYANDSSKLRTRLGWQPRHSLRSGLAATIDWYRENPWWWASRKD 145356172 ME--TYLVTGAAGFIGSYVARALNERRVRVVGLDNINGYYPRALKRNHVVEADLNDSLTLRGILDTCRVTTIVHLAAQAGVRYAVKNPGSYVHSNVAGFVSLEEVVKTSPIPRVIFASSSSVYGLNTKLPFSESDVTDPASLYAATKKANELLARTYNHIHGVALTALRFFTVYGPH----------GRPDMAYYSFANNIRAGQLVNIFRSADG---SELARDFTYIDDIVRGIIAACDTSEASGKFRVYNLGNTHPVTVSDFVSKLEHALGMVAKRNYLPMPKGDVPYTHANISAAERDLSYKPRVDLDTGLQYFAEWYLGYYDSGANSED 281490687 ---KNIVVTGGAGFIGSNFVHYVYNNHPDVTVLDKLTYAGNVNNINMLFVVGDIADPEIVDQVAS--KADAIVHYAAESHNDNSLKSQDEFIQTNFIGTYTLIQAARKYD-LRFHHVSTDEVYGDGEGEKFTDKTPYNPSSPYSSTKAASDLIVRAWVRSFGLKATISNCSNNYGP----------FQHIEKFIPRQITNILSGIKPKLYGD------GKNVRDWIHTEDHSSGVWTILTKGRMGETYLIGADGEKNNKEVLEDILT--RMGKDKDDYDRVTDRAGHDLRYAIDNTKLRTELGWAPKTDFESGLQATIDWYRENENWWKAEKE 135323338 ----KILVTGGAGFIGSNFILNYIDN-HEILNYDKLTYAGNLNNFHSIKEHGDIKDTKLVNKTILDFKPDAIINFAAESHVDRSIEGPQDFIETNILGTFILLKSALDYYYFRFLHISTDEVYGTGVIGKFKETTSYAPTSPYSASKASSDHLVKAWYHTFGLPVLITNCSNNYGP---------YQFPEKLIPLMITNCLNH-------SNLPVYGNGKNIRDWIYVDDHCDAIFQVLSKGRL---GETYNIGGNQECSNIDIIEKICSILDKKELITFVKDRPGHDFRYAIDSSKIEKELNFFPKENLESGLKKTINWYINNMDWINE--- 136480918 MTRETWLITGGAGYIGTHIADLFIADGKDVVLLDSLYQGRKKHNTSIPLEVIDVRDYTAVENILQKNKFAGIVHTAALKAVGESVEKPDEYKEVNFTATTELLKLAQKHGIKKFLFSSTAAVYGSPDTMPCKENGPLAPISPYGSTKLDAESKVTEFINTPGNSGTSLRFFNVVGTASQELLDNS----VENLVPIVLGKLNEGEAPVIFGTDYPTEDGTCVRDYVDVRDIAQAH--LVAANATGAIPPIINVGTGRGASVREIINLVLDAVNKSDTEVIEPRRAGDPAFLCANVDLAATELGFKSKYSLEESIRS----------------- 134479529 ----HILLTGGAGYIGSHTALELLNAGFNVLSFDNFSNSSDAALKRVEQVKGDIRDEQALRDVFSQYDIAAVVHFAGLKAVGESTQIPLHYYDNNVAGTVTLCRVMREFGVKKLVFSSSATVYGDTKQVPIPETAPRSATNPYGQSKLMIEHILEDLVREPDWGITLLRYFNPVGAHDSGQIGEDPNGPNNLMPFISQVAVGKREQLSVFGNDYATHDGTGVRDYIHVVDLARGHLKALQYLQTHSGIEAINLGTGTGYSVLDMVKAFSE--------------------------------------------------------------- 304437016 ----KMIVTGGAGFIGANFVYYLREHEDQIVCYDALTYAEARKSSQFTFVRGDIADRGAVYALFAREKPDVVVNFAAESHVDRSIETPEIFLQTNIIGTSILLDACRKYGIKRYHQVSTDEVYGDRPDLLFTEETPLHTSSPYSSSKASADLLVQAYARTYGVPVTISRCSNNYGA--------FQFPEKLIPLMVIRAMKGEQLP--------VYGDGKNVRDWLHVDDHCSAIDAIVRR---GAEGSVYNVGGHNEHSNIDVVRTILRVLGREEQISYVTDRKGHDRRYAIDPTKIGRELGWQPQTKFDDGIEAVVAWYRDHTSWWED--- 255548608 -EPKKILITGAAGFIASHVTNRLIRNDYKIVALDKLDYCSSLRNLTPCFVKGDIASADLVNHLLIAEDIDTIMHFAAQTHVDNSFGNSFEFTTNNIYGTHVLLEACKTKKIKRFIHVSTDEVYGETDMETDPEASQLLPTNPYSATKAGAEMLVMAYHRSYGLPTITTRGNNVYGPN--------QYPEKLIPKFIILAMKGEQLPIHGNG--------SNVRSYLHCEDVAEAFDVILHK---GAIGHVYNIGTKKERRVLDVAEDICRLLDAKKAIRFVQDRPFNDQRYFLDDQKLKK-LGWQERTPWEEGLKMTMEWYTKNPNWWGD--- 135313106 MKKKDILVTGGAGYIGSHTLVELYNSGYRPIVVDNLSNSSIANIMGAHFYQIDCTDFDQMNKLFEQNNIAAVIHFAAYKSVEESVRQPNKYFSNNVGSLETLIELANKHSVNNIIFSSSCTVYGTPEFLPVNEAPFGKAESPYGETKQLCEKLI----EKSKINSISLRYFNPVGSHPSSLIGDCSDKPNNLVPIICEVASGKRKSMQIFGNDYNTQDGTCVRDYIHVVDLAKAHVMAVNHILNNTKIKTYNLGVGKGVSVREVIDSFEKVNNLKISYKLGPRRAGDVKK------------------------------------------- 126667945 LESKTWLITGVAGFIGSNLLEHLLKLNQNVVGLDNFATGHKEQWSRFSFTEGDIRNEKDCAK--ACGGVDYVLHQAALGSVPRSINDPVTTSAVNITGFLNMLVAARAAGVKSFTYAASSSTYGDHPALPKVEDNIGKPLSPYAVTKYVNELYADVFAITYGFKTIGLRYFNVFGKRQDP-----KGAYAAVIPKWTEAMLCGD-------NVFINGDGETSRDFCFIENAVQANLLAATA-DEAVKNEVYNVAVGDRTTLNILFDSLKEALAYEKAPVYRDFRPGDVRHSQADISKAASKLGYAPEYRIVEGISKAMPWYLAY--------- 221105922 ----KFLVTGGAGFIGSNIVEYLIKAGH-VRVLDNLSNGYFENIKDFMFIVGDIRDYNTCKKAL--EGIDFVSHQAALGSVPRSIDDPITSNDVNVGGFLNMLHAVKEPHVKRMVYAASSSTYGDSPSLPKIEGNEGNPLSPYAVSKLVNELYADVFSKVYGLHTIGLRYFNVFGPKQNPN-----NPYAAVIPIFCKAFLEKTAPT-------VNGDGLTSRDFTFVENAVQANIKALLFDGLE-KHEVMNMACGDQVSLNEMIEILNKISSQSIIANYGPERKGDVKHSKASIDKIRTTINYEPLFKFEKGLEIAFDWYK----------- 301309340 ---KTYLVTGAAGFIGANFIKHMLAKYDDIVVLDLLTYAGAENIDGVRCEKGDICDRALADQLFEKYNFDYVVNFAAESHVDRSIENPQLFLQTNILGTQNLLDAARKAWVTRFHQVSTDEVYGLGAEGYFHETTPLDPRSPYSASKTSADLFVKAYHETYKMPVSITRCSNNYGP--------YHFPEKLIPLIIKNILEGKSLP--------VYGDGTNVRDWLYVED----HCKAIDAVIHHGRGEVYNVGGHNEKQNIEIVKTIRTIHQLDSLITFVKDRLGHDQRYAIDPTKITNELGWTPETCFEVGIVKTIRWYLENQKWVED--- 142837662 MPNK-ILITGATGFIGSNLAELFVKKGFNVIAYDRYNSNNDWGWLEHSQYNGDVRDYDSVFKVVSNCNI--VIHLAALIGIPYSYISPLAYIRTNIEGTYNILESSKTKGLDQVIITSTSETYGSAQYTPIDEKHPLSAQSPYASTKIAADQLSLSYFRSFDSPVKIIRPFNTYGPRQST---------RAIIPTIISQCLNDEKYIKI-------GNLKPTRDLTYVEDICSAYVEILKNNNFFGEVINI--GSKNEISIQNILNQVMKNMNIDKKIIVDSNRVSEVKQLVCNNQKLLKNTSWKPRVIFEDGINRTIEWFKKNY-------- 224367918 --KKKILVTGGAGFIGSHLCMKLLEMNNEVICMDNFITGNINNILKNPHFEIIRHDVT----IPLDLDIDEIYNLACPASPVSYQEDPVQTIKTCVTGAINMLELANKLKIKILQ-ASTSEVYGDPEIHPQVEEYWIGIRSCYDEGKRCAETLFFDYHRQNNLKIKVARIFNTYGP--------FMQPDDGRVVSNFIIQALKKDNLTIFGDGNHT------RSFCYVEDLVEGLTSLM--NSPNDFTGPVNLGNNNETTIIELAELIIKLSGSTSGIVFKVLPLDDPQIRCPNIVKAEKILGWKAQISLEEGLLKTIDYFRN---------- 136496005 ---KKIIVTGGLGFIGSNLIELLIKKNYFVINIDKVTYASNFYLNNYKFIKLDIKNKKIID-IFFKYKPIAIFNLAAETHVDRSIDDPNIFIQTNIVGVYNLLESFKVFNKSKFIHISTDEVYGDIVKGRSKEKDSYQPSSPYAASKAASDHLVSSYIRTYKIPAIVTNCSNNYGP--------KQHPEKLIPKLIYNIINNKELP--------IYGKGINSREWIFVKDHCEALIKIF---LKGKVGEFYNIGSNKNLNNLQVAREILFKIGNKVKIKLIKDRPGHDVRYALNSNKIKKKLGWKPKINFTKGLKLTINWYLKN--------- 141167729 ---------------------ALLDSGYKVIVVDDMSNGHTALIPHVPRVVGNAGDQDLINKIIRDYKVFTVMHFAGSIIIEESLADPMKYYANNTETSRRLIEVCQKNGLKNFLFSSTAAVYGNPTKIPVSEDAPLVPINPYGASKLMTERMLVDQASTGDLGFAILRYFNVAGADSRGRSGQVGRDTTHLISVAVEAAMGKRTEVPIYGDDYNTPDGTCIRDFIHVTDLADAHVIALQRLMSGNRNMILNCGYGRGYSVRQVLDSVQRVSGKIFNVRVVERREGDPVSLVASVDRIRKTLGWKPGLDLDKIVKSVLE-------------- 256856008 ----RLLVTGGAGFIGANFVHRTVADEARVTVLDKLTYAGDPVAEKIRFVRGDVADADLVDALVA--ESDLVVHFAAESHNDNSLADPSPFVQTNLIGTFTLLEAVRRHSV-RYHHISTDEVYGDDDPARFTEATAYNPSSPYSSTKAGSDLLVRAWVRSFGVAATISNCSNNYGP---------YQHVEKFIPRQITNVLDGIRP-KLYGTGA------NVRDWIHVDDHNRAVWTIIDKGEI---GQTYLIGADGETNNRTVVETILELCGKDRDFDFVTDRPGHDLRYAIDATRLRTELGWTPRYDFREGLAATIDWYRTHEHWWRPQKD 261351040 MKNKNIIITGGLGFIGSHIADELIEDNN-VTIIDNLSSGKVENLKNPAHENLTIINLNDMNLDETFADTDYIFHLAAMASVPLSVNDPIKCNDNNVNSTIKLLTAAKNQNVKKVIFSSSSAVYGNNANMPLKESELMMPTSPYAASKANCELYLQAFEESYGLKSIALRYFNVFGPKQD-----KNSQYAAVIPNFIDAILNNEHP-------IIYGDGQQTRDFIFVKDVAKANIAAAE----SNYTGPVNIATGEKLTVNRLYEIIADSMESNLEPVYVDKRKGDIEHSIADIDK-MSEINFKADSSFVKQINETIQWFKEN--------- 114797818 ---KRVLITGGAGFIGSFLCERLLEAGATVLCLDNFFTGTRMNVAHLMGHRHDVCFYVEVDEIYNMACPASPVHYQ---------FDPVQTTKTSVHGAINMLGLAKRLKAKILQ-ASTSEVYGDPVIHPQTEEYWIGPRSCYDEGKRCAETLFFDYHRQHALRIKVARIFNTYGPRM--------HPNDGRVVSNFIVQALKGEDITLYGD------GSQTRSFCYVDDLVRGLISLMETPDS--VTGPINIGNPGEFTIRQLAETVIDLTGARSKLVFRPLPQDDPKQRQPDITKAREILKWEPSVELRDGLSKTIAYF------------ 302527316 --GKRVLVTGAEGFIGSTLVQELLRAGATVRAFAHYTNGYAEFLDDVELVPGDVRDPGRVAEAVSGC--DTVFHLAALIGIPYSYQAPDSYVETNVAGTHHVAAACLRHDA-RLVHTSTSEVYGTARSVPISEEHPLQPQSPYPASKIGADMLALSYWHSFGLPVTVARPFNTYGPRQS---------ARAVIPAILAQLHGGVREIRI-------GSTSPTRDFTYVTDTVAGFLALAGAPATCGRVVNI--GTGNEISIGGLIDLLAEITGTEATAREDPDRISEVERLVCDNRLIGELTGWSTRVSLREGLRHTSDWLKANRDADAHRYQ 238755770 ---------------------------DSVVVVDKLTYGNLESLERYDFEQVDICDRAELDRVFAHYQPDAVMHLAAESHVDRSIDGPAAFIETNVVGTYTLLEAARHYWAFRFHHISTDEVYGDLHGTLFTETTPYAPSSPYSASKASSDHLVRAWQRTYGLPTLITNCSNNYGP--------YHFPEKLIPLVILNALAGKPLP--------VYGTGAQVRDWLYVEDHARALYQVV---TEGVVGETYNIGGHNERKNIEVVETICTLLDYSELITYVKDRPGHDMRYAIDAGKIERELGWHPQETFESGIRKTVNWYLSH--------- 221639947 ----TILVAGGAGFVGSHLCEALLRQGHRVLCLDSFLTGSMENVQLCTFRDFRLIRQDVVEPIRLSETVERVYNLASPASPPQYQADPVHTMMTNVVGTGNLL-ALAEAHGARFLQASTSEVYGDPEIHPQPEDSCTGSRACYDEGKRAAETLCFDYSRRARADVRVARIFNTYGPHMR--------PDDGRIVSNLLVQALRGEPLTVYGTG------EQTRSFCYVSDLVAGLMALMEAEETPDGA--VNLGNPGEFTIAELAALVQSVVPTAAGVVHRPLPEDDPRRRRPDIGRAKRLLGWEPQVPLSEGLPETAAWFARH--------- 168021652 ---KRIVVTGGAGFVGSHLVDRLIERGDSVIVVDNLFTGRKENVMHHFNPRFELIRHDVVEPLL--LEVDQIYHLACPASPVHYKFNP-----TNVVGTLNMLGLAKRVGA-RFLLTSTSEVYGDPLQHPQVETYWIGVRSCYDEGKRTAETLAMDYHRGANVEVRIARIFNTYGPRM--------CIDDGRVVSNFVAQALRKEPMTVYGDGKQT------RSFQYVSDLVEGLMRLME----GEHVGPFNLGNPGEFTMLELAEVVKEVIDPTATIEYKPNTQDDPHKRKPDITKAKNLLGWEPKISLRQGLPLMVSDFRK---------- 143913886 MTQETWLLTGGAGYIGAHIADEFIRAGKSVVIYDSLYQGRTKHNIDIPLIKADIRDYNELEGVIRTYKIDGIVHTAALKAVGESMEKPDEYFEVNLTATNELIDIAKRNDVKKFIFSSTAAVYGSPDTMPCREDGPKAPISPYGDSKYQAEAKVTAFINTPGNHGTSLRFFNVVGTAAPELLDNS----VENLVPIVLGKLNKGAAPEIFGTDYPTKDGTCIRDYVDVRDIARAHLAAADATQPLPPALNI--GTGRGASVREVIDLVLKAINKDTQVEESPRRSGDPAFLCADINLAKSAMGFTAQYSLEASVQS----------------- 42744598 -DRRTVLVTGGAGFIGSHLICALAGRHWRIINVDNLQYCSNLKNLRYSFIPGDVCDPLFIKHLFSTEHIDVVFHCAAETHVENSFVCPSRFMRVNVDGTAVLVRASLEASVQRFIYISTDEVYGDSVDQPFDELSPKRPTNPYSRSKAAAESIVTSYWLKHKFPAVITRSSNVYGPR----------QHHEKVIPRFLSLLQQQQKCTIQGSGL------QSRHFLYVSDVTDAFLTVMEKGIL---GEIYNIGTGFEIPIIQLARELVQMTSLDDWLEFVEDRPVTELRYPMNSVKLHR-LGWRPKVAWTEGIRRTVQWYEENPN------- 298490027 ----TLLVTGGAGFIGANFVLQVRKKWANIVNLDKLTYASNLQNLNYYFIQGDVNNSELLSYLLEKYQPDAIINFAAETHVDRSIISPHNFIQTNIVGTFELLEASKAYWKFRFLHISTDEVYGSSTDPAFREDTPYAPNSPYSASKAAADHLVRSYYHTYNLPTLTTNCSNNYGP--------LQFPEKLIPLTILNALNGKSLPIYGDGQ--------NIRDWLYVRDHCDAIYLVL---IEGCIGETYNICGMNEQTNLVVVEKICAILDKSSLISFIKDRPGHDRRYGIDCSKISKNLGWKPKENFDVGLLKTVQWYLNNSAWVDS--- 134594989 ----RILVTGGAGFIGSNYVRWVLRHDHAVTVYDALTYAGNLSTLRDVFVKGNVCHPADVEAAMRGH--DAVVHFAAESHVDRSIAAGEDFILTNCFGTNVVVDVARRLGIGRVLHIGTDEVYGSVETGSSTERDPLEPRSPYSASKAGSDLIALSYFTTHGVPVLVTRCTNNFGP--------YQYPEKAIPLFTTNLLDG--------GTIPLYGDGLNERDWLYVDDHCAGVQLVLER---GEPGEIYNVGAGNETPNRVLVDKLLALLGKDESVRYVPDRLGHDRRYSVDVGKITR-LGWRRTRSLDEALEETVAWYRDNRWWWE---- 226504630 -----VLVTGAAGFVGTHCSLALRARGDGVLGLDNFNSYYDPSLKRARQADADINDAPLLERLFDVAAFTHVLHLAAQAGVRYAMEAPQTYVASNVAGLVSVLEAKHADPQPAVVWASSSSVYGLNTDAPFSEDHRTDPASLYAATKKAGEAIAHAYNHIYGLSITGLRFFTVYGP----------WGRPDMAYFFFARSIVAGEPVTLFRAADG---SDARRDFTYIDDVVKGCLGALDTAGKSTGSRVYNLGNTSPVPVTRMVAILEKLLGRKANKRVVTMPNGDVPFTHANVSHAAHDFGYRPTTSLEAGLRHFVDWFVSYYK------- 85710314 ----NLLVTGGAGFIGGNFVHYWADKHDAIVVLDALTYAGNEGVEQADLIEGDIRDQELVEKLLREREIATIVHFAAESHVDRSISGPDAFIDTNILGTNSLLKAARAVWLHRFHHISTDEVYGGADDPAFSETTPYAPNSPYSASKASSDHLVRAYHHTFGLDVTTTNCSNNYGP--------YQYPEKLIPLFLLNALSGKSLP--------IYGDGMNVRDWLHVEDHCRGIEACLSKGV---PGETYNIGGGEELPNMAVIDAICAEVDRAFEKTFVTDRAGHDRRYAIDETKARGDLGYAAERTFAEGLHQTLRWYLANEDW------ 143359339 -------VTGGAGFIGSHLVDALLAENIKVRVVDNYSTGRPENLEHVELIEADLASGGSWQKCIK--DADWVFHLGALADIVPSIQRPNDYFQANVVGTFNVLEAAKHANVKRFVYAASSSCYGIPDSYPTSELAEIRPQYPYALTKRLGEELVMHWADVYQLPAIALRLFNVYGPRSRT---------SGTYGAVFGVFLAQKLANKPF---TVVGDGTQTRDFTFVTDVAN---AFLTAAKSSHRGEIYNVGSGQTISVNRLVELL------VGDVTYIPKRPGEPDCTFADISKIRRDLSWQPQVNIEKGVEQ----------------- 169630859 ----RILVTGGAGFIGANFVHATVREDVSVTVLDALTYAGSSESLAPQLVQGDICDPDTVERLVA--DSDVVVHFAAETHNDNSLADPSPFLRSNIIGTYTLLEAVRRHQV-RLHHISTDEVFGDDDPNRFTETTPYNPSSPYSATKASADLLVRAWIRSFGISATISNCSNNYGP---------YQHVEKFIPRQITNILTGRRPRL-------YGAGANVRDWIHVDDHNSAVWRIIEAGTI---GRTYLIGADGERDNLSVLHTILELMGKSDDFDHVTDRAGHDLRYAIDPTPLRDELGWKPTHSFTGGLAATIDWYRRNEEW------ 241202963 ---KRVLVTGGAGFLGSHLCETLLAAGHQVICLDNFSTGHLKRVDRFNVVAHDIVHPLDLE-------VDEIYNLACPASPPHYQADPIHTTKTCVLGSLNLLELAARTGA-RILQASTSEVYGDPNVHPQVESYWGNPRSCYDEGKRCAETLFFDFHNTHGVEIKIIRIFNTYGPRMR--------PDDGRVVSNFIVQALTGQDITIYGDGSQTRSFCFVDDLIGGMVRMMASPSSLTGPVNLGNPG--------EFTIRELAEQVIGLTGSRSQIIHRALPVDDPRQRRPDISLAMQELDWRPKIDLSSGLRQTIDYF------------ 144123119 -------------------------------------------------------------------------------------QDPGKYYRNNVAGTLTLLEAMRDHGIDTLIFSSTCATYGIPDQVPIAEDHPQRPINPYGASKLMIERILQDFDTAHGLRSIALRYFNAAGADPDGDIGEAHDPETHLIPLVLEAAAGKRPAITVYGDDYDTPDGTCIRDYIHVTDLADAHVLALKALRAGAATTAYNLGNGQGFSVREVIAAAEAVTGRPVPVHIGARRAGDPARLVGDARRIRQTLGWTPRHQLEAMLATAWRW------------- 116786795 ----RILVTGGAGFIGSHLVDRLMESGNEVIVADNFFTGSKDNLKWIGHPNFELIRHDVTETLL--VEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGA-RILLTSTSEVYGDPLEHPQKEEYWIGVRSCYDEGKRVAETLMFDYHRQHGLEIRIARIFNTYGPRMN-------IDDGRVVSNFIAQALRGE-------ELTVQAPGTQTRSFCYVSDMVDGLIRLME----GENTGPINLGNPGEFTMLELAEAVKELIEPSAQLKITENTPDDPRMRKPDITKAKTLLGWEPKVSLREGLPRMAE-------------- 84468266 ----TVLVTGAAGFVGSHCSLALKKRGDGVIGLDNFNNYYDPSLKRARQVEGDLNDGPLLSKLFDVVPITHILHLAAQAGVRYAMQNPQSYIKSNIAGFVNLLEVSKTANPQSIVWASSSSVYGLNTENPFSEDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGP----------WGRPDMAYFFFTKDILHGKTIDVYQTQDG---KEVARDFTYIDDIVKGCVGALDTAEKSTGSGIYNLGNTSPVPVGKLVTILENLTTKAKKHVIKMPRNGDVPYTHANVTLAYRDFGYKPVTDLSTGLRKFVKWYVRYY-------- 142022778 ---KRILVTGGAGFLGSHLCERLVELGHDVLCVDNYFTGTKQNVLGNPSFEALRHDYVEVDEIYNLACPASPVHYQ---------FDPVQTTKTSVMGAINMLGLAKRTHA-RVLQTSTSEVYGDPDVHPQPESNPLGPRACYDEGKRCAETLFFDYHRQQNVRIKVVRIFNTYGPRM--------HPNDGRVVSNFIVQALRGEDITLYGD------GSQTRAFCYVDDMVDGLIRMMAAPAEL--TGPINLGNPHEIAVSELAQIILRLTGSKSRLVFRPLPKDDPTQRCPDISLARTHLDWEPTIGLEAGLRRTIDYF------------ 301301031 LTGKRILITGGAGFIGANLILSLLQKSVNILTVDNINDYYDVSLKEWRLQQGDISDVGLVNQIFADFKPDIVVNLAAQAGVRNSITNPDAYIKSNIIGSYNILEACRHSYVEHLVFASSSSIYGNGKEIPYTDSNTDKPISLYAATKKSDEMLAHVYSYLFGIPITGLRFFTVYGPG----------GRSDMAYFKFTKKLINDEKIQIFNYGNCRRDFTYIDDVVEGVKRVMSGVPEKSEQDLEPAYRIYNIGNHHPENLMEFVKILQDEYDFEGHMELVPMQPGDVAVTYADISELEKDFNFKPDTRLRVGLRKFAEWYRDFYE------- 126010588 -KSETWLITGVAGFIGSNLLEALLKLNQKVVGLDNFATGHAEQWSAFTFVEGDICEPETCVEVVKGV--DHVLHQAALGSVPRSIKDPITTNNTNISGFINMLVAAKDANVRSFTYAASSSTYGDHPALPKIEENIGNPLSPYAVTKYVNELYAQVFARTYGFKSIGLRYFNVFGKRQDPNGAYAAVIPKWTAAMINDEPL------------YINGDGETSRDFCFIENVVQMNILAAQSDASARD-QVYNVAVGDRTTLNQLFNVLKNTLKNNNVIVYKDFRAGDVRHSQANIDKAKRLLGYVPTHDILDGIKESMPWYI----------- 224129172 -----VLVTGAAGFVGSHCSIALKKRGDGVLGLDNFNSYYDPSLKRARQVEGDLNDASLLTKLFDVVPFTHILHLAAQAGVRYAMQNPQSYVSSNIAGFVNLLEAKTANPQPAIVWASSSSVYGLNTQVPFSEDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGP----------WGRPDMAYFFFTKDILQGKPIDVYQTQD---KKQVARDFTYIDDVVKGCLGALDTAEKSTGSGVYNLGNTSPVPVGKLVSILEGLLRKARKHVIKMPRNGDVPYTHANVTLAYRDFGYKPTTDLATGLRKFVKWYVDYY-------- 148658167 ----TVLVTGAGGFIGSHLVEALVARGFRVRAFVRLRDLPSDMRAQIEIIFGDLRDSHAVHEATRGV--DTIFHLGALIAIPYSYVHPRETVETNIIGTLNVLEAARAHGVRRVVHTSTSEVYGTARFVPITEEHPLQGQSPYSASKIGADKLVESFHLSFGVPTVTVRPFNTYGPRQSARAVIPTI-----------------ITQALTRSVVRLGDLRPTRDLNYVSDTVAGFLAAAERDQAIGQA--INLASNDEISIGELAEKIIALVGRQVTIDVDESRLSEVFRLHGDNRLAHELLGWKPLVSLDEGLRRTIDWIAQHIDRYDPLH- 142782578 ----KILITGSAGFIGFHVSKLFLQKGHYVYGVDSLNKYYDVKLKKARNRKININSPKKISFLFKQNKFDLVIHLAAQAGVRYSLKNPRAYIDSNIKGFFNIIDNIKKNNVKNFMYASSSSIYGNSKRPVFKEDNATSPIQLYAATKRSNELIAHAYSSLYKINTIGLRFFTVYGP----------WGRPDMSLFLFTKKILGKKKIDVFN------HGKHFRDFTFIDDVVKGIYLCAIKKIKKNHFKIFNIASGRPIKLTKFIKIIETKLKLKANKKFLKLQKGDVIKTYGSIKKISSELGYNPKTSINQGVSKFIDWYMKFYK------- 262193621 -TGRRILITGGAGFIGSHLCERLLTDNDVVVLRDALSSTGLSEHPRIRVVRGDVLDAATVAD--AMAGCDAVIHMASIAGVDTVMRNPVLTMRIAMLGTMNLLEAARESEVKRFIDFSTSEVFGRYAYQVTEFDSTVEARWTYAVAKLATEHLAMNYQKEFGLPACSIRPFNIYGPRQVGEGAIHHFIRRALTGETLQVHNDGA----------------QIRAWCYIDDIVDAILLALEREQSVGHAFNIGNPRST-VTIYQLARDIVRLSGSSSAIEFHPWPHPDVEIRVPAVAKARELLGFEAQVDLEQGLKRTIAWYRDH--------- 254481007 ------LVTGAAGFIGSNLVETLLRLNQTVIGLDNFATGHQANLQRFNFVQGDITNPDDCQRV--CIGVDHVLHQAALGSVPRSLNDPITTNRVNVDGFLNMLIASRDAVVKSFTYAASSSSYGDHPALPKVEDNIGKPLSPYAVTKYVNELYAEVFARSYNFNTIGLRYFNVFGERQDPNGAYAAVIPKWIAAMISNH------------DVIINGDGETSRDFCF-IDNVVQANLLAATSSEDARNSIYNVAFGGRTSLNTLFSALQRTLAYDKQAIYGTFRDGDVLHSQADISKAEKILGYRPAFDISGGIARTIPWYKKH--------- 226507148 -----VLVTGAAGFVGAHCSLALRARGDGVVGLDNFNAYYDPSLKRARQVDGDVNDAALLERLLASVRFTHVLHLAAQAGVRHAMRAPQAYVASNVLVALFEAAARHADPQPAVVWASSSSVYGLNTQAPFSEEHRTDPASLYAATKKAGEAIAHAYNHIYGLSITGLRFFTVYGP----------WGRPDMAYFSFARSIVAGEPVTLFRAADG---SDARRDFTYIDDVVRGCLGALDTAGRSTGSRVYNLGNTSPVPVTRMVAILEKLLGKKAIKRVVTMPAGDVPFTHANVSHAARDFGYRPATSLEACLRHFVDWFVRYYK------- 300728484 MEKRNIIITGGAGFIGSHVVRLFVNKEYHIINLDKLTYAGNENKPNYSFVKADICDFDKMYALMQKEHVDGIIHLAAESHVDRSIKDPFTFARTNVMGTLSLLQAAKLYWRVLFYHISTDEVYGALEEDFFTEDLKYMPHSPYSASKASSDHFVRAFHDTYGMPTIVTNCSNNYGP----------YQFPEKLIPLFINNIRHRKPLPVYGKGENVRDWLYVEDHARAIDVIFHKGNIADTYNIGGFNEWKNIDIIKVVINTVDRLLGRQEGEDMDLITYVTDRLGHDKRYAIDSRKLQAELGWEPSLQFEEGIEKTVKWYLDNQEWMDN--- 136712759 --KKTILVTGGAGFIGSALVRHIIAKTHTVVNIDKLTYGNLESLKSYIFQKIDICDRKKLFNVFKKYQPDLVIHLAAESHVDRSIDSPKNFIETNIGGTYNLLEESRFYWNFRFLHVSTDEVYGDTSKDLFSEDTAYNPSSPYSASKASSDHLVRAWHRTYGLPILITNCSNNYGP---------FQFPEKLIPHMILNALNLK-------ELPVYGDGTQIRDWLFVDDHV---CALLQVGFNGNPGETYNIGGSNEIKNIEVVQKICKILDYGDLITYVKDRPGHDLRYAIDSKKIK---------------------------------- 269926289 ----RILVAGGAGFVGSNLCERLVDQGHEVLCIDNLSTGRIRNLANLLTHRFCFIEHDVIKGVDTKYPVDRIYHLASPASPPGYQSRQIETLRVNSEGTLHLLEL-AEKHGARLLYASTSEVYGDPLEHPQSEESSTGPRSMYDEGKRYGEALCMAFYRVRQVDVRIVRIFNTYGPKSD--------PYDGRIVPSFITQALLNRPITVYGDGRQTRA------LCYIDDTIEGMIRMMESEKTSGE--VVNLGNPDEHSVLDYARLIIELTGSSSEIHFQGPVVGDDPRRCPDISKAKRLLDWAPCIPLSEGLPRTIEYFR----------- 153004762 MSEKRAVVLGAAGFIGSHLSDRFLAEGWRVTGVDNLITGNRRNLPRFDFVEADICEP--LDRI--TGRVDAVLDFASPASPIDYLKHPFETLRVGSHGVENALKLAKRAGAP-FLLSSTSEVYGDPLVHPQRESYWVGPRAVYDEAKRFAEAITVAYRRYEKVDVRIARIFNTYGPRMRL--------DDGRVVPTFVAQALRGEPLTVFGDG------TQTRSFCYVDDNVEGIWRLLHSRFQDP----VNIGNPNEMTVLQFAEAVQRLVGSHCPVIHEALPEDDPRVRRPDISRAKELLGWEPKVGFDDGMRRTIGWFRE---------- 281355876 MKNKHILVTGGSGFLGSHLCERLLELGNEVICVDNFYTGHLLDNPRFELVRHDITFYVEVDMIFNLACPASPIHYQ---------RDPVQTIKTCVHGAINLLGLAKRINA-RILQASTSEVYGDPTIHPQPEEYWIGVRSCYDEGKRCAETLFHAYWLQCNLPIKIVRIFNTYGPRM--------HPNDGRVVSNFILQALQNRDITIYGDGQQT------RSFCYRDDLIEAMIRMMDTPDE--VTGPVNIGNPGEFTILELAELVIELTGSKSKIVRRPLPSDDPKQRRPDTAKAEKLLKWQATTPLREGLTHTIAYF------------ 238019529 -----ILVTGAAGFVGANLVMSLLAEGTQIIGIDSVNDYYDVALKDYRLQQIDIADKAFIEEIFSTYKPRVVVNLAAQAGVRYSITNPDAYIESNIIGFYNILEACRHSYVEHLVYASSSSVYGANKQIPYTEHKVDNPVSLYAATKKSNELLAYSYAKLYNIPSTGLRFFTVYGP----------------AGRPDMAYFGFTNTLRSGGTIKIFNYGNCKRDFTYIDDIVEGVSKVMCAAPERPPYAIYNIGNSHPENLLDFVRILSEEYNFEDHKELVAMQPGDVPVTYADTSALEEDFGFKPNTSLREGLRKFAIWYKEFY-------- 309389681 ----KILIAGGAGFIGSNFIHYLKHYADQIINIDKLTYGNLENLKDYQFYKIDICNKNAIRKIMDS-KIDLVVNFAAESHVDRSIADPAVFIQNNVLGTQNLLDLALEFEVKKFIQISTDEVYGLKSQNKFTELSPLNPSNPYAASKAAADLLVKSYFKTYKLPINITRCSNNFGP----------YQYPEKLIPLFIIKALKKEQLPLYGDGTNIRDWIFVRDHCRAIDLV---------MRKGKTGEIYNIGANNEKSNLEITKKILSLLKSENLIKYVKDRQGHDYRYAIDSTKIKKELDWQVNSSFEKDIIKTVNWYLENKKW------ 142907224 ----NILVTGGAGYIGSHVVEILVKKNKNIFIIDNLSTGYKKLIKKAKFFKCNILDTKKVREIIIENNIDSIIHLAANLIIGEGEKQPKKYFKNNVKGTESILSSIKGTKVKNFLFSSTAAVYKDG-MYRVTENSPIKPKSVYGKTKLRAENLIKSQCKKLRINYGILRYFNIVGASPSGKYGLINISD-HLFKNFSTQIIKKNPVLKIYGTTYDTKDGSCIRDFIHVSDIAEIHEKVLNKIDKAKKSVVLNCGYNQGISVKQVANEFKKQAHKNTKIIEVKKRAGDLGKIIASNNRLHKFISWKSKYKLSLMVKSSIKWEKK---------- 308535385 ------MVTGADGFIGSHLTETLVRQGYEVRVFYNLDQAPEEIRKNLDVFAGDIRDPHGVRTAMKGCG--SVLHLAALIGIPYSYHSPDTYVDTNIRGTLNIVQAAREEGVEKVIHTSTSEVYGTARFVPITEEHPLHGQSPYSASKIGADQIALSFYSSFGTPVTVVRPFNTYGPRQS---------ARAVIPTIITQIAAGREEIRL-------GSLHPTRDFNFVSDTVGGFIAAL--NSNAGTGEVVNIGSNFEISIGETVDMIAQLMGAKLKVVSDDVRISEVERLWADNAKAERLLGWSPAYGLRRGLEETIQWFKD-PANLSR--- 153001486 ---KKVLVTGADGFIGSHLVEMLVAQGYQVRAL----SQYNSFNYWGWLENIDCLDEVEVDPHFCKHDIDVIYHLAALIAIPYSYIAPDSYLDTNAKGTLNICQAALENNVSRVIHTSTSEVYGTAKYVPIDEQHPLQPQSPYSASKLAADAMAMSFHNSFELPLTIARPFNTYGPRQS---------ARAVIPTIISQIAAGATQIKL-------GDISPTRDFNYVLDTCRGFIALAAHDNCIGETLNISSNY--EISIEDTLNIIKQNMHSDVEFITDDARQSEVFRLWGDNSKIKTLTGYQPQFDIHIGLKETITWFTE-PANLSK--- 142467198 MKTEKALITGGAGFIGSHLVEDLIKDGYEVMVVDNLLSGKKENISNVVSNNIDIGSDNILQNVIE-FDPDICFHLAAQSSVVISVDNPMLDFEHNILQPLKLIKVLLTTNCRKIVFSSSGGTFGEPEVIPTSEDFAGEPESPYGVAKRLNEFIELLLEDILTMSYSILNFSNVYGPRQD------PNGEAGVISIFTSKMMNNAEP-------IVFGDGEQTRDYIYVQDVVD----ALIKSSKIADNLFLNIGTGVETSVNELVSTLKNTIGYEGKVLYHPKRDGELLRSVLNNSKAKKVLGWDPKFSLNDGITELIQW------------- 23099875 MNKSAILITGGAGFIGSNFIHYFMDVYPEVVNIDKLTYAGSLQNLKGHFVEGDIADEQVVQHVFQNFDIHGVIHFAAESHVDRSIEDARSFVDTNVVGTLNLLQAARNDWGRRFHHISTDEVYGLGEEGMFTEETPYDPRNPYSASKAGANLMVKSFGYTYGMNVILSSSSNNYGPR----------QHQEKLLPTIIEKALSGKEIPIYGDGKNVRDWLYVEDHCRAIDTVYHFGKSQETYNVGGRNERTNLEMTNQICLRPDLLSKYELEKFQDLITFVEDRRGHDLRYAIDDRKLRNELQWEPKQTLENGLKQTIEWYIR---------- 144072238 ------LITGAAGFIGSNLLHNLIDYASEIVVIDYLTNASDVDNVFVTLYPVDITDRYIVDEIFNKHRPEYVFHLAAESHVDNSIEDCIPFVETNVIGTINLMNSSLEYDVKKFMHISTDEVYGTEHDSSFTENTPYNPQNPYSASKASSDHFVKAYVNTHNLPAVITNCSNNFGPRQSS----------EKFIPKAITNLLHGQKVIVYGEGREI------RDWLYVQDHCEAL---IEVWKNGKIGENYNIGGGTELNNLAVVDKILSYMKKDYDIKFVKNRSGHDFRYSIDCQKIEKDLGWKSRFDFDRSLIETIKWY------------ 218661417 MKNKRVLITGGAGLIGSHIADLVLEKPREIIILDNFVRGRRDNLSSANIIDGDIRDRALLAKAFDGVDI--VFHQAAI-RITQCAEEPRLAFEVLAEGTFNVLEAAVKTGVSKVVAASSASVLGLAESFPTTEEHHYNNRTIYGAAKTFNEGLLRSFADMYGLRYVALRYFNVYGPRMD------VYGAYTEVLIRWMERLSAGMPPLIYGDG------SQTMDFVDARDIARANVLAAKSDVTD---EVFNVASGREISLLQLAQMLSDIMGSSLEPQHKAARVNGVTRRLADISKAERLLGFKAEISMEQGLRDLVAWWQ----------- 254283531 -SRKRILVTGGAGFLGSHLIDRLLDQGHELLCVDNLFTGNIDHLHNHPRFEFMRHDYVEVDEIYNLACPASPIHYQ---------YDPVQTTKTSVHGAINMLGLAKRLKC-RILQASTSEVYGDPSVHPQSESYWIGPRSCYDEGKRCAETLFFDYHRQHALDIKVARIFNTYGPRM--------HHADGRVVSNLITQALRGDPITIYGN------GEQTRSFCYVDDLIDGLIQLME--SDRKVTGPINLGNPAEFTVRELANKILVMTNSTSEWVELPLPQDDPKRRRPNIEKAQEVLGWQPTVSLDEGLGKTIDFFK----------- 253575887 ----NILLTGGAGFIGRWVAKKLLEDGHQLWILDDLSNGREANLQEFQGHRGTILDEPLLEELFAAHQFDICYHLGASINVQDSIDDPRTTFNNDTVGTFYILEQCRRYNTKVVFMSTCMVYDRCTDETGITELHPTKPASPYAGAKVAAENMVLSYYYAYGLPTVVIRPFNTYGP------FQKTGGEGGVVAIFLKNDLDGK-------ELRIYGEGTQTRDLLYVEDCANFVVSAGYSDAVNGE--IVNAGLGRDITINDLALMIAGDPSRIRHVEHIHPQ-SEIQKLLCNSEKAKRLLGWEPRVTLEEGIARTREW------------- 156380889 -DRKRVLISGGAGFVGSHLADSLMMQGHEVTVVDNFFTGRKRNIHWIGHENFELINHDVVEPLL--IEVDQIYHLASPASPPNYMYNPIKTIKTNTIGTLNMLGLAKRVHA-RLLLASTSEVYGVQQEHPQGEDYWIGPRACYDEGKRVAETMCYAYAKQEQVEVRVARIFNTFGPRM-------HMNDGRVVSNFILQALEGKP-------LTVYGSGSQTRSFQYVSDLVNGLILLM----NSNYSKPVNVGNPDEHSIMEFARIINKAVGGNNPIINVAQMEDDPKRRKPDITRARRLLNWKPLVPLDVGINKTIQYFRR---------- 296130221 ----RMLVTGGAGFIGSNFVHQTVREDVHVTVLDALTYAGDERSLDPVDAKGDVADPDIVDRLVK--DADLVVHFAAESHNDNSLHDPWPFVRTNVIGTYQLLEAVRRYDV-RYHHVSTDEVYGDDDPAKFTPETPYNPSSPYSSTKASSDLLVRAWARSFGVRATISNCSNNYGP--------YQHVEKFIPRQITNVVDGVRPKL--------YGTGENVRDWIHVEDHNSAVWRIIE---DGRLGETYLIGADGEKDNRTVIELILELMGQPSDYDHVNDRPGHDLRYAIDATKLRTELGWEPRYTFADGLAATIEWYRANEAWWRPQKD 166367275 ------IVTGVAGFIGSNLAQKLLEQGDQVIGIDQFNDYYAHILAKYPEFQLIEADIQALDWRQLLQGVEVLFHQAAQAGVRASWGDFRQYTERNINATQIILEAAKETPSQRMVFASTSSVYGNAETMPTPETLCPQPVSPYGITKLAAERLCWLYHQNFNVPVTALRYFTVYGPR--------QRPDMAFHKFFQAAIAGK--------PIGIYGDGKQTRDFTFISDAVAANLAAALVPEAVGEVFNI--GGGSRVVLLDVLDTMAKVIGKPIERSHQGLARGDARHTAADVTKARTILGYNPQVSLAEGLAQEWQW------------- 139036224 ---------GGAGYIGSHTLVSLLFKEHEICVVDNYANSRRLTNHDFEQHELDIGDGPKLSGVFAEFQPEAVIHFAGLKAVGESERNPLLYYQENVSGAISLLKVMDASNCRQIVFSSSATVYGEPLYLPYDEKHPLAPINPYGRTKLFIEEIIKDWTKTDNKKAILLRYFNPVGAHNSGEIGEDPEGPNNLLPFISQVAVGRRDHLNIFGDDYDTRDGTGIRDYIHVSDLADGHAAALNYFTRMSGVEIFNLGTGNGISVLEMVEAFKQASGKDIPYNIAPRRDGDLPAYWA---------------------------------------- 55377832 -EGQTVMVTGGAGFLGSHLVEELEARSDTVDVFVPRSN------------EYDLRERTDIRRAFTQSGADVVVHLAAVGGIGANRENPGRYFYDNAIMGIELLEMARQFDVDKFTILGTICSYPNHTEVPFSEDDPEETNAPYGIAKKALLTQSRAYRKQYDFNSIYLMPVNLYGPRDDFDLHSAHVIPAIIRKCIEARERGDD-------SITAWGTGEPTREFLYVKDAARGI---LDATERYDRSNPVNLGSGAEISIRALIERIADMTDFEGDIKWDTSKPDGQPRRRLDTSRAKEYFDWTAQTDFEDGLRRTIDWY------------ 142149199 MAKKMVVV-GGAGFIGSNLTEALVARGDTVHVIDNLVGGKREHVPHATLHEIDIRDHEALVPIVAGA--DTVFHLAALPRVQYSIEHPQETNDVNVGGMLNVLKAAVDGNVRRVVYSASSSAYGDQETMPLVETMPAHPLSPYGLQKYVGELYARLFSDVYDLETVSLRYFNVYGKNQDPE-------------GPYALVIGKFLKQRAMGEPLITGDGEQTRDFTHVSDVVRANILASE-SSKVGNGEVVNIGAGENHSINRLAALI------GGEVVHVAARL-EPKDTCANTERAHTLLNWMPKVRLEEGVQE----------------- 143023364 MNRMRILVTGGSGFIGNHVVRALSIPGVEIVVIDALTYGHPENLNDVEDERGSINDHELVHNILNDKQINVILHLAAESHVDRSINSVQPFIETNIDGTRVLLESVITCNQQHFVHVSTDEVYGGPDGEPFTEDTPLNPQNPYAASKAGSDMLVQSFVNTHKVSAVITRCSNNYGPR--------QFPEKLIPLMTLNAINNKMLP--------VYGDGKQVRDWIHVEDHANGILCAMLFVGRIETGEVFNFGANNEQENITIVRNILSQINADESIKHVRDRPGHDRRYAMGYEKASKVLGWKPEIDWKVGLSDTIEWYMTNPEWIES--- 87045843 ----KAIVTGGAGFIGSHMVELLLKNDYEVIAIDNMANGQLDNVPNYHFENIDIA-KDFNDEIFK--DVDYVFHMAALADIVPSIEEPIKYHEANVTGTVRILEACRKYNIKKLVYSASSSCYGIPDNYPTSEEDNIRPEYPYAFTKYIGEEYVLFWNKLYKLPAVSLRYFNVYGTRARTN------GTYGAVFKVFLKQKLEDEPLTIVGD------GEQTRDFTYVTDIAKAN---LLAAISELNGEVLNVGTGKPQSVNYLAGLISD------KKTQIPKRPGEPDSTHANIDKITEKLNWQPEVSFEDGVQDNIDYWKEAPLWTPEE-- 254380716 -TDRTVLVTGAEGFIGSTLVDLLVSRGARVRAFVHYKPGHLARYLADPMWTGDVRDAGRVSDAVAGC--DTVFHLAALIGIPYSYASPGAYVQTNVTGTQNVAEACRRHGVRRLVHTSTSEVYGTALTAPISESHPLQPQSPYSASKIGADMMALSFHHAFELPVTVVRPFNTYGPRQS---------ARAVIPTILAQLHAGSREIRL-------GSLTPTRDFTYVTDTAEGFLAVAECDRALGE--VVNLGTGEEISVGALAEALIAASGREAKVVVDPTRLSEVQRLLSDNSRAREWAGWRPRVGLEEGLRHTSAW------------- 150026505 ---KRILITGAAGFLGSHLCDRFIKEGYHVIGMDNLITGDLKNIAHFKLEHFEFYHHDISKFVHVAGDLDYILHFASPASPIDYLKIPIQTLKVGSLGTHNLLGLARVKGA-RILIASTSEVYGDPLVHPQTEENTIGPRGVYDEAKRFQESITMAYHRFHGLETRIVRIFNTYGPRM-------RLNDGRVIPAFIGQALRGED-LTIFGNGMQT------RSFCYVDDQVEGIFRLL----HSDYAYPVNIGNPDEITIKDFAEEIIKLTGTNQKVIYHDLPENDPLQRQPDTTKAKELLGWEAKVSRSEGMKITYEYFKSLPQALQEEHK 288963207 ---KRVLVTGGAGFLGSHLCERLLATGNDVLCVDNFFTGSRDNVLHNPHFELMRHDYVEVDEIYNLACPASPIHYQH---------DPVQTTKTSVHGAINMLGLAKRVGA-RIMQASTSEVYGDPAVHPQPEEYWIGPRACYDEGKRCAETLFFDYHRQHRLDIKVIRIFNTYGPRM--------HPNDGRVVSNFIIQALKGEPITIYGDGRQTRSFCYVDDLIEG---------FLRFMGTPGETGPINLGNPGEFTMLELAEKIIRLTRSASTIEHRPLPQDDPKQRRPDIAKARALMDWEPAVPLDEGLDRTIAYFRN---------- 13172668 LEPKRWLITGVAGFIGSGLLEELLYLNQTVIGLDNFSTGYEEQWSRFTFVHGDIRSFADCQAV--CENVDYVLHQAALGSVPRSLQDPITTNSANIDGFLNMLTAARDAHVSGFTYAASSSTYGDHPDLPKIEDRIGRPLSPYAVTKYVNELYAEVFARSYDFNAIGLRYFNVFGRRQN------PNGAYSAVIPRWILSLLKDEPIYINGD------GSTSRDFCYIENVIQANLLSATTNDLASKNKIYNVAVGDRTSLNELYYLIRGGLNISAEPIYKDFRDGDVKHSQADITRIKTFLSYEPEFDIKEGLKQTLKWYID---------- 2330019 ------LFTGACGYIGSHTARAFLEKTKEIIIIDDLSTGFLEHIKRVAFIQANLNETQKLDTFLNKQQIEAVLHFGAKISVEESTRLPLEXYTNNTLNTLELVKLCLKHAIKRFIFSFYGRGLWRVCSS-LSEESPLNPINPYGASKMMSERILLDISKVADFNCVILRYFNVAGACMHNDYTTPYTNATHLIKIACECAVGKRKKMGIFGTNYPTRDGTCIRDYIHVXDLANAHLASYHTLLEKNKSEIYNVGYNQGHSVKEVIEKVKEISNKDFLVEILDKRQGDPASLIANNSKILQNTPFKPLYNLDTIIKSALDW------------- 294791653 -----ILVTGAAGFVGANLVMSLLAEGTQIIGIDSVNDYYDVALKEYRLQQIDIADKSFVDEIFAIYKPRVVVNLAAQAGVRYSITNPDAYIESNIIGFYNILEACRHSYVEHLVYASSSSVYGANKQIPYSTDDKDNPVSLYAATKKSNELLAYSYAKLYNIPSTGLRFFTVYGP----------------AGRPDMAYFGFTNTLRNGGTIKIFNYGNCKRDFTYIDDIVEGVSKVMSTAPERPPYAIYNIGNSNPENLLDFVRILSEEYNFEEHKELVPMQPGDVPVTYADTSALEADFGFKPNTTLREGLKRFAIWYKDFY-------- 113476132 MSN-TI-VTGVGGFIGSHLAETLLNQDNKVIGIDEFNNYYNPTLKRHPAFQLIENDIQSLNWSELLVDVDIIYHQAAQAGVRASWGGFFDYTERNIDATQIILEAAKDPNLKRLVYASSSSVYGNAETFPTPETVCPQPVSPYGITKLAAEQLGKLYHHNFGVPCVYLRYFTVYGPRQRP----------DMAFHKFFKWILQDEPISIYGDAQQT------RDFTFISDAVAANL--LAATVPLAVGEVFNIGGGSRVVLAEVINIMEQIVGRPIKKNFVEKARGDARHTSADVSKAQKILGYQPQISLAEGLKREWEW------------- 229498649 ----RILLTGGAGFIGRAVAKRLLDDGHDVWILDDLSNGREENISEFRHHVGDIKDERLLAQLFHEHEYDLCYHLAASINVQDSIDDPRTTFYNDTIGTFYLLEQCRKHRTKLVFMSTCMVYDRCYHETGIAETHPTKPASPYAGAKIAAENMVLSYFYAYHLPVVVVRPFNTYGP-------------YQKTSGEGGVVAIFIRKKLMGETLQIYGDGTQTRDLLYVDDCARFVVQAG--YSDKVNGEIVNAGLGRDISINDLAKLI---VGDEKRIEHVPHPQSEIQKLLCNYEKAKRLLGWEPQVSLEEGIQKTEEW------------- 299129965 ------LVTGCAGFIGMHCAQRLLERGERVVGIDNLNAYYDVGLKHARFEQIDVADRDAMHALFARVRPHRVLHLAAQAGVRYSIDQPDDYTDSNLLGFGNILQGCRAQQVEHLVFASSSSVYGGNAKLPFSEDAVDHPVSYYAATKKANEVMAHAYAHLYGIPTTGLRFFTVYGP----------WGRPDMALFKFTRAMLAGEAIDVYGQGRLVRDFTYIDDIVEGVLRVLDKPATPDAGHDPAPYRIFNIGNSTPTLLMDYITALEAALGTTAIKRMLPIQPGDMHSTAADTAALAAWVGFTPATPVREGVARFVHWYRGFYES------ 144145714 ------LVTGGAGFLGSHLCDRLIERGDEVVCVDNFQTGRKEHLLGHPRFELDIAERIEVDAIFNLACPASPIHYQH---------NPIQTTKTSVLGSLNMLGLAKRVGARIFQ-ASTSEVYGDPELHPQPETNPIGPRACYDEGKRCAETLFFDYHRHHGVDIRVARIFNTYGPRM--------HPNDGRVVSNFIVQALSGDPLTIYGD------GSQTRSFCYRDDLVEGFLRFMDA--DGPLTGPINLGNPGEFTIKELAEKVLDMTGSNSEIEYLPLPQDDPIQRRPVIDVAKKELDWAPTVQLDEGLEKTIEYFQQFLR------- 135368318 ----KIIVTGSSGFIGFHVSKKLLESGNKVHGVDSMNNYYDVNLKKARFSKTKIENKKNLDNAFKKFKPVVVIHLAAQAGVRYSIEKPRVYLDSNITGTYNIIEISKTLNVKHLIMASSSSVYGANKRIPFKEIDKTETMSIYAATKKSNESMAHAYSNIWKVPITMLRFFTVYGP--------WGRPDMALFKFTRGILKNKK--------IDIYNNGKMYRDFTYIDDIVNGINLLLRKVPNVKQFGKYNIGNTKKVYLLDFIKEIEKVLGKKAKRNYMPLQKGDVKQTLSNTNLLKRITRYNPKTNFKTGIRKFLDWYLDYYN------- 299783469 ----NILVTGGAGFIGSHFLRYQLSHTDRVVNLDCLTYAGNASTPRYHFIQGDIRDAATVSEVLXTHQIDVVVNFAAESHVDRSIEDPAPFVSTNVVGVQVLLDACRRAXV-RLVQVSTDEVYGSIXAGRXDEEAPLQPSSPYAATKASADLLAMAAHHTFGQDVVITRSANNYGPN----------QHPEKLVPMIITNARQQRPLTIQGAGDDI------RDWLYVVDNCQAIDLVMRKGV---ZGEVYNIGGFERRTVLEVVAXLQALLGFPXQVVHVAERLGHDHRYAVDDTKLRRALGWRPSTSLAAGLTQTVR-------------- 283488495 -----VLVTGAAGFVGSHCSLALKKRGDGVLGLDNFNNYYDPSLKRARQVKGDLNDGPLLTKLFDVVPFTHVLHLAAQAGVRYAMQNPQSYISSNIAGFVNLLEAKAANPQPSIVWASSSSVYGLNTENPFSERDRTDPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGP--------WGRPDMAYFFFTKDILQGKIDIYKTHDQKEVARDFTYIDDVVKGCDTAEKSTGSGEKKKGAAQLRVYNLGNTSPVPVGRLVSILEGLLSKAKKHVITMPRNGDVPFTHANVTLAFKDFGYKPTTDLSTGLRKFVKWYISYY-------- 143773596 FSGKSVLVTGGAGFIGSNLAEALVNLGARVRVIDNLVTGHRSNVEDLIFIEGDIRDYATC--LSAVEGMDMISHQAALGSVPRSIENPLASHDHNVNGTLNIMRAAVEKGVGRVVFASSSSVYGDEPTLPKKENRTGRLLSPYAATKCVGEIYGDMMNSVYGLDVVGLRYFNIYGPRQDPN-----GPYAAVIPKFIELMSTGKSPT-------IYGDGEQSRDFTYV-DNAIQANLAAMSREKQFGFEVMNVACGDRLSVNELFYNLRLSLSKDIEAIHGEEREGDIPHSHADISKAIELIEYEVLVGIEEGIQSTVDWFMRN--------- 193704488 -SKKRILVTGGAGFVGSHLVDKLMKAGHDITVVDNFFTGVKANVQWIGHANFELIHQDIVNPLF--VEVDEIYHLASPASPQHYMFNPVKTIKTNTIGTINMLGLAKRVGAKVL-IASTSEVYGDPEVHPQPETYWIGPRACYDEGKRVSETLSYAYAKHEKVSVRVARIFNTHGPRM-------HMNDGRVVSNFILQAL-RDEPITVYGR------GNQTRSFQYVSDLVNGLIALMDSNYTQP----VNLGNPTEHTINEFAVIIKGLVGGKSKVITTPAVEDDPQRRKPDITRAMTYLNWKPKVGLKEGLKMTIDYFKQ---------- 34763098 -----VLITGGAGFIGSHLVEKFLKEKHKVIVVDNFDPFYSMDIKLGDDEKLDICNLENLKEIFIKENIDFVINLAALAGVRPSVLRPFDYERVNIKGFLNILEICKEFKINKLIQASSSSIYGNSKADIFTEDIRVDPISPYAATKKAGEEFGSVYSHLYNIDMIQLRFFTVYGERQRPDLAI----------HKFVKKIENDEEITIYGD------GNTSRDYTYIKDIIDGIFKSFEYNNHQNVYEIINLGSSRKINLLDMVKIIENKLNKKAKLKFIDKQAGDVDKTFACIDKAEKILNYKVSTKFEDGIENFVNWYRQ---------- 142281267 ---KRVLITGAAGFIGSHLTEYFVKKNFKVTVFDRYNSFNNLGLKKTKFILGDIRDFDSVNNAVKNQDI--VIHLAALIGIPYSYVSPAAYIKTNIEGTYNVLEASRINKIQQVLITSTSETYGTAQTYKISEDHPLNAQSPYAATKIAADQLALSYFKSFNTPVKIIRPFNTYGPRQS-----------------LRAVIPTIICQAINSNIIKIGNLDTSRDLTYVQDTCEGFYNILRSNKLFGEVINI--GSDNNYTILDLIKKVSIILDKKFIIKKIPERISEVYRLRCDNKKIKKFTNWQPKFKFDIGLENTIKWFSN---------- 126459499 ----RIVVTGGAGFIGSHLVDKLVELGYEVVVVDNLSSGRREFV--NPSAELHVRDLKD-YSWGAGIKGDVVFHFAANPEVRLSTTEPIVHFNENVVATFNVLEWARQTGVRTVVFASSSTVYGDADVIPTPEEEPYKPISVYGAAKAAGEVMCATYARLFGVRCLAVRYANVVGPRLRHGVIYDFIMKLRRNPNV----------------LEVLGDGTQRKSYLYVRDAVEATLAAWKKFEEDAPFLALNVGNVDAVRVLDIAQIVAEVLGLRPEIRLVPSWPGDVKYMTLAVTKLMKLTGWRPTMTSAEAVKKTAE-------------- 242281232 ---KRVLVTGGAGFLGTHLCERLLADGCDVICVDNFFTGNVTHLLSNPNFEIIRHDVTEIDEIYNLACPASPIHYQH---------DPVQTTKTSVHGAINMLGLAKRTRAKIFQ-ASTSEVYGDPEVHPQPESNPIGPRSCYDEGKRCAETLFFDYYRQHKVNIKVARIFNTYGPMM--------HPNDGRVVSNFITQALLGNPITIYGD------GSQTRSFCYVDDMIEGFLTLMDTPDE--VTGPVNLGNPTEFSILELAEKVIELTGSKSELIFKPLPGDDPKQRKPDITRAK-ELGWEPTIQLEKGLVSTIEYFK----------- 296242942 ----RVLVTGGAGFIGSHLVDYLLGRGFQVRVVDNLSSGRLEHVSHHEFVKGDLKNPEV--ALKAVEDVDTVFHLAANPEVRLSVTEPAVHFNENLLATFNLLEACRRKGVELFVFASSSTVYGDASVLPTPETHEIKPISVYGASKAGCEALLSSYSHLYGFKGVSLRYANIVGPRLRHGVIYDFIMKLSRNP----------------GELEILGDGSQRKSYLHVSDAVEATVTVAEKSSSTYD--CFNVGNEDWVTVAEIADIVSRAMGVKPLYRFLSTWPGDVKLMLLSIEKIKK-LGWAPRLSSREAVEETAR-------------- 221369987 -EHKRILVTGGLGFLGSFLCESLLADGHEVICVDSFQTGSRENVAHLRDHNFEIMRHDVT--VPLHVEADEIFNLACPASPIHYQVDPVKTVKTSVMGAINLLDLARRTKSKIFQ-ASTSEVYGDPKVHPQPEGYWGHPRSCYDEGKRCAETLFFDYHRQYGVNIRIARIFNTYGPRM--------HPNDGRVVSNFIVQALSGKPITIYGD------GTQTRSFCYVTDLIRGFRALMDAP--DGIELPVNLGNPGEFTMLELATLVIELTGSRSKVVHLPLPKDDPTQRKPDITRATETLGWKPEIPLFDGLQRTIA-------------- 135240407 LTPRTWLITGVAGFIGSNLLEHLLKLNQRVIGLDNFSTGHRHNLKEVQFIEGDIRNLDDCHK--ACAGVDYVLHQAALGSVPRSINDPITSNATNISGFLNMLVAARDADVKSFTYAASSSTYGDHPALPKVEENIGKPLSPYAVTKYVNELYADVFSRTYGFNTIGLRYFNVFGKRQDPN-----GAYAAVIPKWAASMLQGDD-------VFINGDGDTSRDFCFIENAVQANLLAATA-VNDAKNEVYNVAVGDRTTLNDLYRALQAALAKNGKPVYRDFRTGDVRHSQANISKAVSKLGYVPEYRIMDGIAKAMPWYIEN--------- 136459715 -------VTGGAGFIGSHMVDLLLERGYEVRVIDNLIGGHERNLAHHTHLSLSRQDINALEDDLAFSGADYVFHFAGIGDIVPSIDRPIAYMQTNVQGTVRVLEAARNHGVRKFVYAASSSCYGLA-DTPTREDHAIRPQYPYALSKFQGEQAAVHWRNVYGLPVNSIRIFNAYSTRVRTT-------------GVYGAVFGVFFKQKLSGQPYVVGDGTQMRDFLYVTDVASAFLAAAETDR---VGEIYNLGAGAPQSINRLIELL------GGPVVQLPKRPGEPDCTWADITKITTQLGWKPTVTFPEGVSRMMA-------------- 209552254 MRNQRVLITGGAGLIGSHIADLVLEKPREIIILDNFVRGRRDNLSYVNIIEGDIRDRALLAKVFEGVDI--VFHQAAI-RITQCAEEPRLAFDVLAEGTFNVLETAVKAGVSKVVAASSASVLGLAESFPTTEEHHYNNRTIYGAAKVFNEGLMRSFAEMYGLRYVALRYFNVYGPRMD------VYGAYTEVLIRWMERLAAGMPPLVYGDG------SQTMDFVDARDIARANILAAKSDVTD---EVFNVASGTEISLLQLAKMLSDIMGSSLEPEHKEARVNGVTRRLADISKAERLLGFKAEISMEQGLRDLVAWWQ----------- 136865986 ----RLLITGGIGFIGSNLTRYLLQRNYEILVLDNLTYAANIDLLSHFQKHTDICNSKNLQKAFKEFQPESVMHLAAESHVDRSISQPLEFINTNVIGTFNTLEFYKKLKVFRFIHVSTDEVYGDNKEPPSMENDAYRPSSPYSASKASSDFLVQAWNRTYNFPGIITHCTNNFGP---------YQNQEKLIPSTISKIINNEI-------LPIYGNGKQKRDWLYVID----HVKALEEILKKGRHESYNIGGNNQIENLVIVEKIISLIANKMLVKFVEDRPGHDFRYGLNCNKIKNDLNWEPMQSFEESLETTVDWYLKF--------- 298528800 ----KILVTGTAGFIGFRLALALVEKGFEVVGLDNINDYYDVQVKYGRFIRQNLEDREGMQRLFQEQGFSRACNLAAQAGVRYSLTNPYAYVDSNLVGYINLLECCRHNQVEHLVFASSSSVYGLNETQPFSVHNVDHPISLYAASKKSNELMSHTYAHLYGLPCTGLRFFTVYGP----------WGRPDMALFLFTRAMLEDRPIDVFNHGRMQRDFTYIDDIVEGVIRVHWDPKNPDPASSSAPYRLYNIGNNNPVQLMDFIQALEKALGKKAQKNLLPLQPGDVPSTYADVDDLVRDLDYKPETSVEEGIERFVKWYRDFFQA------ 143156696 ----KIILTGCAGFIGFHLAKKYLDMNFTVIGIDNLNNYYSKKLKKDRFYKISIEHFKNLKKIFIKHNPQIVVNLAAQAGVRYSLKKPLDYVKSNLVGFSNILILSKEYRVKHFIYASTSSVYGNSTKNILTETDNDHPIQFYAATKRSNELMAHAYSSLYKLPTTGLRFFTVYGP----------WGRPDMALFLFTKNILDKKPIFVFNNGDHVRDFTFVDDITDGIYKVTQRKFINKTKKNDPSESFCPFGNNSPVPLMKYIKVLETELGVKSIKIYKPLQKGDIKKTNASIKKIRSLYNYNPNTKIEKGIKKFVNWYREYFN------- 241895949 -KYQNILVTGGAGFIGTNFVRYVITEHPDVTVLDKLTYGNAENLADLPDDRVDINDAQLVDKLMR--ETDAVVHFAAESHNDNSLTDPSPFMQTNLMGTFTLIEAARRYDV-RFHHVSTDEVYGDSRNEKFTPLSRYNPSSPYSATKAGSDLLVRAWVRSFGLKATISNTSNNYGP--------YQHIEKFIPRQITNIMSGIKPK--------IYGNGRNIRDWIHVTDHVAGIWEILMHGTIGETYLLGANGEQDNLTVLKKI--LQAMGKPVDDFEFVKDRAGHDLRYAIDATRTQETLNWQPKYDFDAGLKQTIDWYQQNQAWWEKDK- 148668234 ---KRVLVTGGAGFIASHVIVSLVEDDYMIVNLDKLDYCASLKNLEYKFIQGDICDSHFVKLLFEVEKIDIVLHFAAQTHVDLSFVRAFEFTYVNVYGTHVLVNAAYEAGVEKFIYVSTDEVYGGSLDQEFDESSPKQPTNPYASSKAAAECFVQSYWERYKFPVVITRSSNVYGPHQ---YPEKVKVISKFVVVFTGCNIIGNTLFMVISLSCIHGSGLQRRNFLYAADVVEAFLTVL---TKGEPGEIYNIGTNFEMSVKELIQLIKEESETESWVDYVSDRPHNDMRYPMKSEKIHS-LGWKPKVPWEEGIKKT---------------- 136493984 ----KVLVTGVSGYIGSHIALELIQTGHEVIGIDTAPSSNN----LLNFFLIDIRDTDAVIELVKNANIDIVVNLAAKKSVKESFDEPSEYFEVNSHAVERIVARLEGTRVHKVIHASSAAIYGNQQSVYADESMTPNPMSPYAESKILSENVLKHWGAENQISIYSLRFFNVLGSSNSKL----RDKSAGSLLPGILNEIRHSRPAIVFGNSYPTLDGSCIRDYVHVLDVTRAVIDLCNRSELRPSSLILNIGSGHGHTVIEVINEVFNHLSLPTNYIIEAPRPGDIPAIIADINYAKSEIDYRPSYNLRDMIQSSI--------------- 220925453 ---KRILVTGGAGFIGSHLCERLLKQGNEVLCVDNFFTGNCEPLLGNPSFELLRHDYVEVDEIYNLACPASPIHYQ---------RDPVQTTKTSVMGAINMLGLAKRLRV-RILQASTSEVYGDPDVHPQPEGYCAGPRACYDEGKRCAETLFYDYQRQHRMSVRIARIFNTYGPHM--------HPQDGRVVSNFIIQALTHQPITIYGD------GSQTRSFCYVDDLVEGLLRLMALDEEPGGA--VNLGNPVETTVLALAERIVALCNSRSTITCHPLPQDDPRRRCPDISRARDLLHWAPRVELDSGLTRTIAYF------------ 187932100 -KPKNILVTGAAGFIGSNYVRMMLSRDIKIISYDKLTYAGSLDNLKHTFIKGDICDEVLVYQTLKEYKIDTIVHFAAESHVDNSIANPKVFLETNCAKRYWLDELGLEETSCRFHHVSTDEVYGTKDEPAFTEIKAYEPNSPYSASKAGSDHIARAYHHTYKLPVTISNCSNNYGP----------------YQHREKLIPVVINSCINYKPIPVYGDGSNIRDWLYVEDHCDAIQTIVEKGV---VGEVYNIGGINEVDNLTLVKTICKLMDHSNLITFVEDRKGHDWRYAIDNSKIQNELGWKPSQDFDKMFRQTIEFYL----------- 221209614 --GQRVLVTGGAGFLGSYVCERLVVEGAHVVCVDSLLTGRKLNVADGRFEFL----KADVTLGLPQLQVDEIWNLACAASPPTYQHDPVHTMMTNVLGMNHCVALARKTGARVFQ-ASTSEIYGDPSVHPQMETNTIGPRACYDEGKRAAEALCYDYYRTYGVDVRVARIFNTYGPRMS--------PRDGRVVSNFIVGALNGEPLEIYGDGLQT------RSFCFVSDLIDGFFCLMGAERNVGMPVNI--GNPVEFTMIELAQKVLALTGSHSEIVFRPLPIDDPHQRRPDISVAATELGWRPCVDLDEGLRRTVDYF------------ 135032245 ------LITGVAGFIGSNILETLLKLEQEVIGLDNFSTGQLSNLKNFTFLEGDIRDFKFCEK--SCEGIEIVLHQAALGSVPRSIENPLITNDVNISGFLNILESAKNEGVKSFVYAASSSTYGDHPDLPKVENVIGNPLSPYAVTKYVNELYAEVYKRTYDFNSIGLRYFNVFGP------KQNPEGEYAAVIPKWVKSIINNEEIFIFGDGKT------SRDFCYIENIIQANILASTVQLDEAKNQVYNIAMNDSTSLNELFELISEELRYKSQPKFLDFRKGDVRHSRADINKAINLLGYAPLFDVSKGIKSSMPWYIKNVR------- 300786160 MTRRRAVVTGGAGFVGAHLCELLLEEDIEVIAVDNLATSSADSLDHLRRYAGRFVRHDVTQPMPPSCEADVVFHLASAASPRDYLALPLETLRAGSHGTENALELARRARA-RFVLASTSEVYGDPLEHPQQEGYWIGPRSVYDEAKRYAEALTSAYRREFGVDTAIARIFNTYGPGMRA-------HDGRMIPAFLDQALKNEP-------ITVTGTGEQTRSICYVEDTARG----LLALARADHPGPVNIGNPEELTVRQVAERIKHLTGSRSPIEYIDAVVDDPQRRCPDISLARDVLGWEPKVDSEDGLRRTAAWFRRAP-------- 213022478 ---------------------------------------------------------ALITEILHDHAIDTVIHFAGLKAVGESVAKPLEYYDNNVNGTLRLVSAMRAANVKNLIFSSSATVYGDQPKIPYVESFPTGPQSPYGKSKLMVEQILTDLQKQPEWSIALLRYFNPVGAHPSGDMGEDPQGPNNLMPYIAQVAVGRRESLAVFGNDYPTEDGTGVRDYIHVMDLADGHVVAMEKLADKSGVHIYNLGAGVGSSVLDVVNAFSKACGKPINYHFAPRRDGDLPAYWADASKADRELNWRVTRTLDEMAQDTWHWQSRHPQGYSD--- 257057349 ----HVVVTGGCGFIGRAVVAAFRRRGARVTVVDR--EPLSVHDAGVVAVQGELTDPEVRERAVVP-GVDGIIHLAAVTSVLRSVEMPAKTYTENVAVTHELLELARIHGVPRFLMASTNAVVGDVGTTTITEDTALRPLTPYGATKAACEMLLSGYAGAYGMATCALRFTNVYGPGMS---------HKDSFVPRLMRAALNDSGVKVYGD------GKQRRDLVFIDDVVRGVELAWDRR----HVGRAIIGAGRSVSVLELIDTVREVTGRPIPAEHVPAPGGEMPAVVVDVSRSAETIGYRPAYSLRDGLAATWRYFLDHHR------- 296270598 LRGNRVVVTGGAGFLGSHLCERIIGLEAEVICLDNLLTGNVRHLIGDPAFRLVRCDLTQGADV--PGKVDLVLHFASAASPADYLRHPIETLEAGSLGTRHALELATEKHA-RFVLASTSEVYGDPLEHPQRETYWVGPRSVYDEAKRYAEALTTAYRNARGTDTAIARIFNTYGPRMRP-------HDGRAIPTFIRQALTGEP-------ITVTGDGSQTRSICYVDDTVEGVL----ALAASGFPGPVNIGNPAEMSMLELAETIRDLAGSSSPITFVPRPTDDPAVRRPDITLATELLGWRPRVDPRTGLRRTIAWF------------ 307823494 ----NILVTGADGFIGSHLTEMLAKQGHQIKAL----SQYNSFNHWGWLEEVDCLDQIEVDPHYCKHGIDVIYHLAALIAIPYSYVAPDSYVDTNIKGTLNICQAALDNQVQRVIHTSTSEVYGTAQYVPIDEKHPMQPQSPYSASKIAADAMAMSFYNAFELPLTIARPFNTYGPRQS---------ARAVIPTIITQIAGGKKQIQL-------GDVSPTRDFNYVEDTCRGFIALAESDKTLGETVNI--GSNSEISIGDTLNIIKNIMHSDVEFIVDEQRKSEVNRLWCDNKKIKALTGFESRIDITEGLRLTVDWFL-NPENLKK--- 168034918 ----RIVVTGGAGFVGSHLVDRLIERGDSVIVVDNFFTGRKENVQHHFNPRFELIRHDVVEPLL--LEVDQIYHLACPASPVHYKFNPIKTFFTNVVGTLNMLGLAKRIGA-RFLLTSTSEVYGDPLEHPQKETYWIGVRSCYDEGKRTAETLAMDYHRGADVQVRIARIFNTYGPRM--------CIDDGRVVSNFVAQALRKEPMTVYGDGKQTRSFQFVSDLVEG----------LMKLMEGEHVGPFNLGNPGEFTMLELAQVVKDVIDPTATIEYKENTSDDPHKRKPDISKAKELLGWEPKISLRKGLPMMVEDFRK---------- 134914312 ------------GFIGSALVRRLLQEKNTVINVDNLSYSNSNKNNNYAFENCDITNKKNVTDLFIKYEPNIIFHLAAESHVDRSIDGPEKFILTNLIGTFNLLEASRDFFKHKFHHISTDEVYGDTKEAPFNEDSRYKPSSPYAASKAGSDHLVRSWGRTFDIPYVITNCSNNYGP--------YQFPEKLIPHTIINALCGEELP--------VYGNGMQIRDWLHVEDHISAL---LKVSQSKKINETYVIGGNSEMKNIEVIKRICLYLDKKISISYVEDRPGHDRRYAINSSKIKSDLSWAPKINFDEGLKQTIDWYIDNERVLSGEYR 255020254 MKDKSILVIGGAGFIGSHTVDLLLQEGHRVRVLDNFSSGRKENLPWEHPHSGDLEDGVLLERAFDQAQ--AVLHLAAQVSVQRSLEDPLGSCRQNILNFVRVLEQARRHG-TRVVYASSAAVYGDPEVLPVDEQAPVRPVSPYGLEKYSNELYAELYGRIHGLSHLGLRYFNVYGPRQD------PGSPYSGVISRFVDQIRKGQALTVRGDGL------QGRDFIHVADVARANLAALFASL----CGVVNIAGGQVTTVRRLAELIIELHGGKGSIEGVPPLPGDIRHSRSDIGRMQQFL-IAPGIPLDQGLQDLLD-------------- 125559576 LKKKRVVVTGGAGFVGSHLVDRLLARGDSVMVVDNLFTGRKENVLHHEMIRHDVVEPILLE-------VDQIYHLACPASPVHYKHNPVKTIKTNVVGTLNMLGLAKRVGA-RFLLTSTSEVYGDPLQHPQVETYWIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRM--------CIDDGRVVSNFVAQALRKEPLTVYGDGKQT------RSFQYVSDLVEGLMKLME----GEHVGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRPNTADDPHKRKPDISRAKELLGWEPKIPLHKGLPLMVQDFRD---------- 85709753 ---RRVLVTGGAGFLGSHLIDRLLARGDEVLCVDNLFTGNIDHLAGNPRFEFDVCFFVEVDAIFNLACPASPIHYQH---------DPVQTTKTSVHGAINMLGLAKRLKVPIFQ-ASTSEVYGDPSIHPQPEAYWIGPRSCYDEGKRCAETLFFDYRRQHAINTKVARIFNTYGPRM--------HASDGRVVSNFIVQALRGEDITIFGD------GSQTRSFCFCDDLIEAILRLMDTGPD--VSGPINIGNPCEFTIRELAELVLSKVDGPSRLVTQPLPQDDPLQRKPDITQARQLLDWEPKVELDEGLDRTIAYFRK---------- 288916930 ----RVVITGGAGFVGSHLCDRLLTEGHHVICLDNFLTGRHSNVAHLQSERFQLHCQDVTDSVEVDGRVDAVLHFASPASPVDYQNFPLETLRVGALGTLHTLEL-AEKHGARFVLASTSEVYGDPAVHPQPETYWIGPRSMYDEAKRYSEALTTAFRATKGTNTAIIRIFNTYGPRMR--------QDDGRAIPTFVTQALNGYPVTVAGDGRQTRSVCYVDDLVEG----------IVRTLASGVAGPLNIGNPHEMSVLELARLVIDLCGADVPIVFVPRPGDDPMVRQPDILRARTELGWNPTVDIQNGLLRTISWFRA---------- 260576531 ------LVIGGSGFIGSHLVDELLAAGHRVRVFDRSPERFRAASAGVDLVQGDLGDTALLAEALS--DVGQVFHLVSTTVPATSNLDPAADIRGNLINTVRLLELMRAAKVRRMVYLSSGTVYGIPQTDPVAETHRLQPISSYGIVKVAVENYLMMEAYLHGLEPVILRASNPYGPRQG-------HGGVQGVIGTFLWKIAQGDPIQIWGDGSVV------RDFIHVRDLAQLCVLAAET----GIVGTFNAGSGAGHSIAEVVDTIAAVTGRKIVPLRKEGRGFDVPRVVLDISAIRATTGWAPTIPLQDGIAETWAW------------- 136055204 ----KYLVTGGAGFIGTNLCKYLISQGHEVLCIDDLSTGNTNLISLEENENFKFINHDLTKPFFPEY-IDAIFNLACPASPVHYQYNPIRTLKMGTLAMYNVLGMALKHKVPILQ-ASTSEVYGDPLEHPQNETYWIGPRACYDEGKRVAEALCFAYKNHNNVEIRIARIFNSYGPFMS--------PDDGRVVSNFIVQSLKKQPLTIYGDGQQTRSFCFIDDLV----------KGLVKLLNSNYQYPVNLGNPNECTMLELAEQINVQTKNPERLVYEKLPIDDPKRRCPDISLAKKHLNWEPTTTLQTGLSKTIEWFREN--------- 136627153 ----KILVVGGAGYIGSHCVLESLRARHEILVLDDLRTGHRESIPDVELVQGSLHEARVLKSVFESNRIDAVFNLAASCSVPESVKDPGLYYHNNLAGTLNLVSAMIDAGVNKLVHSSTAAVYGDPVDIPIPEDHAMEPVNPYGDSKKLIEETLREISKAHDMKFVCLRYFNAAGADASGLIGEDHKEESHLIPLLLQCALGHRSHFTIFGNDWDTRDGSCIRDFVHVTDIARAHLLALDRIDDIGG-------------------------------------------------------------------------------------- 160888171 ---KKILVSGGAGFIGSHLCTRLINDGHQVICLDNLSEGNITHLKSNPRFEFVLHDVEDVDEIYNLACPASPIHYQ---------YDAIKTIKTSVLGAINMLGLAKKTNAKILQ-ASTSEVYGDPVIHPQVESYWVNPISCYDEGKRCAETLFMDYHRQNGVRIKIIRIFNTYGPRM--------LPNDGRVVSNFVVQALQNQDITIYGS------GNQTRSFQYVDDCIEGMVRMM--NTEDDFIGPVNLGNPNEFSILELAEKVIRLTNSKSKLIFKPLPHDDPKQRQPDITLAKEKLGWEPTIELEEGLQYIIEYFKEY--------- 170745071 MSD-RILITGGGGFIGSHLSERLLEQGHEVLCVDNFFTGRKSNIPRFELVRHDVTHFVEVDRIYNLACPASPIHYQ---------FDPVQTTKTSVMGAINMLGLAKRLRVPILQ-ASTSEVYGDPLVHPQPEGYWLGPRSCYDEGKRCAETLFFDYHRQHRVPIKVVRIFNTYGPRM--------HPSDGRVVSNFVVQALRGAPITVFGEGHQT------RSFCYVDDLVLGLQAMMATGAAI--TGPVNLGNADEFTIRQLADLVVDLSGSRSKLVHAPLPVDDPRQRRPDIALAEQLLDWRPTVPLREGLMKTIAYFER---------- 171184952 ----RVVVIGGAGFMGSNFVRHVAGRG-EVLVYDKLTYAGRLENLRGEFVRGDVANFELLFYVLSRFRPDVVVNFAAETHVDRSINDPAPFLTTNVWGVYSVLEAARRLGFLYVHISTDEVYGDLAGGGEADESWPMRPSSPYSASKAAGDLLVQAYGRTYGVRFRIVRPCNNYGP--------FQHPEKLIPRTIVRLLLGRPAT--------IYGDGRQVRDWLYVGDFVRALEVVIERGVDGG---IYNVCAGQPASVREVVERIASALGGS--VKYVRGRPGEDMRYAMRCDRLRG-LGWRPEVSLEEGLRRTVEWYRENEWW------ 242049752 -----VLVTGAAGFVGTHCSLALKARGDGVLGLDNFNSYYDPSLKRARQADADINDGLLLEKLFDVAAFTHVLHLAAQAGVRYAMEAPQTYVASNVAGLVSVFEAKHADPQPAIVWASSSSVYGLNTDAPFSEDHRTDPASLYAATKKAGEAIAHTYNHIYGLSITGLRFFTVYGP----------WGRPDMAYFFFARSIVAGEPITLFRAADG---SDARRDFTYIDDVVKGCLGALDTAGKSTGSRVYNLGNTSPVPVTRMVAILEKLLGKKANKRIVTMPNGDVPFTHANVSHAAHDFGYRPTTSLEAGLRHFVDWFVNYYK------- 307595124 ----RVLITGGAGFIGSFLTEKLVERGFDVIVIDNLSSGDLNRLKEVIDRVKFVRDLKSLGNPGVFQGVDTVFHLAANPEVRISVTEPKIHFDENVLATFNVLELSRKYGVKTVVYASSSTVYGDAKTIPTPEDHPIQPISVYGAAKAAGEIMCGTYARLYGINCVTLRYANIVGPRLRHGVIYDLLMKLKRNPS----------------ELEVLGDGTQEKSYLYITDTIDATLKAWEYAMKNGGTYTYNVGNWDSISVGDIVNIVIKVSGMNPRVTYKPAWPGDVKRMLLSIERIVKEVGWRPSMSSREAIELTAK-------------- 148554124 ----RWLVTGAAGFIGSHLVQTLLAAGQSVRGLDNFATGHRSNLERFDFIEGDIRDRADCAAAVA--GIDHVLHQAALGSVPRSIADPLSSHDTNATGFANIIDAARLAGVGSFVYASSSSVYGDEPNLPKVEGRIGRVLSPYALTKLHNELVAEVYRRNYGFGSVGLRYFNVFGPRQD------PNGAYAAVIPRWTAAMIAGEPVLINGD------GETSRDFCYVANAVQANLRAA-LFAPAGEAHLLNVAVGDRTTLSELFALLRDALRRDGVVEHGDFRVGDVRHSLADIGAAAELVGYAPTHRIAEGLDEALPWYR----------- 27545233 -DRKRILITGGAGFVGSHLTDKLMMDGHEVTVVDNFFTGRKRNVHWIGHENFELINHDVVEPLY--IEVDQIYHLASPASPPNYMYNPIKTLKTNTIGTLNMLGLAKRVGA-RLLLASTSEVYGDPEVHPQNEDYWIGPRACYDEGKRVAETMCYAYMKQEGVEVRVARIFNTFGSRM-------HMNDGRVVSNFILQALQGE-------ALTVYGSGSQTRAFQYVSDLVNGLVSLM----NSNISSPVNLGNPEEHTILEFGSLIKSLVASRSHIQFLSEAQDDPQRRRTDIRRAKLLLGWEPVVPLEEGLNKTIQYFSR---------- 135213946 MKKKKVILTGGLGFIGSNLVELLIKKNFYVINLDKISYSSNKKNKNYKFIKCDINNKSKIYKILTIEKPLALFNLAAETHVDRSIESPYSFIKNNIIGVFNLLEAVKKYYNFKLIHISTDEVYGDITKGRTKENYPYKPSSPYAASKASSDHLVYSYYHTYGLPIIITNCSNNYGP--------KQHPEKLIPKIIYNIINNIDIPIYGDG--------KNSREWIYVNDHC---YALLEVLKKGKIGEFYNIGSNENINNLDICVFKKFNFNSKSKIKFINDRPGHDRRYALNSYKIINRLKWKRKINIDEGLDKTVRWYLKN--------- 294648784 ---KTWLITGVAGFIGSNLLETLLKLDQKIVGLDNFATGHQEQWARFTFIQGDIRNLEDCQK--ACVNVDYVLHQAALGSVPRSIADPITTNAANITGFLNMLVAARDAQVKSFTYAASSSTYGDHPALPKVEENIGNPLSPYAVTKYVNELYADVFARTYDFKCIGLRYFNVFGKRQDPNGAYAAVIPKWTAAMIQ------------GDDVFINGDGETSRDFCFIENTVQANILAATTENEEAKNQVYNVAVGDRTTLNDLFNAIKFALGENKVIVYRDFRAGDVRHSQASVEKIQKFLGYEPKFRIDKGINIAMQWYVN---------- 135005795 -EQRTALISGSAGFIGYYLGQRFLADGFRVIGVDSLSDYYDPNLKRRRQANLPIETPDLLADLFAEHRPDIVVHLAAQAGVRYSIDNPRSYLESNLVGTFELLEAARAHPPQHMLLASTSSAYGANTEMPYRETMKADHMSFYAATKKATEAMAHSYAHLFDLPITMFRFFTVYGP--------WGRPDMVPFKFVSKMVLGEA--------IDVYNHGEMMRDFTYVEDLVEAIRRLIDAAPVRPKSRVVNIGNSKPVQLGDMIAAIEDALGMEAKRNLMPMQPGDVPATWADAELLRALTGYVPETDIREGVRRFVA-------------- 16330703 -ETMRILVTGGAGFIGSHLIDRLMAQGHEVLCLDNFYTGKRNIVQWLDNPNFELIRHDVTEPI--RLEVDQVYHLACPASPVHYQFNPVKTIKTNVMGTLYMLGLAKRVGA-RFLLASTSEVYGDPDVHPQPESNTIGPRACYDEGKRVAETLAFEYYREHKVDIRVARIFNTYGPRM--------LENDGRVVSNFIVQALQGKPLTVFGD------GSQTRSFCYVSDLVE----GLMRLMNGDYVGPVNLGNPGEYTILQLAEKIQNAINPDAELIYQPLPEDDPKQRQPDITLAKTYLDWQPTIPLDQGLAMTIEDFKSRHEG------ 241774927 ---KRILVAGGAGFLGSHLCERLIARGDEVLCVDNIHTGHLTDLKRFEFIRHDVTFYLEVDEIYNLACPASPIHYQ---------MDPVQTTKTSVIGAINLLGLAKRTRAKILQ-ASTSEVYGDPEVHPQAESNPAGPRACYDEGKRCAETLFFDYQRQHGVDVRVMRIFNTYGPRM--------HPNDGRVVSNFIVQALRGEPLTLYGT------GEQTRSFCFVDDLISAMISFMD--IKGTVTEPVNFGNPQEFSMRELAQEVKRLTGSDSQVVMLPAPVDDPGRRRPDIKRATALTGWEPTTPLSQGLEKTIRFFRQ---------- 92118513 LKGKRVLVTGGAGFIGSHIVDLLCDEGCEIVVLDNMVRGRLENLEHALIHEGDIRDSGLMATLVKSADI--VFHQAAL-RITHCAAEPRLAMEVMVQSTFDLLELCVKHEIEKVVAASSASVYGLADEFPTTEHNPYDNRTLYGAAKAFNEGLLRSFNDMYGLSYVAFRYFNVYGNRMD------IHGRYTEVLIRWMERLEAGLPPTIFGDGRQT------MDFVHARDVARANILGAKAMASD---EVFNIASGEETSLAQLARSLSSVMGHPHTPEFAPERVNSVPRRLASTAKAERMLGFRAQVSLDEGLSELVDWWR----------- 144097833 ----KILVTGCAGFIGFNLVKSLIERGDTVIGVDSINEYYDVSLKKDRFFKKDLSEKDEVNKIFSNNDFERVIHLAATPGVRYSLENPLSYVRNNIYAFTNILEACRYGKLSHLTYASTSSVYGANTKLPYSENMSDHPLQFYAASKKSNELMAHTYSHLFNLPTTGLRFFTVYGP----------WTRPDMALFIFTKNILEGKSIKVFNHGKQTRDFTYIDDIVDAIIKANWNSNNPNSDSSNSPFRIYNIGNNESVSLMKYIELIEKYTNKVAIKEFLDSQPGDAIDTLSDNTKFIRDIGVINKTDIATGIKNFVDWYKSYYK------- 309812220 -----ILVTGGAGFIGGNFVHLTVKEEARIVVLDAMTYAGNERSLDGLGEHVDIADAALVDRLVAAS--DLVVHFAAESHNDNSLAEPWPFVQTNIVGTYTLLEAARKHDV-RYHHISTDEVYGDDDPERFTEATAYNPSSPYSSTKAGSDMLVRACVRSFGVRATISNCSNNYGPR----------QHIEKFIPRQITNLIDGVRPKLYGDGL------NVRDWIHVDDHNAAVWAIIERGRI---GETYLIGADGELDNKTVVQAILTGMGRADDFDHVTDRPGHDRRYAIDSTKLREELGWSPRYSFEEGLAATIQWYRDNERPFKDETE 224025633 ---KTYLVTGAAGFIGANYLKYILAKHDDVVVLDALTYAKDIDNERCYFVKGNICDRSLADQLFADYKFDYIVNFAAESHVDRSIDNPQLFLQTNILGTQNLLDAARRAWVTRFHQVSTDEVYGLGPEGYFTEETPLCPHSPYSASKTSADLIVTAYRDTYKMPVTITRCSNNYGP--------YHFPEKLIPLIIKNILEGKRLP--------VYGNGSNVRDWLYVEDHCKAIDLVVRKGRN---GEIYNVGGHNEKQNIEIIETIRRLMTEEPAITFVKDRLGHDQRYAIDPTKITNELGWYPETKFEDGIVKTIIWYLNNQEWVNS--- 135610619 ----------------------------EVTVFDNLSSGKLVNKNKVDFKKIDLLNLNKLDKLMSKEKFDAVFHFAGLSIVGESEKKTKKYYLNNVIATKNLVNTMTKYNINNLIFSSSASVYGVPKKKKISETHQTKPISQYGKNKKEIEKMLFKIGKNKNFKSISFRYFNAAGADESAKIGENHKPETHLIPKIFISLKRKQKKVFVYGNTYKTKDGTCIRDYVHVNDIVKAHYYGLKKFKQKKCVLNYNIGSEKGYSVLEIIKGIEKITNYNFKIVFTKKRRGDPAILVADCKKILKDLKWKPKYSINKILFTAWKWHKK---------- 145223883 ----RLLVTGGAGFIGSNFVHHVLAHDHHVTVLDKLTYAGNRASLDGDFVHGDVADADLVDELVA--GTDAVVHYAAESHNDNSLDRPEPFLHSNVIGTFTVLEAVRRHG-RRLHHVSTDEVYGDDDPARFTESSPYNPSSPYSSTKAGSDLLVRAWTRSFGVAATISNCSNNYGP--------YQHVEKFIPRQITNVLWGIRPRL--------YGEGRNVRDWIHADDHSSAVLLILEKGRI---GETYLIGANGEKDNKTVVELILTLMGEADAYDRVPDRLGHDLRYAIDPTKLREELGWQPGYDFEHGLAATIEWYRTHEDWWTPAKE 255563616 -----VLVTGAAGFVGSHCSLALKKRGDGVLGLDNFNNYYDPSLKRARQVEGDINDGQLLAKLFDVVPFTHILHLAAQAGVRYAIQNPQSYISSNIAGFVNLLEAKTANPQPAIVWASSSSVYGLNTEVPFSEIDRTDPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGP--------WGRPDMAYFFFTKDILQGKQI------DIYQTQDQKQVRDFTYIDDVVKGCVGALDTAEKSTGSGVYNLGNTSPVPVGKLVSILENLLNKAKKHVIKMPRNGDVPYTHANVSLAYKDFGYKPTTDLSSGLRKFVKWYVGYY-------- 138033774 ----HYLVTGGAGYIGSHLVLALVAAEHQVTVLDDFSTGHRWATEGHEVIEVDIRDLAALRSALSQRHFDGVFHFAAKSLVGESGQKPLLYYQNNVTGTANLMEVALENGWGHCVFSSTAAVYGNPQAAAIAEDHPLNPVNVYGDTKLAMERLLSAVHQQGAMHAVCLRYFNAAGAAPLAHRGEWHEPETHLIPNILRRAGGEDRPLTIFGDDYDTPDGTCIRDYIHVLDLADAHLKAM---------------------------------------------------------------------------------------------- 115463437 LKRKRVLVTGGAGFVGSHLVDRLVERGDSVIVVDNLFTGRKENVVHHEMIRHDVVEPILLE-------VDQIYHLACPASPVHYKYNPVKTIKTNVVGTLNMLGLAKRINA-RFLLTSTSEVYGDPLQHPQVETYWIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRM--------CIDDGRVVSNFVAQALRKEPLTVYGDGKQT------RSFQYVSDLVEGLMRLME----GEHVGPFNLGNPGEFTMLELAKVVQDTIDPNAKIEFRPNTQDDPHKRKPDIGRAKELLGWEPKIPLHKGL------------------- 299747975 -ERKRILVTGGAGFVGSHLVDRLMLLGHEVTVIDNFFTGSKTTVHWIGHPNFEMVRHDVVEAF--MIECDQIYHLACPASPPHYQFNAVKTIKTSFMGTLNMLGLAKRTKA-RFLISSTSEVYGDPEVHPQPEDYWIGPRACYDEGKRVAETLTYGFHQQDGVDVRVARIFNTYGPRMN--------PYDGRVVSNFIVQALKGEDMTVYGDGKQTRSFQYIHDLIDG----------MIALMNSDETRPVNIGNGDEFTILEFAELVREIVEKVQNIVHRPLPKDDPQQRRPDTTRAKESLQWQPRWTIRMGLEEMVRYYK----------- 284989063 ---RRAVVTGGAGFLGSHLCEHLLERGVEVVCLDNFLTGSPQHLMEHPGFRLVRCDVTDF--VHVPGDVDLVLHFASPASPLDYLRMPIETLKVGSLGTLHTLGLAHEKGA-RYVLASTSEVYGDPLVHPQTEEYWVGPRGVYDEAKRFSEAMTTAYRTSKGTDTGIVRIFNTYGPRMR--------PDDGRAIPAFVGQALAGRPLTVAGDG------SQTRSICYVDDTVRGIL----AMAFSGEAGPVNIGNPDELSMLRLAEWIVELTGSSSEISFIDLPVDDPKVRRPDTTRAEQLLGWRPTVPSEEGLRSTVAWF------------ 300728522 --KRNIVITGGAGFIGSHVVRLFVNKEYHIINLDKLTYAGNENKPNYEFVKMDICDFDAFYKLMQDKKVDGIIHLAAESHVDRSIKDPFTFAKTNVMGTLSLLQAAKLYWPCRFYHISTDEVYGALEDKFFLETTKYNPHSPYSASKASSDHFVRAFHDTYGMPVVVTNCSNNYGP----------YQFPEKLIPLFINNIRHRKPLPVYGKG------ENVRDWLYVEDHARAIDIFHEGKIADTYNIGGFNEWKNIDIIKVVIKTVDRLLGRKEGITYVTDRAGHDLRYAIDSSKLQKELGWEPSLQFEEGIEKTVRWYLDNEEWLNN--- 269957347 -----VLVTGGAGFIGANFVHQTVREDVQVTVLDALTYAGDRASLKITLVEGSITDAALVDRLVAAS--DLVVHFAAESHNDNSLNDPSPFVQTNVVGTFTLLEAVRKHGV-RFHHISTDEVYGDDDPAKFTPETPYNPSSPYSSTKAGSDLLVRAWVRSFGVQATISNCSNNYGP--------YQHIEKFIPRQVTNLIDGIRPRLYGAGQ--------NVRDWIHVEDHNSAVWAIIDRGQI---GETYLIGADGEKNNLEVVQTLLEIFGRADDFDHVNDRPGHDLRYAIDASRLRNELGWTPQYDFRSGLQATVEWYQANESW------ 134867816 FKNKNILVTGGCGAIGSNLVKYLIKTDVKIVVLDNMSSSQEWNLEENNMVKGDIRNDIDLKRCFN-ENINFVFHLAAFFANQNSVDYPEIDLSVNGNGTLKLLQYSHMCKIERFIYASSCSIYGKEPKLPVKEDNSMHLSSPYQITKMLGELYCNYYLNHFSLDVVKARFFNSYGPGEIPGQYRNVIPNFIFWAMQGK-------------EIPITGNTEMTRDFTYVEDIVNGLVLCAVTKDINGE--EFNLASGKEIKIIELAEKINKLVGNNAKIKYFEKRKWDTKRMLASIDKAGKLLGYKPNTQFDDGLNNTIDWFKSNWDLIKN--- 167841169 ----NWLITGGCGFIGTALIRRLLDEGHAVRVLDNLSTGTRADLARVAAYEGDIVDAQL--AVDVATGCDIIVHLAANTGVVPSLQNPRADLGANVIGTFNYLEAARRHGIRRFVFASSGASTGEVE-PPIHEEIAPRPASPYGASKLAGEAYASAYKHAFGIDTVMLRFGNVYGPRKSSVIAKFIRAALVQMPLEIH------------------GDGSQTRDFIYIDDLVDAVM--LASIVPDIGGEVFQIASGAETTIDELAVRLARALERAGIRNLRVTRTDGVRRRFSDTTKARVLLGWQPKVTLEEGLQETVLYFLDNVRA------ 15894018 ----RILIVGGCGFIGSHVVERLYKEGHKTYIIDNLSTGNLKNVVPHKFYNLSI-ESESCEEVFKANKFDAVIDLSSPVVNTNAEASSFE-LTPSVKGITNLLNFSSKYGVKRFIFASSASVYGNN-NLTIKEEAEINPLSPYAVNKYVGEFYTQKWFEIYGLKTISLRISNVFGPRQS-------------IKGEGNVVALFINKALKSSEIDRFGDGTQTRDFIYVEDVVDAIYKALE----SDYTGVLNISTNTEHSLNELIDTLEEFHPI-RKVNYRLNRSGDIKKSKLDNSKAKTELGWDTKYSFRAALEKTYDWYKKN--------- 135572664 ----KIIVTGGAGFIGSHLVDNLYDLGHEIVVLDNFSTGRKENLTHLDKIECDLSIPGQWQKSF--EDVDTVYHLAALADVVPSIQKPLDYFKSNVDGTFNVLQAAREYNVSKIIYSASSSCYGLAENFPTSEKEKIDTQYPYALTKRLGEELVLHWAKVYKIRSVSLRFFNVYGPRART---------SGTYGAVFGVFLKQKLSNAPF---TVVGDGNQTRDFTYVSDVVDACISVLDLEIL---SEIFNVGSGKTVSVNQIADLI---GGKDYKRSFIPKRPGEPDCTFADISNIKSKTSWYPKIDIEVGVKK----------------- 89067499 ---RTILVAGGAGFIGSHLCAALLEEGHRVIALDSYQTGTRHNVAGLLGHRFRLIDGEVETLPPISGRIDRIYNLASPASPPAYQADPVRTMMTNVVGT-NNLLALAEAKGARLLQASTSEVYGDPEVHPQPEGSCTGPRACYDEGKRAAEALCYDYLRAGRTDVRVARIFNTYGPNM--------QCDDGRIVSNLICQALSDEPMTIYGTGQQT------RSFCYVADMVAGLMALMEVPETPDAPVNI--GNPGEFTILDLAELIRSMVPTSAHPVFRPLPKDDPQRRRPDISRAKALLGWEPRVPLEQGLKETIPYF------------ 142909705 --NNTALVTGSSGFIGFHLSSLLLSCDWKVVGLDSMTNYYDVNLKKARLAKLNVQNERLLEKIFFKHKPSIVVHLAAQAGVRYSIDQPLSYVESNLIGTFQILEISRKYNPKHLLIASTSSVYGSNKEFPLNENQRTSPMSFYAATKKSNEIMAHSYSHLFNIPITIFRFFTVYGP----------WGRPDMALFKFTKNILADKPIDVYNKGNMVRDFTYVSDLIPKKPNSRKVIFKNDSISDVAPFRVVNIGNSQPVNLLDYIKELERVLQRNAKKNFMDMQDGDIHKTHSDISLLETLTGFSPKTTVRQGITEFVKWYKSYY-------- 182411999 ----RILVTGGAGFLGSHLCDRLVADGHDVVAIDNLFTGRKANLQHLPHPRFEFVRHDVIDPF--KFEVDQIYNLACPASPPHYQYNPIKTTKTSVMGAINSLGLAKRVKARVFQ-ASTSEVYGDPSVHPQPESYWIGKRSCYDEGKRCAETLFFDYHRENKVDIRVVRIFNTYGPRMY--------EADGRVVSNFIVQALRGEDLTIYGD------GSQTRSFCYVDDLIEGFVRFMAQTETVGP---MNLGNPGEFTMLELAELTLKLVGGKSKIVHLPLPADDPKQRQPDITLARQLLKWEPKVALEDGLKRTIEYFR--PR------- 227872030 ---KTI-VTGGAGFIGGNFMHFVVNADEEWICLDSLTYAGNEGKKNYRFIKGDITDRAFIFDLFAKEKPDVVINFAAESHVDRSLKDPEIFLKTNVLGTVCLMDACREYGIKRYHQVSTDEVYGDRTDLFFTEDTPIKTSSPYSSSKGSADLFVLAYHRTFGLPVTISRCSNNYGP--------YHFPEKLIPLVISRALNNESIP--------VYGKGENVRDWLYVTD----HCKAIDLIVRKGRGEVYNVGGHNERTNLEVVKTILRALDKPESIHYVTDRPGHDMRYAIDPTKLETELGWKPEYTFDTGIPVTIQWYLDHKDWWE---- 143118630 ---KKIIVTGGLGFIGSNLIELLLKKNFYIINIDKGTYSSNLYNIKDFKKSLDISNK-KIKKIFTKYKPSGIFNLSAETHVDRSIDNPGNFIQSNIVGVYNLLECFKNFNKSRFIHISTDEVYGDVLNGRSSEKYPYQPSSPYAASKAASDHLVSSYIRTYNLPAIVTNCSNNYGPR--------QHPEKLIPKLIYNIMNNRPLP--------IYGKGKNSREWIYVKDHCEALIKVFQK---GKVGEFYNIGSNKNLNNLQVTRELIRTLGKKVKINFVKDRPGHDIRYALNSNKIKKKLKWYPKTSFSKGIKMTLNWYKSYYKSLSKK-- 308813917 ----TILLTGGAGFIASHVVRLLAEKKYKIVVLDKLDYCSTRHLLNVEFIKGDIRSVDLLNFIFQKNTIDVVLHFAAQSHVDNSFGNSFEFTKNNVEGTHALLEAKRAGTVTRFVHVSTDEVYGEEHDSSNTEHSLLAPTNPYSATKAGAEMLVMAYGRSYGLPFIITRGNNVYGPN--------QYPEKAIPKFSILAATGQKIS--LHGDGLAT------RSYMHVDDAAAAFDCILHRGT---VSHVYNIGAHEERTVLSVARDICEILRRDPTITHVRDRAFNDRRYFIDCSKLLA-LGWTQRVSWEEGLRKTVEWYS----------- 136095658 ----NLLVTGGAGYIGSHVVLEAIDCGFNVTVFDDLSTGSKLNLDRVQFFKGSTLSNSDLSKLFNAKEFDAIVHLAASKAVGESMLYPEKYSTNNIIGSLNLLNMCTKHKISAFVFSSSAAVYGYPQSELINEDHPLLPINYYGHTKLQIEENLKWFANFCGFKYASLRYFNAAGYDLNGKVRGLENNPQNLIPIVMESTIGIRSKIEVYGGDYNTRDGTCVRDYIHVSDLAKGHIDSINYILQSNESLTINLGTEAGYSVVDIINKTSEISNQKICYNIVDKRDGDPDTVVA---------------------------------------- 149174382 -----VLVTGGAGFLGSHLCDRLIEQGREVICLDNFFSGSKRNIAHLIGHRFELIRHDIVHPFY--LEVSEIYNLACPASPVAYQYNPIKTIKTSSVGMVNVLGLAKRCRAKVL-HASTSEVYGDPEVHPQVEEYWLGPRSCYDEGKRIAESLCINYHQAHEVPIRIVRIFNTYGPRMD--------PNDGRVISNFINQALRGEPLTIYGDGQQT------RSFCYVDDLIEGFLRMMNQEETTGP---VNLGNPVENTMLELAQAVIKSVNSESELVHETLPTDDPKQRCPDISKARKFLKWEPEVALKDGLAKTVEYYRN---------- 220924197 MKNKRILITGAGGFIGSHLAEEAVRRGAEVTALDNLADADPDLTAGMRIVFGDVNDPEFVLSLVEGQEI--VLHLAALIAIPYSYTAPRSYVRTNIEGTLNVLEAARRVGVERVVHTSTSEVYGTAQYVPIDEVHPLQGQSPYSASKIGADKVAESYYRSFDVPVVTVRPFNTYGPRQSARAFIPTV-----------------IGQALRGGEIKLGATSPVRDLTFVADTAAGFLAAATTPGLEGGT--YNLGVGSGQSVGEVAEMILRLMGSSARIVTDIQRKSEVGRLVSDNRRFFAASGWAPAIKLEEGLARTIDFFRRNPN------- 116327928 ---KKILVTGADGFIGSHLTEALVRLGFEVKAFVYYNSFNTWGWLDYCSSDVDVRDPNGVRTAMK--GIDAVLHLAALIGIPYSYHSPDTYVDTNIKGTLNVLQAARDLNLSRVIHTSTSEVYGTAQFVPITEEHPLKGQSPYSASKIGADQLAYSFYSSFSTPVIIVRPFNTYGPRQS---------ARAIIPTVITQLLSGKTKIQL-------GSTSPTRDFNFVTDTVNGFISAL--KSKQGLGEVINIGNGFEISVGDTVKLIASILDKEVEIVSDVNRISEVERLWSSNQKAKDLFGWQPAYSFKRGLTETIEWFR-YPENLKS--- 136092553 -----VLVTGGAGFIGSHLCRALLEQSHEVICLDNFFTGTRSNIH-------DLLDFKNFEVIRQDVEADFIFNLACPASPIHYSTDPVQTVRTNVIGALNVLELARRLRIPVFQ-ASTSEVYGDPEISPQKETNPIGPRACYDEGKRCAETLFFDYRRQYELDVRVGRIFNTYGPRM-------HQQDGRVVSNFIVQALNGDD-------ITIYGDGSQTRSFCYVDDMVQALIKLM--SLTKPLQMPVNLGNSSEITIDSLAKVIVDMVGNDCNINYLPLPVDDPKQRQPDVNLAKRILDWEASTSLNNGLELTIDYFQA---------- 237709711 ---KTYLVTGAAGFIGANYLKYILAKHDDVVVLDALTYASDIDNERCFFVKGDICDRDLADQLFAEYKFDYIVNFAAESHVDRSIENPQLFLQTNILGTQNLLDAARRAWVTRYHQVSTDEVYGLGAEGFFTETTPLCPHSPYSASKTSADMIVMAYRDTYKMPVTITRCSNNYGP--------YHFPEKLIPLIIKNILEGKKLP--------VYGDGKNVRDWLYVEDHCKAIDLVLRKGR---EGEVYNVGGHNEKENIQIIATIHRMWINESLITFVKDRLGHDQRYAIDPTKITNELGWYPETKFETGIVKTIQWYLENQAWVEN--- 50549937 FAKKRILVTGGAGFVGSHLVDRLMLMGHDVICVDNFFTGKANIVHWMGHPNFELIRHDVVDSLL--VEVDQIYHLACPASPVHYQSNPVKTLKTGFFGTYNMLGLAKRVKA-RILIASTSEIYGDPEEHPQKETYWIGPRACYDEGKRVAETLAYSYEKQDGVDVRVARIFNTFGPRMN--------WNDGRVVSNFILQALKDENLTIYGDGQSTRSFQFVLDLIDG----------LIKLMNSDYSGPVNLGNSEEYTVKDFAEKIIKLVKCTSEIIMLPGLEDDPHRRRPDTSLAKKELGWQPKWSVEDGLKETIGYFQR---------- 269986679 ----KLIVTGGYGFIGSNFILYWLKRKDEIINVDKLTYAADPDNLKDTFINGDIADKEFVERTFK--DADAIINFAAESHVDNSIKNSSLFISSNIVGVHNILETVRKTGI-RFHQISTDEVYGLNSKEKFNENSKYNPRNPYSATKAAADFLVRAYYNTYKLPVTISNCSNNYGIN--------QHPEKLIPKTLLNAYLDESIP--------VYGNGKQIRDWIFVEDHCSAIELIIQKGV---YGETYLIGENGEKRNIDVIRTILKTMKKPDSIKFVEDRPGHDVRYAIDSRKIQKELKWKPKFAFEKGIKITIEHYLKNKERY----- 310622523 ---KTYLITGCAGFIGSNFVHYMLKKYPEIVNLDKLTYAGNEGDPRHVFVQGDICDKELVEGLFQKYDFDYVINFAAESHVDRSIKNPEIFVQSNVMGTVNDADAKTWKEGKKYLQVSTDEVYGLGADGYFMETTPLCPHSPYSSSKASADMFVMAFHDTYGMPVNITRCSNNYGP----------YQFPEKLIPLMINNVKHHKQLPVYGDGMQIRDWLYVEDHCKAIDMVANGGKIGEVYNVGGHNERPNIFIVKTI-INQLHDRLQDEGISEDLIKHVADRLGHDRRYGIDPTKIKNDLGWYPETPFEKGIVLTIDWYLNHQEWMD---- 143429173 ----KILVTGCAGFIGSHLSETLIKKGYKVVGIDNLSNGKLRNNKNFNFLKCNVGDKEKMSQVLS--QVDIVYHLAALADIVPSIKNPDVYFNSNVNSTFNLIRGCEKKKIKKIIYAASSSCYGIPEKYPTSESCPILPQYPYALTKRLGEQIIFHFGDLYKIPVVSLRLFNVYGPRART---------SGTYGAVFGVFLAQKLANKPF---TVVGDGKQTRDFTFVEDVVNAFIEMLDDKIN---NEIFNVGSGQTYSINSLIKLLGK-----NKIVKIPKRPGEPDCTFADISKILRKTKWKPTISFEDGVKKLLN-------------- 135265109 ---KVWLITGVAGFIGSNLLETLLKLDQTVVGLDNFATGHQRNLDEVQREEGDIREYATCEQAVKNV--DYVLHQAALGSVPRSIADPVTSNAANITGFLNMLQAAKEEGVASFTYAASSSTYGDHPALPKIEENIGNPLSPYAVTKYVNELYAGVYARTYGFKTIGLRYFNVFGKRQDPNGAYAAVIPKWTASMIQGE------------DVFINGDGETSRDFCYIENTVQMNILAATAPDDAKD-QVYNVAVGDRTTLNDLYAAIKTALQVDAEPVYRDFRAGDVRHSQADISKATNSLGYQPQYRILQGIEQAMPWYK----------- 227890973 LTGKRILITGGAGFVGANLILSLLRKGVNILTVDNMNDYYDVSLKEWRLQQGDIADAGLVNQIFTDFKPDIVVNLAAQAGVRNSITNPDAYIKSNIIGFYNILEACRHSYVEHLVFASSSSIYGDGKEIPYKIDSNTDQISLYAATKKSDEVLAHVYSHLFGIPVTGLRFFTVYGPG----------GRPDMAYFKFTKKLINDEKIQIFNYGNCRRDFTYIDDVVEGVKRVMAGVPEKSEQDLEPAYRIYNIGNHHPENLMEFVQILQDEYDFEGHMELVPMQPGDVAVTYADISELEKDFNFKPDTKLRVGLKRFIKWYRDFYK------- 142484605 ----RILITGGAGFLGSHLSKRLLKEGHEVICMDNFFTGRKRNILDFEMMRHDVTDPFKVDQIYNLACPASPVHYQ---------YNAIKTIKTSVMGAINCLGLAKRVNARVFQ-ASTSEVYGDPSVHPQPEAYWIGIRSCYDEGKRCAETLFMDYRRQNGVDVRIARIFNTYGPNM--------CPDDGRVVSNFIVQALQGHDITVYGE------GEQTRSFCYCDDLIEGFIRMMNQDETIGP---MNIGNPSEFTILELAEKVIKLTGSQSKIIHQTLPSDDPKQRQPDITQARQVLDWEPKYSLDEGLKPTIAYFDKF--------- 296125327 ----TVLVTGGCGFIGTNFIKYIFEKDIKVINIDDLTYAGNIKNLRYYFERVNICDAKKVNSIFQKYKPDCVVHFAAESHVDRSIFGPKNFVETNIIGTFTLLEAARNLWKDNFHHISTDEVYGLSDTGYFYETTAYDPRSPYSASKASSDHIVKAYYHTYNLPVTISNCSNNYGP--------YQFPEKLIPLMILNIIEEKYLP--------VYGDGKNIRDWIFVEDH---NNAVLDIINKGRVGETYNIGGENEMTNIDMVNILCEKLASKMNIKFVKDRAGHDRRYAINCEKIKNELGWKRQYDFNTAIDITIDWYLNNKEWVYD--- 168699281 ----RCIVTGAAGFIGSHLCERLLADGHAVTGIDCFTDYYPRHLIDKPHFTLRELDLSQGVPADVTAGAEWVFHLAAMAGLTRSWLDFDSYNRHNLTATHRLLESLKGSPTKRVIYASTSSVYGKYASG--DESLPTRPGSPYGITKLAAEQLCRVYADEFGVPSVVLRYFSVYGPRQRP----------EMGYHLFINAILQGKPIKLTGDGLQVRGNTYIDDCVEATVRATQAM----------PGEAFNLGGGELVTVLEVFKKLERIIGKPAIIERHPARAGDQLSTGADVTKLFKHLGWKPTTGTDEGLAKQVEWQK----------- 83814755 ------LITGGAGFLGSHLCDRLIEEGHSVVCMDNLITGNIEHLFELGQDRFRFVEYDVTDYLHVGGELDYVLHFASPAAPDDYLQYPIQTLKVGALGTHKALGLAKAKDA-RLLLASTSEVYGDPLVHPQPEDYW-GNVNPYDEAKRFGEALAMAYHRYHGVETRIARIFNTYGPRMR--------VDDGRALPTFMGQALRGEPLTVYGDG------SQTRAFCYVDDLVEGLYRLLMSDWAEP----VNLGNPDEITIKEFAEEIIEVTGSDSDITYEPLPEDDPQVRQPDISRAKEVLGWAPEVDRREGLERTLEYFKA---------- 135639852 ------LVTGGAGFIGSHLVDKLLEMGHQVTVVDDESSTCNQEFYWNWNVRADVSDAKVMEQVFSCVKIDWVFHLAAYSRIQIALQNPVGCVQTNVLGTTTLLQYAREHGVKAFINSSTSSSYGLKNEPPLREDMTPDCLNPYSVSKVAAENMCKMYSDLFDLNIVNLRYFNVYGDRQPLV------------GQYAPVVGLFLEQWKRGEKLTIVGDGEQRRDFTHVRDVVRANIAAAERASDIS-GEIINVGTGTNHSVNQVANMI---TNGVHDFEYIPPRPAEARETLADISKAKKLLGYMPTIDLSDWIDE----------------- 225010351 LTKKRILITGAAGFLGSHLCDFFIEKDFHVIGMDNLITGDLKNISHFSLPHFEFHHHDVTTFVHVPGALDYILHFASPASPIDYLKIPIETLKVGALGTHNLLGLAKEKGA-RILVASTSEVYGDPLVHPQTEDSPVGPRGVYDEAKRFMESITMAYHRFHGLETRIVRIFNTYGPRM-------RLNDGRVVPAFMGQVLRGED-LTVFGDG------SQTRSFCYISDQVAGIYSLLM----SDYAEPVNIGNPNETTILEFAQEIQRLSGTDQKIVFKPLPQDDPLQRKPDISLAKKVLDWTPKVSREEGIAKVFDYFKSLP-------- 298290574 --DRRVLITGGAGFIGSHLCDRLIEGGAYVICLDNFSTGRRHNVEHLVGHRHDVIDPIAVD-------VDQIYNLACPASPTAYAADPVHTTKTSVLGALNLLKLATENGA-RILQASTSEIYGDPQVSPQPEVDPTGPRACYDEGKRCAESLFFDYARRFGTRIKIARIFNTYGPRMRG--------DDGRVTSNLIIEALRGTDMTVYGD------GSQTRSFCYVDETVEALIRLMATP--DGVEGPVNIGNPDERTIQDFAGVVQRMTGSSSRISHRPLPVDDPRRRCPDISEATRLLGWVPTISLEAGLALTIDYFRE---------- 294953657 --SQHVLVTGGAGFIGSHVAEALLRRGCRVTSVDSLNEYYDVSIKKYAFHCIDLTNASAIEGVLDKHEVDVICHLAAQAGVRYSIDHAAEVVAANITATVNVFELARKRNIKN-------------------SESADKPISQYAATKRSCELFAETYAHLYGLDIIMLRFFTVYGPR--------GRPDMACFKFIDAIENGR--PITKFGDGSMVREFTYISDIVERPDGRGVIQGRMCDGWYRLEVALVNLGGGSCHTLNEFIDTIEAELGRKAVIQQMPTQPGDVFMTSADQELAKRILNFTPRVSLREGIRATVEWYRQWKR------- 32455987 ----RALVIGGCGFIGSHVVDVLHQAGMGLRVLDRRPEAFRAPVPGVEYVYCDMQDRAQLFEAVSGV--DAVVHLASTTVPATSNLDPVADVSGNLVTTLSLLEVMRAAGVRRMVYLSSGTVYGVPQQDLVSEDHPLNPISSYGIVKVAVEKYLFMEHQLHGLEYVVLRASNPYGPRQGHRGIQGLIGTHLWRLSRQE-------------EIEVWGDGSIVRDFLHVRDLAQLCLLAM----TSGKSGIFNAGRGQGASVAEVVEQICATVGRSVAPIYKPGRNFDVPRVVLDTTRARAELGWQAEITLQDGIAETWDW------------- 284028668 MTSSHVVVTGGAGFLGSHLCERLLGEGHRVTCLDNFLTGKPENVAHLLEDPAFTLTRADLTEFVHVPGPDLVLHFASPASPIDYLRLPIQTLKVGAIGTWHALGLAKEKGA-RFVLASTSEVYGDPQVHPQPEDYWIGPRGVYDESKRYAEALTTAYRGAEGVDTAIVRIFNTYGPRMR--------PNDGRAIPTFIRQGLAGEPLTVAGD------GSQTRSVCHVDDTVDGIL----RLAASGHPGPVNIGNPDERSVLRIAQDVIAATGSRSTIEFVDRPVDDPGVRRPDTALAEELLSWKPAIDWREGLSATVAWFRE--QDLSQE-- 85858242 -ADKKVLVTGAGGFIGSHLVERLAGEGASVRAFVRYNSAPPEILSRIELIGGDLRDSDAVRKAVEGCRI--VFHLGALISIPYSYYHPVEVAETNLMGTLNVLLACRDLGVERLIHTSTSEVYGTAQRVPIDEAHPLQGQSPYSASKIGADKLAESFFCAFSVPVVTVRPFNTFGPRQS---------ARAVIPTIITQVLAR--------QSIRLGNLETTRDFTYVDDTVSGFLCAAQKEGIEGMT--FNLGTGSEIAIGVLARTIIEKIGVPVSVELDPERLSEVMRLLSDNSRARSQLGWGPEVGLDEGLNRTIAWIREN--------- 226950131 ---KIYLVTGGAGFIGSNFIIYMLNKYNEIINLDKLTYAGSENKNNYMFIKGDISDKNLVDNVFKQYDIDYIINFAAESHVDRSIQEPQIFVETNVLGTVNLLNTAKKYWIFNDVFVSTDEVYGLGKSGYFTEDTPLDPHSPYSASKASADLIVRSYYDTYKMPINITRCSNNYGP---------YQFPEKLIPLIINNYLNNKP-------IPIYGDGKNIRDWLYVEEHCRAIDMIIQ---NGRMGEIYNIGGYNERENVYIIKYIIEVLNKNKLIKYVKDRKGHDKRYAIDPSKIENKIKWESQISFEDGILKTVNWYLNNKQWLNK--- 111221477 MTSMRVVVAGGAGFLGSHLCERLLAGGAEVICVDNFLTGRPENVDPLRALDGFRMLRRDVTGPVDVAGPDTVVHLASPASPVDYRALPLETLAVGAWGTRRLLELARRKGA-RFVLASTSEVYGDPQVHPQPEGYWVGPRSMYDEAKRFAEALTTAHRATHGTRTGIVRIFNTYGPRMRA--------DDGRVVPTFITQALRGRPVTVAGDG------SQTRSLCYVDDLVDGLVRMLDAE----HPGPVNLGSPRELSVLELARLVVGLCGEQVPIVFVPRPPDDPSVRRPDVTLADEVLDWRPAVDLADGLARTVGWFRE---------- 296274084 ---KTLLLTGTAGFIGSNFVPYFLEKEYNLINLDLLTYAECNENPRYKFIKGDICNRELVEFIFDEYDIRGVIHFAAESHVDNSIKNPAIFIQTNVHGTFTLVDVAKNYWMCRFHHISTDEVYGTDETGLFTEETPYSPNSPYSASKASSDMIIRSYKETYGLNTVITNCSNNYGP----------KQDDEKLIPTIIRNALKGTPIPIYGDG------KNIRDWLYVLDHCKGIDLVFHF---GKKGETYNIGGGNEKTNFQIVNTICEILDTKVPITFVEDRAGHDRRYAIDAKKLEIELGWKVDESFDNGILKTIEWYLK---------- 167395152 -QPKVILITGGAGFIASHVVIHFVNEQCTIINVDKLDYCNLEEIQDAPNYKFDITDHHTMQKIFEMEHVDTVLHFAAQTHVDNSFGNSFQFTHNNIYGTHVLLEIAKANHIKRFIHVSTDEVYGQVIGNAATENSLLNPTNPYSATKAGAEFIARAFYQSFGLPLIITRGNNVFGPH--------QFPEKLIPKFITLLDRGQNCPLHGCGEE--------KRSFIYVQDVVNAFDLILRKGII---GQIYNIGTTREISNNEVAHTLLDIFEQDSRIYHVKNRCFNDQRYSLDVSKLEK-LGWRATTSFEEGLKKTVEWYLEHRNNWERTDE 136502516 ---------------GANFVLEWLRLGLRVVNLDKLTYAGNQHNPQHVFVHGDIGDQALLSKLLHEYQPTAIVNFAAESHVDRSIHGPEDFIQTNVLGTFHLLEAVRAYWAWRFLHVSTDEVYGTPDAAAFTEQHAYAPNSPYSASKAASDHLVRAYHHTYGLPTLTTNCSNNYGPH--------HFPEKLIPLVIHNALTGKALP--------IYGSGLQIRDWLYVTDHCAAIRRVLEA---GKVGEVYNVGGWNEMANIDVVKTLCRILDAKKQISFVEDRLGHDQRYAIDASKLHQELGWKPAESFDTGIEKTVDWYLANQAWVNN--- 145588449 ----KILITGGAGFLGSHLTEKLLKEGNDVLVVDNYFTGTKEHLLPNPKLELMRHDYVETNQIYNLACPASPVHYQ---------YDPVQTTKTSVHGAINMLGLAKRTRA-RILQASTSEVYGDPEVHPQPEEYWIGIRSCYDEGKRCAETLFFDYNRQHNLDIKVVRIFNTYGPRM--------HPNDGRVVSNFIVQALQGKDITIYGDGQQT------RSFCYVDDLIDAMVKMM--NSEDGFTGPVNIGNPGEFTMLQLAETVLKLSGSKSKIIHQPLPSDDPKQRQPNIELAKAKLGWEPKVNLEDGLKETIAYFRK---------- 126654911 ----RILVTGGAGFIGSHLIDRLMAQGHEVLCLDNFYTGHKRNILKWELIRHDITEPIRLE-------VDQIYHLACPASPIHYQHNPVKTIKVNVLGTLYMLGLAKRVNA-RILLASTSEVYGDPDVHPQPEESCTGLRACYDEGKRVAETLAFEYHREHKTDIRVARIFNTYGPRM--------LENDGRVVSNFIVQALKGNPLTVYGD------GSQTRSFCYVSDLVE----GLIRLMNGDYIGPVNLGNPGEYTILELAQIIQGMVNPDAELVYKPLPQDDPKQRQPDITKAKTYLDWEPTIPLKEGLELAIKDFRE---------- 154415493 ----RVLVTGGAGFVGSHLVARLMEQGCQVTVLDNLFTGRLENIKRFKFIQADVIDPIDI-------PVDKIFHLACPASPPAYMKDPVHTLETCVTGTHNMLKLAQKYNA-RMLYTSTSEVYGDPLEHPQSEKNCRGIRSCYDEGKRAAETLCFEYGRK-GVWIRTARLFNTYGPNMD--------PKDGRVVSNFIMQALQGQDLTIYGTGDQT------RSFTYVSDTVAGLLALIDSNIKGA----CNIGNPHEFTIKQFAELVQQRVNQNVKIIYMEKAADDPRQRKPDITKAMRKLGWEPKVMLEQGLDPTIAYFRTY--------- 187919410 ------LITGVAGFIGSNLLEALLKLDQTVVGLDNFSTGYPEQWKRFRFIEGDIRRLEDC--VSAVHGVDHVLHEAALGSVPRSVADPIATHEVNISGFLNMLVAARDAQVSSFTYAASSSTYGDHLGLPKVEDQIGQPLSPYAVTKYANELYASVFARAYGFKTIGLRYFNAFGKRQDP-----DGAYAAVIPKWTAALIAGDD-------VLINGDGETSRDFCFV-DNVVQANILAAMSDETARNEVYNVAVGDRTTLIQLYDGLRAVLRCDKRPVFGPFRAGDVRHSQANVDKAERLLGYENRISFVEGLSRAMPWYIEF--------- 239933063 ----HAVVTGGAGFVGSHLCAALLDAGAAVTCVDDFSTGRPENVPGFTLVRADVAEELPVRR-----PPDLVLHFASPASPADYLRLPLHTMETGSLGTRNALRLARSAGA-RFVLASTSEVYGDPQQNPQNERYWVGPRSVYDEAKRFGEALTTAHAETHGTDTCIVRLFNTYGPRMRG-------HDGRAVPTFVRQALAGEP-------LTVTGDGRQTRSLCYVDDTVAGVL----AAAAHGMRGPVNIGNPGEITMLDLARLVVRLAGSESRIRFVERPVDDPAVRCPDITLALDKLGWEPEVDAEEGLRRTIAWFRA---------- 168701377 ------LVTGGAGFIGSHLVEDLVRRGRPVRVLDDFSTGLRDNLARVEVVEGSLTDAAAVARAVKGAG--VVYHLGALASVARSVEAPAVTHAACATGTLNLLDAARKNGVRRVVYAASSSAYGGHAPGGQTEDLPLVAKSPYAAAKLAGELYMQAFAHTYGIETVRLRFFNIFGPRQ-----RADSPYSGVIALFAAAMIAGRAPS-------IQGDGTQSRDFTFVANAVHALTRAADAPDASGN--VYNVGTGRSVTLLELVAALNRILGTDLRPTFGPSRAGDVKYSLADIRRTRADLGYAPAVSFEDGLRRTVESHLA---------- 6633809 ---------------------------------------------------VDLRDKPALEKVFSETKFDAVMHFAGLKAVGESVAKPLLYYNNNLIATITLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEESPLSGMSPYGRTKLFIEDICRDVQRGDPWRIIMLRYFNPVGAHPSGRIGEDPCGPNNLMPYVQQVVVGRLPNLKIYGTDYTTKHMNHVRDYIHVVDLADGHICALQKLDDTEGCEVYNLGTGKGTTVLEMVDAFEKASGMKIPLVKVGRRPGDAETVYASTEKAERELNWKANFGIEEMCRDQWNWASNNPFGYGS--- 143704165 --SKKIVITGGCGFIGSHLVE-LLSESNEVIAFDRYNSESDHYWLTNSKFKGDIRDYDSVQSVLKGA--DVVIHMAALIGIPYSYVSPLAYIRTNVEGTYNVLQASKELELDQVMITSTSETYGTAQYVPMDEDHPKVGQSPYSASKISADQIAISYYRSFDLPVTIVRPFNTYGPRQSS---------RAVIPNIVSQILTNNGEVNL-------GNISPTRDFTFVEDTCSAFESIIGCNKLIGEEVNI--GTNSEISIRDLVEEISNIIGIEAKIVEDDQRKSEVDRLVCKNEKLLACTSWKPKHSLEQGLIKTIDWIKK---------- 142181633 MKKGRALVTGGAGFIGSHLCEHLLDDGYDVLCVDNYYSSNIAHLMGNPRFEVIRHDVT----FPLYVEVDEIYHLACPASPIHYQRDPVQTTKTAVHGSINMLGLAKRTGA-KILLTSTSEVYGDPLVHPQTEDYWIGPRACYDEGKRAAETLFFDYRRQHDLPIKVVRLFNTYGPRM--------HPHDGRVVSNFVVSALSGKPLTVYGDG------SQTRSFQYIDDLIRGMVTMM--ATDHEVTGPINLGNPGEFTIGELAAMVLEQTGSSSTINYFPLPQDDPIRRQPDISRAKETLGWEPTIPLTDGLARTIEYFR----------- 142522267 ---KRIVVTGGCGFIGSNLVKFLLKKKYKVLNIDNLSYSANPYNLKNKFVKQNINDKEKIYKIFKKFKPEGIFNLAAETHVDRSIDDPKNFINTNIIGVYSLLLAKKIKKKIKLVHVSTDEVYGDNFGKKSKEKYPYTPSSPYAASKASADHLIKSFFRTYGIPAVISNCCNNYGPN--------QFPEKLIPKLIYN--ILQNKPLTLYGRG------KNIREWIYVEDHCEALLKILEK---GKVGESYNVGTSDSFKNIDIAKKLLKIFNKKIKIRFVKDRPGHDIRYSLDYTKIKKKLGWRKKTKFEEGILKTVYWYLNNQEFFSS--- 134926031 -----ILVTGGCGFIGANFIIKCLQTNDLPIVLDNLTYASIRDNKNYFFEKGSIEDFSLVSSLLKKYQPQVVINFAAESHVDRSIADSNEFISTNIIGTHILLKASFDFFNFKYIQISTDEVYGLDSDPQSSEDSAYFPNSPYSASKASGDHLVRAWHETYGLPTITTNCTNNYGP---------FQHQEKLIPLLIHNCLENKK-------LPIYGDGSNIRDWLFVEDHCDALTVILEKGIS---GETYNIGGKNEIKNIEVVKKVCDLMDELSPITFVDDRLGHDYRYGLNISKIEKELNWSPKENFESGLKKTVEWYLN---------- 85705035 ----RVFITGTAGFIGYHLADLLLNEGMTVHGLDGMTDYYDVSLKRRRHQEAMLEDQAAVDAAIDACAPDIIVHLAAQAGVRYSLENPRAYIDANIVGTFTVMEAAKRHAVCHLLMASTSSIYGANTDMPYAETMKADSMTIYAATKKATESMGHAHAHLWNLPTTMFRFFTVYGP--------WGRPDMAYFKFVEAILAGRPIDIYNHGEMY--------RDFTYVGDLVRGIRLLMDAAPVRPDYRIVNIGNSQKVRLLDFVAAIEDALDRPAIRNMMEMQKGDVPATWADAQLLKRLTGYQPNTEIHDGIAQFVTWYRAYYQ------- 146279469 ----KLLVIGGCGFIGSHVVDLLLQEEVRVRVFDRRPEAFRAPLPAVDYVMGDYTDPTQLFEAVR--DVDAVLHLASTTVPATANLNPVADIEGNLVATVRLLDVMRATGKRRLVFLSSGTVYGVPEADPVPEDHPLRPISSYGIVKVAIENYIRMEQALHGIEPVILRASNPYGPRQS-------HAGIQGIIGTHLWRAARGEPVEVWGDGQVT------RDFIHVRDLAELCVRALRSHT----SGCFNAGSGTGTSVAEIVATVRASGGPPVRPLCRPGRAFDVPRVVLDISRAREAFGWAPRIGLDEGLAESWRW------------- 134991159 -----ILVTGGAGFIGSNFLHYLSTVTDEVLVVDNLTYADLRFIPGSPQFEFDITNENHVDHVFKKYRPSKVFHFAAESHVDNSIKNYRPFLESNVVGTINLLNASLGIDVEKFHHISTDEVYGLDDDNLFTEETPYDPRNPYSASKAASDHYVRTWHNTYGLPYLITNCSNNYGP---------HQHVEKLIPKVIFNALRGDKT-------YMYGGGHQIRDWLWVEDHCRAIWMLEEQGIL---NDRFNIGGDCEMQNIEITKMVLDIIDKPHSIGVSDDRPGQDLRYGMSFAKLRARTGWEPLTPLYKGLEKTVQWYLN---------- 142041471 -AGQRVLVTGGAGFLGSYVCERLVMEGASVTCIDSLLTGRKLNVADGRFEFV----KGDVSLGLPQLQVDEIWNLACAASPPTYQIDPVHTMMTNVLGMNHCLMLARKTGARVFQ-ASTSEIYGDPDVHPQTETNTIGPRACYDEGKRAAEALCYDYYRTHGVDVRVARIFNTYGPRMS--------PRDGRVVSNFIVGALNGAPLEIYGDGRQT------RSFCFASDLIDGFFCLMNAERNVGTPVNI--GNPGEFTMIELAEKVLAMTGSTSEIVFRPLPIDDPHQRKPDISVASAELGWRPAVDLDEGLRRTVDYFSR---------- 302038175 ----RILITGGAGFLGSHLSDLLIGQGHDVIALDNLITGRAENISHFSFVKYNVCDYLHVDG-----QLDAVMHFASPASPQDYLEMPIATLKVGALGTHKALGLAKAKGA-RFLLASTSEVYGDPLLNPQPETYWIGARGVYDEAKRFAEAMTMAYHRYHGVDTRIVRIFNTYGPRMR--------PKDGRVVSNFIVQALQGKPLTVFGDGSQTRSFCYVDDLVERTDRTKFLTQKSDAILDS-IHDPVNIGNPRELTVRGIAEIILKITGSKSVIEERPLPADDPKVRRPDITRAKSLLDWEPKVELEDGIRKATEYFRQ---------- 142866455 ---KKVLVTGGLGFIGSNLIELLLKKKYYVINIDKISYSSNFYNKNYKFIKCDIRNK-KINKIFLKFKPDIIFNLAAETHVDRSIDNPSNFIESNIFGVYNLLECFKQLLKKKFIHISTDEVYGDIIKGRSDESYSYKPSSPYAASKASSDHLVYAYIKTYNIPAIITNCSNNYGP--------KQHPEKLIPKLIYNILNNLSLP--------IYGKGNNSREWIFVTDHCDALLKISKFGKVGNFYNIGSNINIKNIKVCNDLINIAKLIGKNVKIKFVKDRPGHDFRYALNSKKIMKELNWYPKTSFRNGLIITFNWYLEYYKSFNKK-- 135509026 -----ILITGGLGYIGSHIAKI---ADEKIVIIDNQSNSQINYKKNLPNAEVVLEDYNNVCKIFKNYNINAVIHLAGSKSVQESIISPLEYYSNNVITSFELLKGMIEFNINKLIFSSSATVYGNTHPSPLREDFQTQYINPYGHTKIIIEEMIQNCCISYNFCAVSLRYFNPIGAHQDGTLGDRPLGKPLNLMPLIIESI-RGKKLTIFGNDYPTEDGTCLRDYIHVMDLAEAHLLCLNKF-SKIKYENFNVGLGKGISVLELIRTFEAVNNVKVNYEFGQRRPGDASISYADNKKFIKNFKWSPKYDYSSMC------------------- 136056422 ----NYLITGGAGFIGSHLVGQLIEKSNQIIVLDNLITGNLKNIKYKKFSNFKFIEHDIQESIYFDEKIDYVIHLASCASPKAYAKYPINTLKSGSIGTINALGISKHHNA-KFFLASTSEIYGDPEISPQDEEYWLGPRSMYDESKRFAESATHSYITTHNLIGNIARIFNTYGPNM--------QIDDGRVVTNFIYQALNGKDITIYGNGDQTRSFSYIDDTLDG----------ILKIIHNEGSDVFNIGNDNEVTVKYLAEKIIELTNSNSKIVHHELPVNDPKRRKPDLTKARKILNYEPKTSLEDGLKFTIDWISKNYK------- 262199233 ------LVTGGAGFIGSHLCERLLDDGHEVVCADNFYTGSEDNIAHLRARPGTLLRHDVVEPV--PCEAERIYHLACPASPVHYQRDPVKTIQTSVLGAMHLLEQCRVTGA-RLLIASTSEVYGDPTVHPQSESYWIGPRACYDEGKRVAETLCFDYQRRDGVDIRVVRIFNTYGPRM--------AMNDGRVVSNFVVQALRGEPLTIYGD------GNQTRSFCYVDELVEGMVRMM---NQDADTGPVNLGNPAEYTIRELAERVLTLTGSSSRVMHQALPADDPVRRKPDIARARTLLEWTPRISLEQGLERTIAYFRE---------- 142945234 ---KKILVTGGAGFIGSHLCKKLIDEGNDVLCIDNYFTGTKKNIPYFEIMRHDICFYVEVDEIFNLACPASPIHYQH---------DPVQTTKTSVHGAINMLGLAKRINVKILQ-ASTSEVYGDPEKHPQSESYWVGPRSCYDEGKRCAETLFFDYYRQHKLEIKVVRIFNTYGP-------KMHPNDGRVVSNFIMQALQNKD-------LTVYGDGSQTRSFCYIDDLVSGLQKMMD--STKDNIGPLNLGNPVELKILDLAKLIIKLTNSKSKIINKELPLDDPIRRKPDITEAKNILQWEPKIDIEDGLKETIGYFK----------- 143305330 ----KIFVTGGAGFIGSNYARVLRHTDHSVTVYDALTYAGNDDNPRFSFAKGNICHPGDLEQAMRGH--DWVVHFAAESHVDRSIESGEDFILTNCFGTNVVIDTARRLGMQRVLHIGTDEVYGSVEVGSSKESDPLEPRSPYSASKAGSDLIALSYHTTHGTPVLVTRCTNNFGA--------YQYPEKAIPLFTTNLLDGKKIPL--------YGDGLNERDWIHVDDHCAGVHLVLEKGV---VGEIYNIGAGNETPNRVLVDKLLALLGKDESVQYVQDRKGHDRRYSVDIAKITK-LGWKRKRSLDEALESTVKWYRDNRWWWE---- 13476536 -KRRRALVAGGAGFLGSHLCERLLRDGYDVVALDNFHTGKRYNLPRFTCIEHDIVDP-----LPAGLEVDEIYNLACPASPAHYQADPIHTFKTSVLGSLNLLELARRSNAKIFQ-ASTSEVYGDPLVHPQPESNTHGPRSCYDEGKRSAETLFFDYSRTYGLDIRVARIFNTYGRRM--------QPDDGRVVSNFIVQALRGEDLTVYGSGLQTRSFCYADDLIEGFIRLMNAPHAPAHPVNLGNPG--------EFTIMELATLVVGYTNSRSKIVHRPLPIDDPRQRKPDISFARDNLGWEPRINLAQGLAHTVDYF------------ 83815883 ------LITGGAGFLGSHLCDRFIEEGHSVICMDNLITGNIEHLFELGQDRFRFVEYDVTDYLHVNGELDYVLHFASPAAPDDYLQYPIQTLKVGALGTHKALGLAKAKDA-RLLIASTSEVYGDPQVHPQSEDYWGN-VNPYDEAKRFGEALTMAYHRYHGVETRIARIFNTYGPRMR--------IDDGRALPNFMSQALRGDPLTVYGDG------SQTRAFCYVDDLVEGLYRLLMSDATDP----VNIGNPDEITIKEFAEEIIEVTDSDSDITYEPLPSDDPQVRQPDISRAREELGWTPEVDRREGLRRTLEYFRA---------- 145594777 ----RILVTGGAGFIGSALVRRLLHRPERVTVLDSFTYAGTEGSLGPRVVRGDVRDVELVDAAVAGH--DAIVHLAAESHVDRSIASAAPFVSTNVGGTGVLLDAALRHRTGRFLHVSTDEVYGSIAQGSWPPSAPLDPSSPYSASKAAADLLALAYHRTHGLDVVITRGANTYGP--------YQHPEKLIPRFVTNLIDGHTLPLYGDGGDI--------RNWLHVDDHCQGIAL---AHRDGRAGAIYHLGSDTARTNRELTGSLLAEFDAGWRVTPVTDRKGHDRRYALDTTETQRELGWKPTVDFEQGLAATVDWYRENRAWWE---- 119503619 --TRSLMVTGAAGFIGANFVYHWVQANDTVVAYDALTYAGNRASLAPHFVQGDICDGDRVSQTLVNYDVDTLVHFAAESHVDRSITGPDAFIQTNLVGTHTLLAAARTHWPHRFHHVSTDEVFGTRDAPAFTEDLRYEPNSPYSASKAGSDHLVRAYHHTYGLDVTTSNCSNNYGP--------FHFPEKLIPLCLTNILDGRPLP--------VYGDGTNIRDWLYVVDHARGIDRVID---SGKSGETYNIGGNNEWANLAIVELLCDHLESRGLIQFVDDRAGHDWRYAIDANKIEKELGFVPSETFETGIAKTLNWYLDNEDW------ 135810397 --KKTILVTGGAGFIGSHLCRALLNFDNKVICLDNLFTGSVENIIENPNFEFVFHD---IIKPYYIDHIDEIYNLACPASPIHYKRNPIKTVKTCTSGVINMLGLAKKHNAKILQ-ASTSEIYGDPEIHPQIENNTIGPRSCYDEGKRCSETLFMDYHREHQLKIKIIRIFNTYGPNMSINDGRVISNFINQAISNKNITIN--------------GDGNQTRSFQYIDDLIKAMIKMM--NSNRGLIGPINIGNPNEVSMNRLASIILKLTESNSKINYYKLPEDDPLRRNPDITLAKKQLKWKPIVELEEGLKKTID-------------- 224477549 ----KVLIIGGSGFIGSHIAEKWHNEGHEVFIVDNLSTGKRENVPFAHFYHDDVKNFDLITELVQKHQFDYIFHMAAMVSVVETVEKPLESNGDNIDSTIHLLEANRQYNNNKFFFASSAAIYGDLPDLPKSETSNINPLSPYAVQKFAGEQYTKIYHSLYGLPTVALRFFNVYGPRQN------PESDYSGVLSIMNQKFLNKAPFTFFGDGKQT------RDFIYIKDLLQAIWIVIQDDATNGK--VYNAGTGNQTDLITVFNAFADYFRYEVPYSFEEARAGDIKHSYSDVTPL-NDLGFNPEYDVMKGIAE----YLKY--------- 257468058 ---KTYLVTGAAGFIGTNFVKYMLEKYIKIVVLDKLTYGNIENIKKIDFVKGDICNRELVEDIFSRYEIDYVVNFAAESHVDRSISNPQIFLETNILGTQNLLEVSKKFWGKKFLHISTDEVYGTYGDKFFTEETPLDPRSPYSASKTSSDMIVRAYAETYKFPMNITRCSNNYGP--------YQFPEKLIPLIIKNILEGKNLP--------VYGDGSNVRDWLYVKD----HNKAVDMVINNGRGEVYNIGGFNEEKNINIIDTIAKIMKEEPEISYVQDRLGHDARYAIDPEKIVTELGWYPETSFDKGIEQTIRWYLENQKWVGE--- 168028758 ---KNILITGAAGFIASHVANRLIRNHYKIVVLDKLDYCSNLKNLFPKFVKGDIASADLVNYLLITEGIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTQIKRFIHVSTDEVYGETEAEAIHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPCITTRGNNVYGPN--------QFPEKLIPKFILLAMQGKPLPIHGDG--------SNVRSYLYCEDVAEAFECVLHKGVI---GNVYNIGTKKERRVIDVAKDICELLDYKKSIKMVDNRPFNDQRYFLDDKKLI-DLGWQERTSWVDGLQKTKDWYTSHPDWWGD--- 168028758 -----------------------------------------------------LENRSSLEADIAAVKPTHVFNAAGVTGRPWCESHKVETIRANVVGTLTLADVCKQNSLVLINYATGCIFEYDEKHPFKEEDTPNFAGSYYSKTKAMVEDLLNEFDNVCTLRVRMPISSDLQNPR-----------NFISKIVRYQKIVNIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEIMEMYKEYIDPELSWVNFTIEEQAKVIVAARSNNEM----------------DASKLKKEF---PEMGIKESLKKYV--------------- 143729440 MNYKKALVTGGAGFIGSHLTEKLHQNNIEVLVVDNLLTGKKENLLSLDLENGDVGSEETLK-IIKNFNPDVCFHLAAQSSVVISVEDPLLDFEHNLLQPVQLIKTLLESDCKQFIFTSSGGTFGEPEVIPTSEDDYAEPASPYGLAKKKLNELIEVMLQNETMSYSILNLSNVYGPRQD------PHGEAGVMSIFTGKLINNETPT-------IYGDGKQTRDYVYVLDVVD----ALIKSSETDDNLFLNIGTGVETSVNELVSILSQKISWDGEPEYAPSREGELLRSVLNNERAKGEIGWEPKYTLDTGLDELISWFSK---------- 124021826 MTKQSLLVTGADGFIGSHLVEYLLNKGCNVRCLYN-SNGSWGWLDRIDKEYGDIRDPICVKNALKGC--DHVYHLAALIAIPYSYMAPASYVETNIMGTLNVIQAARELDVSKVVHTSTSETYGTAQFVPITEDHPLVAQSPYAASKIGADQIALSYWRSFETPVSVIRPFNTYGPRQS---------CRAVIPTIITQIAGGEKRIKL-------GSTTPTRDFNFILDTCSAFYEVGKSEACVG--QVVNAASNYEISIGETVSLIADAMGARVEISSEAERVSEVNRLFGDNSRIKRLTEWRPKYDFKRGIARTVEWFTK-PNNLA---- 11095236 ----RLLVTGGAGFIGSEFVRATLRSGTQITVLDKLTYSGNEDLETYRFVQGDICDRGLVDDVVAGH--DAIVHFAAETHVDRSIEGAASFVRTNALGTQVLLEAASRHRLGRFVHISTDEVYGSISEGAWTEASPVAPNAPYAAAKAAGDLLALAWHRTRKLDVVVTRCTNNYGP--------YQYPEKLIPLFTTNLLDGQQVP--------VYGDGHNRRQWLHVSDHCRAIQCVL---LGGRPGEVYHIGGGTELTNLELTEHILEACGAGWRVRHVPDRLGHDLRYSLDTTKIRTELGYSPRVAFADGLAETVEWYRTH--------- 307293850 ----NLLITGGAGFIGANFVHYWRKAGDRVMVLDALTYAGNAANLDGELVVGDICDTALVTKLLSERQIDTIVHFAAESHVDRSITGPDAFVTTNVVGTHSLLKAAKEVWPHRFHHVSTDEVYGAPDDPAFSETTSYAPNSPYSASKAGSDHLVRAYHHTFGLETTTSNCSNNYGP--------FQFPEKLIPLFTLNALNGRHLP--------IYGDGMNVRDWLHVEDHCRGIELIL---KNGRVGETYNVGGGQELPNIRVVEEICRGVDAAFAHTYVEDRKGHDRRYAIDETKIRSELGYVPARDFTQGFAETLAWYLAEENWWA---- 6469141 -SNMRILVTGGAGFIGSHLVDRLMEEKNEVIVADNYFTGSKDNLKWIGHPRFELIRHDVTEPL--MIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGA-RILLTSTSEVYGDPLEHPQTESYWGNVRNCYDEGKRVAETLMFDYHRQHGIEIRVARIFNTYGPRMN--------IDDGRVVSNFIAQALRGESLTVQSPG------TQTRSFCYVSDLVDG----LIRLMGGSDTGPINLGNPGEFTMLELAETVKELINPNVEIKTVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGL------------------- 86144015 ---KRILVTGGAGFIGSHLCKQLLQDGNEVLCLDNYFTGNKENIPYFELIRHDITEYAEVDEIYNLACPASPVHYQ---------YNPIKTVKTSVMGAINMLGLAKRVKAKILQ-ASTSEVYGDPAVHPQPESYWIGPRSCYDEGKRCAETLFMDYHTQNGVAIKIARIFNTYGPHMN--------IHDGRVVSNFIVQALEGKNLTIFGD------GSQTRSFQYVDDLVTGLTALMGTDVQ--VTGPVNLGNPHECTMLQLAASILDLTGSSSKLVFQPLPQDDPQQRRPEISKARELLGWQPQTGLREGLTETITYFEQ---------- 32472697 ---QRILVTGGAGFLGSHLCERLVSDGHDVICLDNFFTSNVVHLLDKPNFELIRHDIT----LPIHLEVDQIYNMACPAAPGHYQFNPIKTIKTSVMGSINMLGIAKRCGA-RILQASTSEVYGDPEQHPQTE-SYRGSVNPYDEGKRVAETLFMDYHRSNNVDVRIVRIFNTYGPRM--------HPFDGRVVANFIRQALAGDDITIFGD------GSQTRSFCYRDDLVEVIIRMMNC---DGFIGPVNIGNPHEFTIRQLAEKTIELTGSSSKLIEAPLPADDPTRRRPDIALAKEKLDWEPKIELEQGLKHTIDWFK----------- 136179275 MNNKTILITGGAGFIGSNLCEKLLNDNNKIICVDNLFTGNIKNIEHMKNPNFEFINHDIINPFDIDCDIDEIYNLACPASPPKYQFDPINTLKVNFLGVLNMLELTRTKK-TKFLQTSTSEVYGEPEITPQNEENINGIRSCYDEGKRVAETLITEYHKKYNVDVRIVRIFNTYGPKMDKNDGRVVTNFINQALNNEDITL--------------YGNGEQTRSFCYIDDQVE----GLVKLMNSNYVHPINIGNPHEITVKELATLILELTKSNSKIVYKDLPSDDPTNRKPDISKAKRILNWEPKYELEKG-------------------- 136116270 ---KKIIVTGGSGFIGSNLVNYLIKKKYYVVNIDKLTYASRRNKNNYKLIKLDIN-NKKLIKIIKKYKPHAIFNLAAETHVDRSIDEPKNFINTNINGTFNLLESLRFLNKPKLIHISTDEVYGDIKIGRVSENFMYAPSSPYSATKASADHLIKSYVRTYNLNAIISHCCNNYGP--------YQFPEKLIPKMIANIFRNKDLPVYARGQ--------NSREWIHVYDHCEALFR---LYLKGKTGESYNVGTNKNLKNIDLVKKIIKICGNKTKIKFVKDRPGHDFRYALNNKKIQKKLKWRPKINFDQGLRQTIKWYLGN--------- 255575062 -SNMRILVTGGAGFIGSHLVDKLMEEKNEVIVVDNYFTGSKDNLKWIGHPRFELIRHDVTEPLL--IEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGA-RILLTSTSEVYGDPLVHPQEESYWIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRM--NIDDGRVVSNFIAQAVRNEPLTVQAP------------GTQTRSFCYVSDMVDGLIRLME----GENTGPINIGNPGEFTMLELAETVKELINPDVEIAKVENTPDDPRQRKPDITKAKELLGWEPKIKLRDGL------------------- 143605558 ------LVTGGAGFIGSHLVDKLLEMGHQVTVVDNESSICNQKFYWNWNVKADISDAQVMEQVFSCVKIDWVFHLAAYSRIQIALKNPVGCVRTNVLGTTTLLQNAREHGVKAFVNSSTSSSYGLKNEPPLREDMTPDCLNPYSVSKVAAENVCKMYSDLFDLNTVSLRYFNVYGDRQPLV------------GQYAPVIGLFLEQWKKGEAFTIVGDGEQRRDFTHVDDVVKANIAAAERASDIS-GELINVGTGTNYSVNQIADMICNQY----TKNFIPPRPAESRVTLADISKAKKLLGYMPSIQISEWIEQ----------------- 167590853 ---KTWLVTGVAGFIGSNLLERLLNLDQQVVGLDNLSTGYLRNLRRFRFIEGDIRSLEDCRS--ACNGVDHVLHEAALGSVPRSLIDPIATNEVNVSGFLNMLVAGRDAGVKSFTYAASSSAYGDHPGLPKVEDRIGKPLSPYAVTKCVNEMYAEVFARNYGFRTIGLRYFNVFGKRQD--------PDGAYAAVIPKWTIALIRNEPVF----INGDGETSRDFCFV-DNVVEANLLAATADDASRNEVYNVAIGDRTTLNELFAALQAALRNDARPVYRDFRAGDVRHSQASVDKARRLLCYGASVRLFDGIERAMPWYIDY--------- 143130865 ---KNIIVTGGLGFIGSNLIELLLEKKYFVVNIDKITYSSNKNNKNYKFIKCDIGDK-KIKKILFKYKPVCIFNLAAETHVDRSIDDPSIFIKSNIVSTFRLLENFKKFYNSRLIHISTDEVFGDILKGRSSEKYSYKPSSPYAASKAASDHLVNSYIRTYKIPAIVTNCSNNYGPN--------QHPEKLIPKLIYNILNNRPLP--------IYGRGLNSREWIYVKD----HCEALFKVFKKGKGEFYNIGSNKNLSNINVCKKILNVHGNKVKISFIKDRPGHDVRYALDSRKIRRELKFKPKTNFNNGIKLTFDWYKNYYKSFSKK-- 142181763 -ERRRWLITGGAGFIGSHLAETLLDLDQEVVVLDDLSTGSRGNLTHVRFVHGDVRDESLVQALVR--RVDVVLHQAAQVSVPASIETPTLSHDVNVTGFLNILEAARRNG-TRIIYAASSASYGDDSAERKRENHTGRPLSMYAASKTASEAYAAAYHAAYDLPTIGLRYFNVYGARQD------PSGPYAAVIPAWIDATRRGEACTIHGDGHTT------RDFCHVANVVGAILLAALTHHEDALGHVLNVGTGHATSLLELHDAIHQAMHDQQPPAFTPFRPGDVRHSCADVSRARDVLGYAPVVDLATGIDATVA-------------- 295856704 ----NIIVTGGCGFIGSNFVHYVYNNDVHVTVLDALTYAGNLENIKGIFVHGNICDAELLDKIVPGH--DAIVHYAAESHNDNSIANPEPFLKTNVEGTFRLLEAARKYDV-RYHHVSTDEVYGDDDPNKFTEETPYHPSSPYSSTKASSDLLVRAWHRTFGIRATISNCSNNYGP---------YQHVEKFIPRQITNILEGLRPKL-------YGNGENVRDWIHTDDHSTGVWTILTKGRLGETYLIGANGERNNITVLRDILTV--MGQDPDAFDWVKDRPGHDRRYAIDSTKLRTELGWKPTTDFQKGLEQTIKWYTDNRDWWE---- 90021758 ----NILVTGGAGFIGANFVHYWLAKQAKIVVVDALTYAGNKANLFAVFVRENICNTAAIEVLLKKYEINAIVNFAAESHVDRSITGPDLFIETNVVGTHSLLKAAKNVWLHRFHHVSTDEVYGGASQPAFKESSVYAPSSPYSASKAASDHLVRVYHHTYGLNVTTSHCSNNYGP--------FQFPEKLIPLLILNLLLDKPLP--------VYGDGLQIRDWL----SVNDHCLGIDLVLNKGASGQYNLGANNEWANINIVKLIGSKLEQMFNIQYVEDRPGHDRRYAVDASKAMRELGYAPQETFESGIERTLRWYLDN--------- 115361222 ---KRILVTGGAGFLGSHLCERLVELGHDVLCVDNYFTGTKQNVLGNPSFEALRHDYVEVDEIYNLACPASPIHYQ---------FDPVQTTKTSVMGAINMLGLAKRTHA-RVLQTSTSEVYGDPDVHPQPESNPLGPRACYDEGKRCAETLFFDYHRQQNVRIKVVRIFNTYGPRM--------HPNDGRVVSNFIVQALRGENITLYGD------GSQTRAFCYVDDMVDGLIRMMASPADL--TGPINLGNPHEIAISELAQIILRLTGSKSRLVFRPLPKDDPTQRCPDIGLARAHLDWAPTVGLEAGLRRTIDYFR----------- 257387275 ----RILVTGGAGFIGSNFVHHVLDEDDEVITLDALTYAGSKDNLDGEFVEGDIRDHDLVTDLVD--DIDAIVNFAAESHVDRSIEGSKPFVTTNVQGTQTLLDAANEADIDRFLQISTDEVYGQILDGKFSENDSLNPRNPYAATKAGADLLAQSFQTTHDLPVLITRTCNNFGPR--------QHPEKLIPKFIQNADSGEELP--------VYGDGLNVREWIYVEDNCRALDIILR---EGDVGDVYNIGSYAEKTNLEVTEILDAVDADDDLITFVDDRAGHDQRYALETEKI-EALGWKPEYTFEEGLERTVNYYLN---------- 33601900 ----KWLVTGCAGFIGSNLLETLLGLDQAVVGLDNFATGHPEQWARFTFIEGDIRDLAACQRAVQGV--DRVLHQAALGSVPRSLKDPITTNEVNIGGFLNMLVAARDAQVQAFVYAASSSTYGDHPDLPKVEDRIGNPLSPYAVTKYVNELYADVFARSYGFSSVGLRYFNVFGKRQDPD-------------GAYAAVIPKWTAAMIKGEDVVINGDGQTRDFCFVENAVQANLLAAMA-APEGANQVYNVAYNARTTLTELFEHLRRALAYEKAPVYAEFRAGDVRHSQADIGKAGKLLGYEPAYDILRGLEAAMPWYKQFLR------- 143052050 ---KNFLITGGMGFIGSNFIKFVLENTDNVFNLDNMSVGSNIQNLSDFAEELSIND-DSILEILKKHKIDCIVNFAAESHVDRSLENPLDFFNSNAMGTLNLLIACQRFNFIHFHQISTDEVFGSSDDLPFSEENQFQPNSPYSASKASADHLVRAWHHSYGLNVTTSNCSNNYGPR--------QFQEKLIPKIIQSCLNGNHIP--------IYGDGLNIRDWLYVDDHCEAIFKII---TSANFGETYNIGGKNEITNNDIVKKICSILEDLVPVEYVSDRPGHDFRYSIDPKKIENDLDWRPKEDFDSGIRKTIHWYLEN--------- 136543501 ----KILVTGGAGFLGSHLTERLLKEGNDVLVVDNFFTGNKQNLLEIMRHDVTFPLYVEVNQIYNLACPASPVHYQ---------YDPVQTTKTSVHGAINMLGLAKRTRA-RILQASTSEVYGDPEVHPQPEGYWIGIRSCYDEGKRCAETLFFDYYRQHQTDIKVVRIFNTYGPRM--------HPNDGRVVSNFIVQALQGKDITIYGD------GSQTRSFCYVDDLIDAMIRMM--ATENGITGPINIGNPGEFTMLELAQLVLKFSGSKSKIVYQALPADDPKQRQPNIDLAKAKLGWAPKVSLEDGLKETIGYFRE---------- 143505250 MKYKKALVTGGAGFIGSHLVEELLKNNVRVLVIDNLLTGKKTNLDKLENVDVDLGSHESIKEI-GKFNPEICFHLAAQSSVVISVEDPLLDFEHNLLQPIKLIQTLISTDCKKFVFSSSGGTIFGEPNIIPTEDFAGEPVSPYGVAKKKLNYFIKLMLENEKMSYSILNLSNVYGPRQD------PHGEAGVMSIFTGKMLNNEKP-------IIYGDGNQTRDYVYVADVVSALIKSSENDND----LFLNIGTGVETSVNELVSLIATKTSWNGEPDYKPQRDGELLRSVLNNNKAKVSLDWKPEYDLNKGIEELVDWFRN---------- 294506509 -----ILVTGADGFIGSHLVEGLVDQGKEVRAFVRYNAFNSWGWLETVDDDVDVRDPNGVRESMRGV--DVVYHLAALISIPYSYHSPDTYVDTNVTGTLNVLQAARDSGTEKVVHTSTSEVYGSAQFVPITEEHPLQGQSPYAASKIGADQMALSFYRSFDTPVAVIRPFNTYGPRQST----------RAVIPTIITQIASGRRTLELGNLHPT------RDFSYVEDTVRGFMAVAD--SDEVVGEVVNVGSGFEISIGDLVDLIAEAMDVEVSVETDKERKSEVDRLYADISKAEKLLGWTPTHGFKRGLQKTAEWFSR---------- 224002825 ---KNILLTGGAGFIGSHVAILLAKKHYNIVVYDKLDYCSCSRLKNFKFVKGDITSPDLVSYVMVEEDIDTVMHFAAQTHVDNSFGNSFNFTQSNIYGTHVMLEAAKCHRGMRFIHVSTDEVYGEGETEAMKEEHVLEPTNPYAATKAGAEFLVKSYHRSFKLPCVITRGNNVYGPH--------QFPEKLIPK--FTNQILRGRPVTLHGDGSNT------RNFLYVEDVARAFEVLVHKAS---PGMIYNIGGDNEISNLEVAKKLIEILGKGDQITFVPDRKFNDLRYTINSGKLHK-LGWKEEMNWEEGLRTTVEWYTKYSDRFGN--- 187477021 ---RKWLVTGCAGFIGSNLIETLLKLNQTVVGLDNFATGHAEQWARFTFIEGDIRDLDTCRRAADGV--DKVLHQAALGSVPRSLNDPITTNAVNISGFLNMLVAARDAKVGAFVYAASSSTYGDHPALPKVEENIGRPLSPYAVTKFVNELYADVFARSYGFTTVGLRYFNVFGRRQDPN-----GAYAAVIPKWTAAMIQGE-------TVVINGDGETSRDFCYV-DNAVQANILGAMAGDEARNQVYNVAYSGRTTLNQLFDFLKTSLGRDKQAEHADFRAGDVRHSQADISKAGRLLGYQPAFDILQGLDAAMPWYTQFLR------- 302351695 ----RWLVTGGAGFIGSHIVERLLREGHFVRVLDNFSSGKTENLSFIPSIRGDIRDSATC--LSACSGVDYVLHQAALRSVPKSMSLPHEYNSVNIDGTVNLLEAALKNKIKRFVMASSSSVYGDAVSFPERESDAPLLISPYALTKLADEYYCRIFSQNYGLETVCLRYFNVFGPKQSLDD------EYAVVIPKFIDSMLRNQQPPIHGDGLQSRDFTYIDNVVEANILAATAPVIAKSEACQSTSEVFNVALGETHSILGLVQMLNKIMGKAIEPRFTPPRPGDVPKSLADISRISGTIGFTPKVTFEDGLRRTVEWFSK---------- 163795685 MADKPAVVTGGAGFIGGHMVDLLLERGYRVRAIDNLTGGRELNLAHHGDNQDLVRDFRDIRSLKAFTGVRYVFHFAGIGDIVPSIERPIEYMDVNVQGTVRVLEAARHAGATKFVYAASSSCYGLA-DTPTREDHPIGPEHPYALSKYQGEQAAFHWNRIYGLPVNSVRIFNAYGTRSRT-------------SGAYGAVFGVFLRQKIAGEPLVVGDGTQSRDFLFVTDIARAFLAAAETDKT---GEIWNLGASNPQSVNR---LVELLGGKAIHI---PKRPGEPDCTWADTAKIMRDLDWQPQVEFEDGVNRMLA-QLDYWR------- 118592303 ----KVLVIGGCGFIGSHVVDKCLQEGLSVRVMDTRPELYRPPLPGVDYVFQDLSDHHRLAGALAGV--DAVVHLASTTVPSTSNLDPAADIAGNLIPTVRLLEAMRASGTRKLVFFSSGTVYGIPAKDPVPEDHPLNPISSYGIVKAAIEQYLRMEQQLHGLEFAALRPANIYGPRQAQVGL-------LGVIGTYLRKVSDDEPIEIWGDGSIV------RDFVHVEDVADLCHRALV----SNASGSFNAGSGVGTSISQIVEIISQTTGHPVQPVYKPGRNFDVPRVVLDIAKAQATFDWLPTVPLHRGIRETWDW------------- 227534052 ----KIMVTGGAGFIGSNFVHYVYNNHPDVVVLDKLTYAGNRANLEGDRVQGDICDAPLVDKLMS--QVDACVHYAAESHNDNALIDPSPFLHSNVIGTYTLLEAARKYDV-RFHHVSTDEVYGDGVGEKFTTESRYNPSSPYSSTKAASDMLVHGWTRSFGVRATISNCSNNYGP----------YQYIEKFIPRQITNILAGIKPKLYGTG------KNVRDWIHTEDHSSAVWTILTKGKIGETYLIGADGEQDNKTVLEMI--LKDMGKDPSDYEQVKDRPGHDLRYAIDSTKLRTELGWAPKYDFDSGLKHTIQWYTENQDWWQAEK- 224054811 -SNMRILVTGGAGFIGSHLVDKLMEEKNEVIVADNYFTGSKDNLKWIGQPRFELIRHDVTEPLL--VEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGA-RILLTSTSEVYGDPLVHPQPESYWIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMN--------IDDGRVVSNFIAQALRGEPLTV------QKPGTQTRSFCYVSDMVDGLIRLME----GENTGPINIGNPGEFTMTELAETVKELINPGVEINMVENTPDDPRQRKPDITKAKALLGWEPKVKLRDGL------------------- 295131926 --GKTVLITGAAGFLGSHLCDKFISEGFRVIGMDNLITGDIRNIEHFKNKDFEFYNHDVTKFIHVPGKLDYILHFASPASPIDYLKIPIQTLKVGSLGTHNCLGLAKEKGA-RILIASTSEIYGDPLVHPQSEDYYIGPRGVYDEAKRFQESLTMAYHRFHGLETRIVRIFNTYGPRM-------RLNDGRVIPAFIGQALRGE-------HLSVFGDGLQTRSFCYVDDQVEGIYRLLF----SEYVEPVNIGNPDELTIKDFAEEIIKLTGTNQKIVYRELPKDDPLQRQPDITRAKEILGWEPKVSREEGMKITYNYFKNLSKELKKEHK 296440017 ME-KKILVTGADGFIGSHLTEQLVKEGYRVRAFTYYNSGHLDSLDKSILNEVDIRDPNGVRQAMK--DIDQVYHLAALIAIPFSYHSPDSYVDTNIKGTLNVLQAARDFGTSKILITSTSEVYGTAQYVPIDEKHPFQGQSPYSATKIGADRLAESFYRSFNMPISIVRPFNTYGPRQS---------ARAVIPTIITQLLKGEKHIKL-------GSLAPTRDFNYVKDTAQGFIEIAKSNMAIGEEINIATGI--EISIGELAQELINQINPSATIICEEERKSEVNRLLGCNKKIKALTNWVPEYSLSSGLAETIEWFKDHLSQYKSD-- 225431735 LKRKRIVVTGGAGFVGSHLVDRLIARGDSVIVVDNFFTGRKENLMHHFNPMFELIRHDVVEPIL--LEVDQIYHLACPASPVYYKFNPVKTIKTNVVGTLNMLGLAKRVGA-RFLLTSTSEVYGDPLQHPQVETYWIGVRSCYDEGKRTAETLTMAYHRGAGIEVRIARIFNTYGPRM--------CIDDGRVVSNFVAQALRKEPLTVYGDGKQT------RSFQYVSDLVEGLIRLME----GEHVGPFNLGNPGEFTMLELAQVVQETIDPNAKIEFRPNTEDDPHKRKPDISKAKQLLGWEPSVSLRNGL------------------- 91215554 ---KKVLITGAAGFLGSHLCDKFIKEGFKVIGMDNLITGDLKNIEHFKLDTFEFYHHDVSKFVHIPGDLDYILHFASPASPIDYLKIPIQTLKVGSLGTHNLLGLAKAKGA-RLLIASTSEVYGDPLVHPQTEENTIGPRGVYDEAKRFQESMTMAYHRFHGLETRIVRIFNTYGPRM-------RLNDGRVIPAFIGQALRGED-LTVFGDG------SQTRSFCYVDDQIEGIYSLLM----SDYAEPVNIGNPYEISILDFVKEIIKLTGTQQKIIFKPLPKDDPMQRQPDITKAKAILGWEPKVDRKEGMRLTYDYFK----------- 95928403 ---KVWFVTGCAGFIGSNLVETLLTLDQSVVGLDNFSTGYREQWQCFTFVEGDIREPEVCQRLCSGV--DYVLHQAALGSVPRSINDPLATNQSNIDGFLNMILAARQADVASFTYASSSAVYGDHSALPKVEEVIGTPLSPYAVTKYVNEVYAGVFAKTYDFNCVGLRYFNVFGPRQDPT-----GAYAAVIPKWAAAMLANEP-------VYINGDGETSRDFCF-IDNVIQANLLAAHAVPEAKDQVYNVALGDRITLNELFRAMQVAMQHAHEPVYRDFRAGDIRHSQADVAKAQRLLNYAPQYRVAAGIEETVAWYVE---------- 136061287 -----ILVTGGCGYIGSHCVISLLNQNKKVAVIDNFVNSDKSVLKKINFYKGDLRQKSFLSDVFNKHNFETVFHFAGLKSLSESYKNPLEYFSANINSTINLLQTMQKYKVYNLIFSSSATVYGQDHPLPWTEDIKLKPESPYAQSKAFIENLLLKYYQDMNFKIGILRYFNPIGCHSSGLIGDRIDGSTNLIPSIMLYLLGKKPYLPIYGNDYKTKDGSGIRDYIHVDDLVNGHIGALKYIIEKKGIHIWNLGSGKGYSVFQIVSKFEEHYKKRIFKKVMPRREG----------------------------------------------- 142912417 ----KILITGGAGFIGSHLVEELLSDENEILIFDNCLTGKKENLNFTGNFKFNIDDFGSENSLIEIFDPDICFHLAAQSSVVVSVENPSLDFEHNILQPIKLIHVLLKSKCKKLVFTSSGGTFGEPTVIPTAEEDYDEPESPYGVAKKRLNELIKIMTKNSNLKYSILNLSNVYGPRQD------PHGEAGVVSIFANKYLNNEEP-------IVYGDGEQTRDYIYVKDVVS----ALVKASKIDQNHFLNIGTGIETSVNELANSMKMQFNSEIKPLYEPAREGELKRSVLNNSKAKRELNWEPEYSLDDGMKEVFNW------------- 163787497 ---KRILVTGGAGFVGSHLCERLLSEGNEVICLDNYFTGSKRNIEHLMDHRHDIINPVEVDEIYNLACPASPVHYQ---------YNPIKTVKTSVMGAINMLGLAKRVGAKILQ-ASTSEVYGDPTVHPQPESYWVNPISCYDEGKRCAETLFMDYHNQNAVKIKIIRIFNTYGPRM--------HPQDGRVVSNFIVQALKGDDITIFGD------GTQTRSFQYVDDLIEGAHRMM--SSRDGFIGPVNIGNPVEFTMLELAKEVVDIIGSKSKITYLPLPQDDPMQRQPDISLAKKELGWEPKISLNEGLKYTIEYF------------ 143174713 -------VTGGAGFIGSNLVDMLLERGDRVVVIDNESANTHDEVYWNPNISGDVTDFKLLKN--ACTDADCIYHLAADISIQYSIENPTKSYKNNVIGTLNVLEVARVLGIKKVVFSSTAAIYGS-TSEPCVETDRPDPLNPYSVSKLAGENLMKMYNDLYGIETVSLRYFNVYGNRQ------AHKGQYAPVIGIFQKQKNEGKPLTIVGD------GEQSRDFVHVADVAGANIFVSERNT----VGVFNVGTGVEYSVNQIATLIN---NHQYGRTTIPAREGEARRSISDSSKLRSI-GWQPRISLEAWIAK----------------- 212274887 -KPKNILITGAAGFIASHVAIRITKKDYKIIVLDKLDYCSNLKNLLPKFVKGDIASVDLVNFLLVTENIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACRISQIKRFIHVSTDEVYGETDEDAVHEASQLLPTNPYAATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPN--------QFPEKLIPKFILLAMRGEPLPIHGDGV--------NVRSYLYCEDVAEAFEVILHH---GEVGHVYNIGTKRERTVLDVAKDVCRLLEPDKVIMFVENRPFNDQRYFLDDEKLKS-LGWAERTPWEEGLKKTMEWYVAN--------- 280964862 ----RVAITGGAGFLGSHLCERLLADGAEVICVDNFVTGRPENVEHLTNRRFRLIDRDVTEFLHVPGPLDAVLHFASPASPVDYYRLPIETLMVGSAGTRNALGLAQAKGA-RFLLASTSEAYGDPKVHPQPESYWVGPRSMYDEAKRFAEALTMAYRKARGVDTGIVRIFNTYGPRMRAD-------DGRAIPTFISQALRGE-------GITVAGDGSQTRSCCYVDDLVEGILRLLWSDL----PGPVNIGNPHELSILDTAVLVRDLCGSRAPITFIPRPVDDPTVRQPDITIARGKLGWEPKVAVGDGLARTIEW------------- 225707656 ----NILVTGGSGFIGSHFVCSLVNRDWRVINLDNMDYCRFEERDNYTFIKGDICNPRLVNHIFATENIDIVFHLAAKTHVESSFVCPSTYHRVNVEGTRVLLKGAFDAKVAKFVYISTDEVYGQSLDKAFEETSPRRPTNPYSNTKAAAECLVLSYWEKYRFPVIVTRSNNVYGPRQFT----------EKVIPRFVSLLQNDKKCTIQGTRP------QSRHFLFVEDVVEALFTILEKGI---VGEIYNIGSDFEISIIQLARELTKMVDLGDWLEFVAERPQVDLRYPINSDKLRH-LGWAPAVSWAEGIRTTVKWYQENP-------- 86740000 --TRRVVVAGGAGFLGSHLCDRLLARGAEVICVDNFLTGRPGNIDHLRRHGGRLLRRDVTEPIDVTGPVDAVLNFASPASPVDYRALPLETLSVGASGTANLLDLAYRKNA-RFLLASTSEVYGDPRVHPQPEEYWIGPRSMYDEAKRFAEALTTAHRATHGTSTGIIRIFNTYGPRMRA--------DDGRAIPTFIAQALRGQAVTVAGEGRQT------RSLCYVDDLVEGVVRMLDSDL----PGPVNLGSPQEMTIIDAARLVVEVCGADVPITFVPRPQDDPTVRCPDITLAREALGWRPLVDVRDGLARTVAWFVERPRS------ 296535670 LTGARVLVTGAAGFIGAALAQRLLAAGADVTGVDVLTDYYDPALKGFRFRQLDIAEPGALAAVWAEARPDYVMHLAAQAGVRYSLDHPRAYTSANVDGFLEVLEAARHHPVRHLIYASSSSVYGANTKVPFQERDAVEPVSLYAATKRANELMAQTYAHLYRLPVTGLRFFTVYGP--------LGRPDMAYWKFTRALFAGEPIPLYDGGRLW--------RDFTYIDEIVEAIARLAVAPPATPEHRLFNIGNDSPVMVNDVVALLERFTGREAIRQELPMQPGDVERTWADVSLLRAAIGFAPSTPLEVGLERFVTWYRNH--------- 135964927 ------LVTGGAGFIGSNLVDALLAAGHDVRVLDNLSMGKRSNLPLDRFIEGDVADPDVVAQAVAGC--SAVAHLAAVASVQASVDDPVATHQSNFIGTLNVCEAMRRHGVRRVVYASSAAIYGNNEGVAIDEATAKAPLTPYAADKLAGEHYLDFYRRQHALEPAIFRFFNIFGPRQD------PSSPYSGVISIFTQRAQQGLPISVFGD------GEQTRDFFYVGDLIELLLQGLLGEFVEPP---VNVGWNQAVSLNQLLAEIGALCGGLPQVTHLPARAGDIRHSRADNTRLQAHYDMPQQTPLREGLRQ----------------- 135715527 ---KKVLVTGGAGYLGKHLAKTLYNAGHEVYCIDRI---RANCKYYQEEIEADFRKYPNTLYRVLIKNIDTVFHLAGRIEVVLSWDEPISFGQDNMMSTMFLIEMMKKHDVPNLVYSSSAGVYK-PKDGLISESDPLGYNNPYANSKIAAENAIRD----SGINHVIFRFFNLAGADPDGEMGEDHIPETHMIPLLFEN----KDDFTINGNNYQTKDGTCIRDYVHVSDVADAHLLAMKHTWKQGQTATINLGSGVGYSNLEIVNLAKEVLGLDIKYKFGHRRHGDPSMLVANIDHAKSLLGYKPKYDI----------------------- 307181797 ---------------------------------------------------------------FKHYTFHSVIHFAALKAVSESCQKPLEYYKTNVSGTINLLEVMRENNVKHFIYSSSATVYGVPQQLPLVEDMKTNCTNPYGRTKFMVEEILKDLCTSDKFSVISLRYFNPVGAHPSGQIGEDPNGPNNLMPYIAQVSVGKRDTLYVYGNDYDTPDGTGVRDYIHIMDLAVGHVKAIIYQKTHNGFKPINLGTGKGYSVLEVIHAFEKASGKKISYKIVERRPGDISVSYADASIANKELNWVATKNMDDMCLDTWKWQQNNPNGYKN--- 126644154 MSDITVLVTGASGFIGSHLVEYLLSKGYYVLALDNFFSGDCRENPRLEIIRHDIIDKLEVKEIYHLACPASPIHYQ---------KDPIYTLKTCFIGTMNILGLAKRTN-SKVVFASTSEIYGDPLVHPQNESNTVGTRSCYDEGKRIAETLCMEYYRNHGVDVRIARIFNTYGP--------------KMLFNDGRVVSNFILSSLLNQELPIYGDGTQTRSFCYITDMVDGLYKLMKLDREKIDNMPINLGNPNEISILELGEIIRELVDPNLKISHRKFPMDDPKKRQPDISRAIRILNWKPTVDIKTGIKETIKDFLENNKSVEVLHQ 134385242 --KKTVLITGAAGFLGSHLCDKFIAKGYKVIAMDNLITGDKRNIEHFKLEDFDFYHHDVTKFVHVAGEVDYILHFASPASPIDYLKIPIQTLKVGSLGTHNLLGLAKEKNA-RFMIASTSEVYGDPLVHPQKETNTIGPRGVYDEAKRFQESITMAYHRFHGMETRIARIFNTYGPRM-------RLNDGRVIPAFIGQALRGED-LTVFGDGMQT------RSFCYVDDQVE----GLYRLLLSDYSDPVNIGNPQEITILDFAKEIIKLTGTQQKIVYKELPKDDPLQREPDITLAKELLGWEPKMSREQGMKITFDYFK----------- 20560100 ---KRVMVTGGAGFLGSHLCERLLDAGNEVLCVDNFFTGSKRHLMTNPYFELIRHDYVEVDEIFNLACPASPVHYQ---------FDPVQTLKTSVHGAINVLGLAKRVKAKIFQ-ASTSEVYGDPEVHPQPESYWIGIRSCYDEGKRCAETLFSDYHRQHGVQIKIARIFNTYGPRM--------HPNDGRVVSNFIVQALRGDDITIYGEGQQT------RSFCYVDDLVEGFLRLM--ASDGSITGPINLGNPGEFTIRQLAERVLDLVGSSSSLVFKPLPQDDPQQRQPDISQAKAVLGWEPTIMLDEGLSKTITYF------------ 75761580 ----KILVTGGAGFIGRWVVKRLLQDKHEVWILDNLANSFAHDLNLKQCIQGDIKDKKLVAQLFENNSFDLCYHLAASINVQDSIDDARATFENDTIGTFNLLEQCLNYDV-KMVFMSTCMVYDKATNIQISELDPIKPASPYAGSKIAAENMVLSYYYAYKLPVVVIRPFNTYGP------FQKTGGEGGVVAIFINNKLDN-------VPLNIYGDGKQTRDLLYVEDCADFV--VAAGYSAKANGHIINAGTGQDISINKLAELISGNKVSIQHVTHIHPQ-SEIQKLLCNYEKAKTILNWEPKVSLEDGVIKTEEW------------- 135958311 ------------------------------VIADNFSTGHRKSVKNKKFFELDLRDAKEIRLNLQDVEITSIVHFAGLSIVSDSQKMEKEYFENNVLASENLAKFAIEKKIRKFIYSSSAAVYGMPEEIPIREDHPTRPINNYGKNKLEVENLLKDYSMEFPLDVVCLRYFNAAGADDDGDIGEEHNPETHLIP-NVINSALNSNELLINGNTYNTDDGTCIRDFVHVNDLASAHLLSLSFLDLNKGFHIFNLGSERGFSVKEVINKCQELMQAKIKFRIGTKRDGDPDILIADNKKSVNKLNWKEKNSLEAIISSAIKYHKN---------- 136443291 ---QTILVTGGCGFIGAHTIVDLVENGFNVISIDNLSRASDNSLLGIKNYTVNLTDKAATEAVFANPDIVGIIHFAAYKAVGESVEKPLDYYENNISSLVHLLQMAVKYNAPHFIFSSSCTVYGNPDTIPVTEQTPLQTASPYGATKQMGETIVKDAALAHPLSTILLRYFNPVGAHPSTAIGELPIGPQNLVPAITQTAIGKLPKMQVHGADYDTRDGSCIRDYIHVCDIAHAHTLAIQYSMKNNQCEVFNLGTGNGITVLEAIHAFEKVSGVKLNYEIGPR-------------------------------------------------- 291336903 ----RCLVTGGCGFIGSHIVDELIKQGHEVSVVDDLSAESNEQNEKAQYNKIKIEDYDKLCESKVFENIDFVFHLAAESRIQPTLDRPQKACHTNFYGTCNVLQLSRENNIKRVIYSGTSSAYGLRNKIPLTEDMPRDCLNPYSVTKVAAEDLCKMYYTLWGLETVTLRYFNVFGERQ--PIKGQYAPVIGIFLRQNKN----GEPLTIVGD------GKQRRDFTYVKDVVRANIKAATCSKKEVLGQIINIGTGTSSSIINLAKLASE------NHKHLPERLGESRETLADISRAKNLLGWEPTVTVEEMLKE----------------- 254462677 --------------------RAAIAQGHSVVNVDKLTYASVTDNENYVFFQAGICDRAAMDRILSETQPDAIMHLAAESHVDRSIDGPGAFIETNITGTYMLLEVARSYWTFRFHHVSTDEVFGLGATGMFTEDTPYDPRSPYSASKAASDHLVRAWGETYGLPVVLSNCSNNYGP--------YHFPEKLVPVVILNALAGKDIP--------IYGKGENVRDWLYVEDHADALLTVL---TKGALGRSYNIGGENEAKNIDLVNMICAHLDYANQITFVMDRPGHDMRYAIDPTRIREELNWRPSVTLEQGLEKTVRWYLENEEW------ 222148125 -KTRTALVTGGAGFLGSHVCARLLNEGCDVVCLDNLQTGRREPLLANPFIKADVRDP------LPQGVYDEIWNLACPASPPQYQIDPVGTMLINVLGMKNVLDLAVACGARVFQ-ASTSEIYGDPQVHPQTESNTIGPRACYDEGKRAAETLCFDYHRQHGVEIKVVRIFNTYGPNMD--------PQDGRVVSNFIVRALEEAPLELYGG------GTQTRSFCYVDDLIEGFFRLM--RSDASITGPVNIGDPGEFTVRELADIILEMTGSRSVIVDRPLPKDDPLLRRPDITLAGQLLGWEPKVRLREGLKRSIPYF------------ 260469612 ----RALVAGGAGFLGSHLCERLLQDGYEVVALDNFHTGKKHNLNALLRDKFTCIEHDIVNALPLDLRVDEIYNLACPASPPHYQADPIHTFKTSVLGSLNLLELARRNNAKIFQ-ASTSEVYGDPLVHPQPEGNTHGPRSCYDEGKRSAETLFFDYSRTYGLDVRVARIFNTYGRRM--------QPDDGRVVSNFIVQALRGEDLTVYGSGLQT------RSFCYADDLIEGFVRLM--NAPRAPAHPVNLGNPGEFTIMELATLVVAYTNSSSKIVHRPLPIDDPRQRRPDISFARDNLGWQPRISLSQGLAHTVEYF------------ 141183811 -----------------------------------------------------------LAQLLKGHSFDGVIHFAAKSLVGESVQKRDLYYRNNVVGTLNLINEMINNDIHNLVFSSTAAIFGNPITEKIAEDHPKNPINPYGQSKLMVENMLRDICAVHDINATCFRYFNAAGADPSGSIGEAHDPETHLIPNILKSTISGGSVLKVFGNDYETRDGTCVRDYVHVTDLAQAHLLGLEHMKHNRGFSAFNLGNGSGFSVLDVIASCERVVSNKIPYDIALPREGDPARLVANSAAAIREIGWKPNFKIDDIVASAWRWH------------ 297826249 -SNMRILVTGGAGFIGSHLVDKLMQEKNEVIVADNYFTGSKDNLKWIGHPRFELIRHDVTEPLL--VEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGA-RILLTSTSEVYGDPLVHPQPESYWIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRM--NIDDGRVVSNFIAQALRGEALTVQKP------------GTQTRSFCYVSDMVEGLMRLME----GDQTGPINIGNPGEFTMVELAETVKELIKPDVEIKMVENTPDDPKQRKPDISKAKEVLGWEPKVKLREGL------------------- 168046280 ---KNILITGAAGFIASHVANRLIRNQYKIVVLDKLDYCSNLKNLFPKFVKGDIGSADLVNYLLITEGIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTQIKRFIHVSTDEVYGETEAEAIHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPCITTRGNNVYGPN--------QFPEKLIPKFILLAMQGKPLPIHGDG--------SNVRSYLYCEDVAEAFECVLHKGVI---GNVYNIGTKKERRVIDVAKDICGLLDYKKSIKMVDNRPFNDQRYFLDDKKLI-ELGWQERTSWVDGLQKTKDWYMSHPDWWGD--- 168046280 -----------------------------------------------------LENRCSLEADIAAVKPTHVFNAAGVTGRPWCESHKVETIRANVVGTLTLADVCKQNNLVLINYATGCIFEYDEKHPFKEEDTPNFAGSYYSKTKAMVEDLLNEFDNVCTLRVRMPISSDLQNPR-----------NFISKIVRYQKIVNIPNSMTILDELLPISLEMAKRNLTGIWNFTNPGVVSHNEIMEMYKEYIDPELSWVNFTLEEQAKVIVAARSNNEM----------------DASKLSREF---PEVGIKESLKKFV--------------- 289582648 IRDRTVLVTGGGGFIGSHLVEALAPYN-DVRVLDNFSTGSRDNLSSPTIIDGDITDPMALQRAARGV--DLIFHQAALVSVAKSVDAPRRSNETNLDASLLVLDQARQEDA-RVVLASSAAVYGHPDELPVSETARTEPTSPYGIQKLALDQYARRYHELYDLPTVALRYFNAYGPRQQGPYSGVISTFLEQARSDDPITIE--------------GDGEQTRDFVHVSDVVRAN---IRAATTDAVGEAYNVGTGDRTSIRDLAELVRDAVGSSSPIVHREPRPGDIRHSRADVSKASRELGFETRVGLESGIRSLVA-------------- 135495037 MKTK-ILVTGSSGFIGFSLSKKLLEDGKKVHGYDSMNSYYDVKLKKARYNKGDLENKRLLEKTFKKFKPKIIIHLAAQAGVRYSIENPDIYLKSNIIGTFNVIKFANKIKVKHLIIGSSSSVYGSNKKIPFQEIDKTDHISFYAATKKSTENLAHSYSSLWKLPITILRFFTVYGP--------YGRPDMAYFKFTKSILAGKKINIFNKGKMY--------RDYTYIDDIVIGISKLLNKAPSINQEKKINIGNTKKIFLLNFINSLEKELGKKIKRKFMPMQKGDVHSTLSDSSLLKRITGYNPKTNYKTGIKKFVNWYLNYYK------- 1752648 MNAMKILVTGAAGFIGFHLTKRLLAQNFHVIGVDSINDYYDVSLKKHRFYKIDISNKENLNQIFKEQIVHIVINLAAQAGVRYSIENPDSYVNSNLVGFVNILEACRQYNVEHLIYASSSSVYGANTSIPFTKDSVDHPVSLYAATKKSNELMAHTYSHLFNIPTTGLRFFTVYGP---------WGRPDMAYYSFTRNIIIENNTIRVFNNGDMRRDFTYIDDIIEGIIRLIGNPPQYNEKWDKANPGIYNIGNNIPIKLMDFIHILEKLIGKKAKIEFLPMQPGDVKETYADISRICRLI-W----------------------------- 307707841 MNYKNIVVTGGAGFIGSNFVRYLKEKDIQITILDNLTYASSMKTIEDSFYKLDIANELALSNYID-EGVDLIVHFAAESFNDKSLHDTSVFVKSNIVGTHNLLELARKYDI-RFHHISTDEVYGDFPKDKFTEKTQYNPSSPYAATKASADLLVKAWVRSFGVRATISNCSNNYGP---------YQNPEKFIPRQITNLLTGQ-------QAVLYGAGLNIRDWIHVKDHCRAIDTIIDKGVI---GETYLIGVNNERTNVEVLQKILLQLGKSQDFKYIADRPGHDLRYGIDASKLYTELGFTPLYDFDKGLKEVIHWYQEHEDW------ 238583747 -------------------------------------------------YKVDLTKPEEIRAVFEKYGIWGVIHVAAYKAVGESTQIPVTYYQNNVSATISLLQIMDEFDCTRLVYSSSATVYGTPPNIPIPETTRLQADSPYGRSKVMSETVIKDHSQPNRWLAISLRYFNPAGAHPSGRIGEDPIGKPLNLLPILAHMAVGRIQDKVFGNDYPTKDGTCVRDYLHVIDLASGHLLALDHDRPNGKFKEYNLGKGRGQSVLEMIEAMRKATGFEYKTEIVGRREGDVPDLTADPTLAEKELGFSAPQDLETMCRDLWNWQKKNPRGY----- 284031667 ------LITGGAGFIGSNFVHDTVRRDVEVTVLDALTYAGSRSNLDPVAEQVDICDAELVDKLVA--GTDVLVHFAAESHVDNSLNDPSPFIKSNIIGTFTLLEAVRKHD-KRMHHISTDEVFGDDSVEQFTETTAYDPSSPYSASKAGSDMLVRAWARSYGVAATLSNCANNYGP--------YQHVEKLIPRQITNVLIGDKPKLYGAGE--------NVREWTHVDDHNDAVHRII---ADGRLGETYLIGSGDERSNKQIIEKILTLMGPADAFEHVSDRPGHDLRYSNDSTKIRTELGWQPRYDFDAGLAATIDWYKANTAWWEPQK- 142103541 MKFEKALVTGGAGFIGSHLVEELLKHNVKVLVVDNLLTGKKSNIDKLDNVEDDLGSDASLRAI-ETFNPDVCFHLAAQSSVVISVEDPLLDFEHNLLQPIKLLQKLIHTDCKKFVFSSSGGTFGEPNVIPTSEKDYAEPVSPYGVAKKKLNDFIKLMLQEKNMSYSILNLANVYGPRQD------PHGEAGVMSIFTGRMLNNEIP-------IVYGDGNQTRDYIFVTDVISALIKSSEMD----DNLFLNIGTSKETSVNELVSIIRSITSWEGEPDYKPQREGELLRSVLNNEKAKKSLNWEPEYNLNRGIEELVNWFKN---------- 142881586 --KKRVLVTGGAGFIGSFLCESLIKKGHYVICCDNFYTGNKENLKNIYNHEHDVTFYVEVDEIFNFACPASPIHYQ---------NDPVQTVKTCVHGAINMLGLAKRTKA-RIMQASTSEIYGDPEVHPQNESSIEGPRACYDEGKRCAETIFWDYQRQHQIDVKVIRIFNTFGPRM--------QPNDGRVVSNFILQALANKDITVYGK------GNQTRSFCYIDDLISGILTMMEVENFSGP---INLGNPSEISILDLASEIIDLTGSNSKIMYEDLPVDDPQMRCPDISLANKKLGWSPKFDRKTGLKKTIKYF------------ 115524680 ----RILVTGGAGFIGSHLCDRLIKEGQEVLCIDNYYTGRRQNIAHLLNRPGFETLRHDVT-LPLYVEIDQIYNLACPASPVHYQFDPVQTLKTSVHGAINMLGLAKRTHARIFQ-ASTSEVYGDPAVHPQPETYWLGTRACYDEGKRAAEALFFDYRRQHRVAIKVARIFNTYGPRM--------HPNDGRVVSNFIVQALQNRPITLYGD------GSQTRSFCHVSDLVDAIVRLMATPDD--VSGPVNLGNPAEFTILQLAEMVIALTGSRSKVEFRPLPPDDPRQRRPDIALARSLLGWQPTIALADGLMETIGYFR----------- 143523795 ----KILVTGGLGYIGSHTSVELIQQGFEIIIVDDLSNSSTEVLDGIKFVKLNLRDKKEVNRLFEAYDLSGIIHFAAHKAVGESIDQPLKYYQNNIGSLINLLQAIESKKKRFFIFSSSCTVYGQADKLPITEKAPIKAESPYGNTKQIGEEILYDSTRSNRLKVISLRYFNPIGGHPSIEIGELPKGPQNLVPFITQTAAGIHKNLKVFGNDYPTLDGTCIRDYIHVVDLAEAHVAGLKRMMADEQNEVFNLGTGKGKSVLEVIKIFEKVSGVNLNYEIIDRRPGDIVKAYADTTKANKV-------------------------------- 144084828 ------LITGVAGFIGSNILEHLLNINQRVVGLDNFSTGYKQNLEEVREITGDIQSFKDCQK--ACSGVDYVLHQAALGSVPRSINDPISSNASNIVGFLNMLVAARDENVSSFTYAASSSTYGDHQQLPKVENLIGKPLSPYAVTKLVNELYADVFARTYGFKAIGLRYFNVFGKRQNPN-----GPYAAVIPKWTYALLNNE-------QVNIYGDGETSRDFCFVENAVQANILASSAC-NEAKNQVYNVALGDRTSLNQLYEYLKGSLNSKSMLNYDNFRPGDVKHSEADISKAKNKLGYSPEYRIKEGIEIAVPWFIKNFKS------ 13541733 ----KLLVTGGAGFIGSNFINYWLKKHDSIVNVDKLTYAHKSFSDRYELIKADIANAKQIESIIK--DVDCVVNFAAESHVDNSIKSPEPFIRSNYVGVYNILEAVRKYDI-RFHQISTDEVFGLDSSQKFDEHSPYAPRNPYSATKAAADMLVRSYINTYGIKATISNCSNNYGPN--------QHREKLIPKTVYNAIHNFRIP--------IYGSGRQIRDWIHVLDHCSAIEAILERGRI---GETYLVSARNEQHNIDVVKKILGILGKDESIEYVSDRPGHDVRYAIDPKKIENELDWKPSIPFDEGLRDTVNHY------------ 303247668 ----RILVTGADGFIGSHLVEHLVRQGHEVRAFVYYNSFNSWGWLDASPEDGDIRDPHGIRAAMR--DCDAVLHLAALIAIPYSYHSPDTYVDTNIKGTLNVLQAARDLGLSKVVHTSTSEVYGTAQFVPITEEHPLHGQSPYSATKIGADQLALSFHLSFDTPVAILRPFNTYGPRQS---------ARAVIPTIISQIASGARRIQL-------GALHPTRDFSFVTDTARAFEAVL--LSDAAVGQVLNAGSGFEVSIGDTAQMIASVMDASVEIGHDSQRKSEVERLLADNTRLRAVTGWAPAYGFRRGLVETVDWFSA-PENLGR--- 147854365 -----VLVTGAGGFVGTHCSLALKKRGDGVLGLDNFNDYYDPSLKRARQVEGDLNDAPLLSKLFDMVPFTHILHLAAQAGVRYAMQNPQSYVRSNIAGFVNLLEAKAADPQPAIVWASSSSVYGLNTENPFSELHRTDPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGP----------WGRPDMAYFFFTKDILQGKPITIYQTQD---DKEVARDFTYIDDVVKGCLGALDTAEKSTGSGIYNLGNTSPVPVGRLVGILEGLLNKAKKHVIKMPRNGDVPYTHANVSLAYRDFGYKPSTDLATGLRRFVKWYVSYY-------- 163760395 ----RYLITGTAGFVGFHLAKRLLEDGHSVTGFDGMTSYYDVRLKQMRHSILELEDAPALKNA-ANERPDVIVHLAGQAGVRYSVENPKAYFDSNITGSWNVLELARTLDVSHLMLASTSSIYGANQSIPYSENDRDEQLTFYAASKKAMEAMAHSYSHIYRIPTTAFRFFTVYGP----------WGRPDMALFKFTKAILEDREIEIYGEGRMSRDFTYIDDLVASIVDLSRIVPVKDTLSAMAPFRMVNIGGGQPFGLLEFIEVIEEAVGKKAKRKLLPMQTGDMEKTFANADLLVALTGSKPQTSLEKGVRAFVDWYVR---------- 140255109 --------------------------------------------------RVNLLDQDKLSQLLRGHHFDGVIHFAAKTLVGESVKKPDLYYHNNVVGTLNLVKEMLNNDVNNLVFSSTAAIFGNPVTDKIAEDHPKKPINPYGQSKLMVENMLKDICSANDFNATCLRYFNAAGAHESGEIGEAHDPETHLIPNVLKAALLNDSNLKVFGDDYPTPDGTCIRDYVHVTDLAQAHSLALEYMQENKGFSAFNLGNGDGFSVLEVIKGCENIANNEIHYQIDRRRDGDPAVLVADNEFSLRELNWNPEYDINDVIKSAWSWH------------ 261338605 ------LVTGGAGFIGSNFVRYVLQHHHDVTVLDALTYAGNRDNLSGRFVHGDICDAALLDQVVPGQ--DAIVHFAAESHNDAGIANAEPFMQTNVIGTMRLVEAAVRHDV-RFHHISTDEVYGDADTSRFTETSPYRPSSPYAASKAASDHIVRAWHRTHGLRATISNCSNNYGP----------YQHVEKFIPRTITNILDGKRPKLYGNGL------NVRDWIHVDDHSRAVWEILTRGRI---GQTYLVGAQGEHSNIEVLRLLLQLMGQPHDFDWVKDRPGHDLRYALDASKLQRELQWKPHTDFEQGLREIVCWYAAHESWWRPMKD 303238693 ---KTYLVTGGAGFIGSNFIHCMINKSIRIINVDLLTYAGNLENLKGTFIKADICDKDKIEEIFKNNEIDYVVNFAAESHVDRSIKDPEVFVRTNVLGTQCLLNIAKNYWGKKFLQVSTDEVYGLEHEGYFTENTPLDPHSPYSASKAAADMIVKAYFDTYKMPVNITRCSNNYG--------RYQFPEKLIPLVINNVLSGKELP--------VYGDGKNIRDWLYVED----HCLAIDQVINNGKGEVYNIGGHNERQNIEIIKTIIKTVQSDELIKFVKDRKGHDRRYAIDPAKISSEIGWLPETAFEQGIVETIKWYLKNAKWIEN--- 142076499 MKYKKALVTGGAGFIGSHLVEELLKNNVSVLVIDNLLTGKKTNLEGLKNLRVDLGSDESIKQI-EKFNPEICFHLAAQSSVVISVEDPLLDFEHNLLQPIKLIQTLVSTDCKKFVFSSSGGTFGEPNVIPTSEEDFAEPVSPYGVAKKKLNDFIKLMLGNEKISYSILNLSNVYGPRQD------PHGEAGVMSIFTGKMLNNEKP-------IIYGDGNQTRDYVYVADVVSALIKSSENDND----LFLNIGTSIETSVNELVSLIALKTSWEGEPDYRPQREGELLRSVLDNNKAKKSLDWEPEYDLNKGIEELVNWFRN---------- 299138641 --NRRVLVTGAGGFIGSHLAEQMVQLGARTRCLLRYTSGSLGWLATSPLRHGDIRDKESVLRAVK--DADVVFHLAALVGIPYSYESPRSYVQTNIEGTLNVLEAARQSGTERLICTSTSEVYGSALYVPIDENHALQGQSPYSATKIGADKIAESYHLSFGLPVSIARPFNAYGPRQSSRAVIPTI-----------------ITQALAQTSVKLGNLHTTRDFNFVSDTVAGFLAIAESSATIGKTLNI--GSGIDISIHELAELIFELTGTRCPVDVEEVRASEVDRLCASSLQLNTLTGWKPRVSLREGLERTIEW------------- 142352850 ------LVTGGAGFIGSHLVEYLLQAGHKVIVIDNESANNEQWNSNTDCNRVDVCDYEKSRPLYDGV--DYVFHLAAESRLQPAIENPIEAVYKNCVGTTVALQCAREAGVKRFVYSSTSSGYGNNPYPNI-ETQPDDCLNPYSASKVSSEKFCKMYYDLYGLETVTLRYFNVFGERSPTV------GQYAPVIGIFQKQKESGDSLTIVGDGF------QRRDFIYAGDVARANYLAATSHLDGYFGQVFNVGSGKNYSVKEIADAISD------NQVFISKRPGEMETTIADIDKIGEVIGWKPEVDVIDWIKK----------------- 289450943 -----ILITGADGFIGSHLTETLVRQGHEVRVFVLYNSFNSWGWLDQCPDDGDIRDPNGVRAAMKGC--DAVLHLAALIAIPYSYHSPDTYIDTNVKGTLNVVQAARDLNVSKVIHTSTSEVYGTARFVPITEEHPLQGQSPYSASKIGADQIAMSFYNSFGTPVSIIRPFNTYGPRQS---------ARAVIPTIITQIAKGNRKIKL-------GAVHPTRDFNFVKDTVAGFIAALNSDVCVGE--VINLGSNYEISVGDTVRLIAEVMKVNVEIESDDQRKSEVERLWASNQKAKDLINWSPEYGFKRGLSETIDWFSD---------- 262066739 ---KTYLITGAAGFIGANFLKYILKKDINVVVVDSLTYAGNLGTIRVKFEKVDIRDRKEIERIFSENRIDYVVNFAAESHVDRSIENPQIFLETNILGTQNLLDNAKKAWTVKFLQISTDEVYGTYGDKFVTEESPLSPRSPYSASKAGADHIVIAYGETYKLPINITRCSNNYGP--------YHFPEKLIPLMIKNILEGKKLP--------VYGKGDNVRDWLYVEDHCKGIDLVLR---EAKVGEIYNIGGFNEEKNINIVKLVIDILKEEITITYVQDRLGHDMRYAIDPSKIAKDLGWYPETDFETGIRKTVKWYLENQEWVNE--- 302694507 -ERKRILVTGGAGFVGSHLVDRLMLLGHEVTVLDNFFTGSKTTVHWVGHPNFEMVRHDVVEPF--MIECDQIYHLACPASPPHYQYNAVKTIKTSFMGTLNMLGLAKRTKA-RFLISSTSEVYGDPEVHPQHEDYWIGPRACYDEGKRVAETLTYGFHRQDGVDVRVVRIFNTYGPRMN--------PYDGRVVSNFIVQALKGEDLTVYGDGKQTRSFQYIHDLIDG----------MIALMNSDETRPVNIGNGDEFTIGEFAELVRDIVEKVQQVVYKDLPTDDPKQRRPDNTRARQTLDWAPRWTVRMGLEEMVRYYKA---------- 143836483 MKS---LVTGGAGFIGSNLVDKLLSLGHEVTVIDNFSTCHDQWNPQANNIKGDIRDYELMKNAFSN-GIDYVFHLAAEARIQPAIKNPIEAVSINSVGTCTVLQCARESNVKRFMYSSTSSGYGLNPYPNI-ETQPDDCLNPYSVSKVNGEKLCKMYTELFDLPTVIFRYFNVYGERQ------PLRGQYTPVVGIFLRQLRDGEALTVVGD------GEQRRDFTHVSDIVNANILAYNADVDSSSGQVYNVGTGTNYSINEIANMISD------NISYIPPRLGEARVTLANNTKIYETFGWKPQVKLPDWIA------------------ 228994850 ----------------------------------NLSSKDIEPHSNYTFIQGDIRDAKKIQAVMQDYKIDSIVHFAAESHVDRSIQGPMQFYTTNIVGTAVLLEAAKTFGIQRFLHISTDEVYGLGETGHFTEETPISPNSPYSASKASSDLIALSYFETYKLPVIVTRCSNNYGP--------YQYPEKLIPLMITNAMEDKELP--------VYGRGQNVRDWLHVFDHCTAIDLVLH---NGRDGEIYNVGGNNEKRNIEIVEMIEKLGKSKNMISFVPDRLGHDWRYAIDSSKLQRELGWKPVYSFTKGLEDTIEWYRKNEQWWK---- 58269694 -ERKRILVTGGAGFVGSHLVDRLMLLGHEVTVLDNFFTGSRTTVHWIGHPNFEMVRHDVVEPFL--IEVDQIYHLACPASPPHYQINAVKTLKTSFEGTLNMLGLAKRTGA-RFLITSTSEVYGDPEEHPQREDNCIGPRACYDEGKRVAETLTYGYHRKDGVEVRVARIFNTFGPRMN--------PYDGRVVSNFIIQALKGEDMTVYGDGSQTRSFQYVHDLIDG----------LILLMNGPDTRPVNIGNGDEFTILEFAEAVRDIVEKVQNIIHKEIPIDDPQRRRPDTTRAKESLQWQPRWNVRQGVEEMVRYYSARIR------- 143724553 ----KIFLTGIAGFIGFHVAKKLCDQGHDVIAIDSINNYYDEDLKKARLEKLDLTDSDNLNILFEEHDFEIVCNLAAQAGVRYSISNPSTYIDSNIYGFLNLLEASRKNSIKHVVYASSSSVYGLNADYPYSVSQSTHPASLYAASKKSNELIAHSYSHIHKIPTTGLRFFTVYGP--------WGRPDMAYFIFTKAAYEGQ--------EILIFNNGDLFRDFTYIDDIVDGISVILETPFRQAPYRIYNIGNNTPINLMDFVEIIESITNKKIKKKMMGMQPGDIYKTFADIDNIKDQFGFNPKVDIKSGLTKFNKWYKEYYN------- 159897768 ----RIAVTGGAGFIGSNFVRYWMDTNDEVVVIDALTYAGHLSNLAGYHEQADICDYPTMLKVLAGVNL--VVHFAAETHVDRSFEMERQFYRSNIEGTASLLRASREAGVGHFHHVSTDEVFGDDDPQKFHETYPYNPSSPYAVSKAASDHVVRAFAHTHKYPITITNCTNNYGP--------FQTPEKLIPRSIALLLAGQKVKLYTDAEGIPGR---NIRDWLHVQDHCEAIALVIQKTYGIGGEAELSNYHLVETMLDIMSEYLDRTLTIENSVEFVADRPGHDRRYAMDLSKIKRELGWQPRYSFQQGFLETVQWY------------ 118576196 -------VTGGAGFIGGHIARHLLDRGHSVTVID--SNDAVDLEGRVELHRADIRDAAALRRALD--GTDGVFHQAALVSVQESFSNQELYHQVNVNGTENVLAASLDLGI-KTVWASSSSIYGDATSLPIGEDSVRDPVTPYGETKAQGEVLADKYASM-GARIVSLRYFNVYGRGQSAAYAGVITG--------FYNRIESGKPPVIFGDGSHT------RDYVHVEDVARANLMAME---SPADSCSINIGTGIETSVLELARMMIKLSGADLEPEFADPPGDEVAFSRADTALARQLIGWSHSIELEEGLRK----------------- 50659028 LKRKRVLVTGSAGFVGSHLVDRLVARGDSVIVVDNLFTGRKENVMHHEMIRHDVVEPILLE-------VDQIYHLACPASPVHYKYNPVKTIKTNVVGTLNMLGLAKRIGA-KFLLTSTSEVYGDPLQHPQVETYWIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRM--------CIDDGRVVSNFVAQALRKEPLTVYGDGKQT------RSFQYVSDLVEGLMRLME----GDHIGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRENTQDDPHKRKPDITKAKEQLGWEPKIALRDGL------------------- 158318028 MRLRNVLVTGGAGFIGSHLVVRLISNPEKVTVLDALTYGHRDNLPKLEFVEGNILDADLLASLMDGQ--DGVAHLAAESHVDRSFLEAGNFLLTNVLGTQRVLDAALAAGVRRIVHVSTDEVYGSWPSGAATEVDPVRPTFPYSASKAASDLATLAHFRSYGTPVSVTRSSNCYGP--------AQHPEKIIPLFVTRLLQGLEVSLHGHGQHL--------RNWLHVEDNCAGIELVLR---GGQPGEIYNLGGGTDLTTHQLTALLLELCGADWSVSYVPDRTANDYRYSMDSSKAMSVLGYRPVRGLRESLVETVQWYRDHP-------- 302759471 -QKMRILVTGGAGFIGSHLVDRLMEAGNEVIVADNFFSGTKDNLRWIGHPDFELLRHDVTEPLL--VEVDQIYHLACPASPIFYKYNPVKTIKTNVMGTLNMLGLAKRVGA-RILLTSTSEVYGDPLEHPQKEEYWIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMN-------IDDGRVVSNFIAQALRGE-------TMTVQAPGSQTRSFCYVSDLVDGLIKLMACD----DTGPINLGNPGEFTILELAEAVRELVDSSAKWKVVDNTPDDPRKRKPDITKAKSILKWEPKVALRDGLPLMVE-------------- 220928404 ----RFLITGGAGFVGCHIAKQLLDENKEVIIYDNLSSGKLQNIPTGCFIEGDIRDSKKIEEVLEGV--DVVFHNAAFVSIRNSYTMLKEEMDINCYGTQNILEGMVKQRVRKIVFASSMAAYGWPRQIPITEDCDLAPISPYGFSKARCELYCKIFAKRFGISYVILRYCNIYG-------IKQTLSPYVGVLTTFINQALSSQPITVNGD------GEQIKDFVNVEDIAHANLLAMEYEKND----IFNIGSGIKTSVNQLADMVLSNFKDGKKI-YMPLPEGEVDSICADISKAQNLLGYKAEGDLEKLLPQIIEWWKNN--------- 135821863 MENMISLVTGAAGFIGSNLVDYLLKQGHDVVCIDNESANNENWNDKAWNINADITDYKTMKNAFTNV--DYVFHLAAESRLQPAIENPIEAVHKNCVGTATILQCAREAGVKRFVYSSTSSAYGNNPY-PNVETQPDDCLNPYSASKAAGEKFCKMYYDLYGLETVILRYFNVFG-------------ERSPARGQYAPVIGIFERQSEAGESLTVGDGSQKRDFIHVKDVAKANYIAATATLDNHIGEVFNIGSGNNYSIKEIADAISS------NQVNIPMRDGEMDTTLADITKAQSILKWNPEIDVLDWIKQ----------------- 242035797 --------TGGAGFVGSHLVDRLLERGDSVVVVDNFFTGRKENLAHAGNPALEVIRHDVVEPIL--LEVDRIYHLACPASPVHYKHNPVKTIKTNVMGTLNMLGLAKRVGA-RFLLTSTSEVYGDPLQHPQVETYWIGVRSCYDEGKRTAETLTMDYHRAANLEVRIARIFNTYGPRM--------CIDDGRVVSNFVAQALRKDPLTVYGDGKQT------RSFQYVSDLVEGLMMLME----KEHVGPFNLGNPGEFTMLELAKVVQETIDRGARIVFRPNTADDPHKRKPDITRAKQLLGWEPKVPLREGL------------------- 142745805 ----KVIVTGGLGFIGSNLINLLLKRNFFVINLDKVTYANFQKNRKYKFIKCNLENQKKITRILKKYKPSGIFNLAAETHVDRSIDSPENFVKSNILGVFHLLEAIRKYNKIKLIHISTDEVYGDVLKGRSREEDPYEPSSPYAASKASSDHLVSSYIRTFKLPAIVTNCSNNYGPR--------QHPEKLIPKIIYN--ILNNKPLPVYGNGKNT------REWIFVNDHCEALIKIF---LNGKIGNFYNIGSNLNLTNIEIIKKLLFIIGKRVKIKFVKDRPGHDLRYALDSSKIKKELKWIPKTNIKDGLIRTLMWYINNKKFYSN--- 300123794 -----------------------------------------------------LLDAAALDEVFTKFGPEAVIHFAAYKHVGESQSKPLEYYENNLTGSINLLKAMRKHNVKRLIFSSSCTVYGDAP-CPFDENTPTGHEHPYGETKFMMERIFSDYKQDPEWCITILRYFNPIGAHPSGDMGEDPSGLLSNVPYLQQVAIGKKDHINVFGTDYDTPDGTCLRDYIHVMDIAEGHVKALEYMQGNGYKGYFNLGTGKGSSVFEVIRAMEKACGFELKKVLCPRRAGDRPDAYAVTDKAEKLLHWKAKYTLEDACRDAWNWQHKHPDGYAD--- 251780451 ----NILVTGGAGFIGRWVVKRLLDDGHKVVALDNLSNGQLENIKEFKFIKGDIQNEADLDEVFK-EKYDIIYHLAASINVQDSIDDPRTTFFNDTVGTFNILESSENNHPCKVVFMSTCMVYDVADDKGIDENHPAKPISPYGGSKIAAENMVLSYYNAYKLPTVVIRPFNTYGP------FQKTGGEGGVVAIFINNSLHGRD-------INIYGSGQQTRDLLYVKDCARFVVMTG--YSEKVNGEIVNAGTGRDVTVNELAEIITKERVKINHVKHIHPQ-SEIMKLKCNYSKAKQFMGWEPEYTLEKGIEETEQW------------- 257462296 ---KNYLVTGAAGFIGSHFVKYLLEQEQDIVILDKLTYAGNLHNIKEVLEKGDICDTDLMESIFSDYDIDYIVNFAAESHVDKSITQAKIFLETNLMGVQNIMDCAKKSWMIKFLQISTDEVYGSLENRFFTENSPLQPSSPYSASKAAADMLVSAYFETYHFPMNITRCSNNYGP--------CQFPEKLIPLTINHVLEGKKIP--------IYGDGMQVRDWLYVEDHCKAIYLVLKY---AKYGEIYNIGGVQEKTNLEQISKIQGTEKKDYLLEYVEDRLGHDRRYAIYPKKMVQELGWFPETSFETGMQKTIQYFQKNPYS------ 225180903 ----KYLVTGAAGFVGSHLCTSLLSEGNEVWGIDDLSSGKEENIPRFNFIEGCISDESQLIKLI--YKVDIIYHLAAVVGVKHYVEDPTRVIDVNVCYTSSLLE-NAWKLGKKVVFTSTSEVYGKSESIPFAEEDDYGPASCYAISKSAGEYLCLGYAKQ-GLPVVILRYFNVYGPRAD-------DSAYGGVATRFINQALARTPLTVHGDGAQTRCFTYIDDIVKATMEAG--------KRPEAEGRIFNLGRERETPILELAKMVLKVSGTEGEIVFQPYKEFDIRRRIPDLSAARQILGYNPSVTLEEGIRETLNWYRN---------- 292670158 ----KIIVTGGAGFIGANFIYYELRTHDQIICYDALTYAGNLATLDAAKERGDIADRRAVYTTFECTQPDIIVNFAAESHVDRSIENPEIFLQTNIIGTSVLLDACRKYGIQRYHQVSTDEVYGDRTDLLFTEETPLHASSPYSSSKASADLLVQAYARTYDLPITISRCSNNYGA--------FQFPEKLIPLMIIRAMQGAKLP--------VYGDGLNVRDWLHVDD----HCAAIDSIVRRGQGEVYNVGGHNERSNIEVVRTILHALGREEQISYVADRKGHDRRYAIDPAKMERELGWKPTTTFADGIQSTITWYREHETWWKD--- 301310268 ---KQILITGGAGFIGSHLCARLLEEGNEVICLDNYFTGSKENVLKNPHFELIRHDVS----IPFQAEVDEIYNLACPASPVYYQIDPIQTIKTSVLGAVNMLGLAKRVNAKILQ-ASTSEVYGDPMIHPQPESYWIGPRSCYDEGKRCAETLFMDYHRQNKVRIKIIRIFNTYGPNMSTNDGR--------VVSNFIIQALQNKDITIYGD------GNQTRSFQYVDDLIEGMIRMMNTSDDFIGPVNI--GNPGEFSMNELAKIVIRLTNSSSKIVYRPLPGDDPKQRKPDITLAKEKLGWEPTVCLEEGLKKTILYFK----------- 158313510 ----RVVVAGGAGFLGSHLCDRLLLDGEEVVCIDNFLTGRKSNVEHLLDRPGELLEQDVAERVEVAGTVDAVLEFASPASPLDYARYPIETLKAGAHGTLNTLDLARAKGA-RFLLASTSEVYGDPLVHPQEESYWIGPRSMYDEAKRFAEALTTAYRNRHGLDTAIIRIFNTYGPRMRT--------DDGRAIPAFVSQALRGEPVTVAGDGMQTRSVCYVDDLVEG----------IVRMLRSGLPGPVNLGNPHEMTIIDTARLVVELIGSDAPITFVPRPGDDPMVRRPDITLARQQLGWEPVVDVRDGLVRTIEWF------------ 89897186 -KGKKVLVTGAGGFIGSHLTEALVKAGADVRVFGNLEDLEPSLLNQIEIIAGDLRDADVIDRAVKGN--DVVFHLAALVGIPYSYKNPREVVETNIFGTFNILIAGRDHEVSRVVSTSTSEVYGSAQYVPIDENHPLQGQSPYSASKIGADKLAESFYASYNLPVATIRPFNCYGPRQSA-----------------RAIIPTLITQALASSEIKLGNLEAKRDFTFVSDTVAGFMAAALSPKTVGKVINV--GSGQEISIGELAQIILETTQSSAKLVIDQERVSEVNRLLADSRLAKEIMDWEPQVSLTEGILKTMAWIEKN--------- 224071587 ----TVFVTGAAGFVGTHVSVALKRRGDGVLGLDNFNHYYDVNLKRDRQVEGDINDVKLLQKLFDVVYFTHVMHLAAQAGVRYAMQNPKSYVNSNIAGFVNLLEVCKSADPQAMVWASSSSVYGLNKRVPFSEKDRTDPASLYAATKKAGEALAHSYNHIYGLSITGLRFFTVYGP--------WGRPDMAYFFFTKNILKG-----KEIGVYETADGKSVARDFTYIDDIVKGCLAALDTAKNSTGSGVFNLGNTSPVPVSKLVSILEKLLKVKAKKKVLPPRNGDVEFTHANISSAQRELGYMPTTDLETGLKKFVRWYTGYFSGSKKK-- 226945060 ------LITGVAGFIGSNLLETLLGLDQWVVGLDNFSTGHPHNLEEVRQRRGDIRELHDCRQAMTFAAVEHVLHQAALGSVPRSLEDPIATNASNVDGFLNILVAARDAGVKSFTYAASSAAYGDHPGLPKIEDIVGRPLSPYAVSKYVNELYADAFARNYGLHSIGLRYFNVFGKHQD--------PEGAYAAVIPKWIAAMLRDEEVFIDGDG----STSRDFCHV-DNAVQANLLAACAEARARNQVYNIAVGERSTLQQLFQALRQSLAKGRAPLHRDFRPGDVRHSLADIGKARRLLGYLPQYDLRTGIAQAMPWYIRNPTSVSS--- 138642900 MSRKSVLVTGGAGYIGSHVVYSLLEAGYAITVLDNLSTGRREILPREEFIEGNAGDQRLVTDLCRNNEISAVLHFAGSIVAPESVRNPLIYYENNTSVSRNLLSACTEADIQTFIFSSSALVYGEPFTLPLKESAPTTPTTPYGRTKLMTEWMLEDTSNATGLQYAALRYFNVGGADPAGRTGQCSPNATHLLKIAGEVVTGKRPSIVINGDDYDTPDGTCVRDYIHVSDLADAHVEILKLLEKTGR-------------------------------------------------------------------------------------- 168216434 ---KTYLVTGGAGFIGSNFVLYMLKKDIKIINLDKLTYAGNENDERHIFVQGDICDKELVSSLFEKYEIDYVAHFAAESHVDRSIREPEVFAKTNVLGTVNLLNCAKNAWGVKFLHVSTDEVYGLGETGYFMETTPLDPHSPYSSSKASSDLMVKAYADTYKMPVNITRCSNNYGP---------FQFPEKLIPLLINNCLNHKD-------LPVYGDGMNIRDWLFVED----HAKAIDMVINGGRGEVYNVGGHNERTNIQIVKIVIEYLHTEDLIKYVEDRKGHDRRYGIDPTKIKEELGWYPETTFEVGIKKTIKWYLDNKEWMEN--- 260576901 ----RILVTGGAGFVGSFLCDALISRGASVVCLDNFHTGCHEHLLGHPRFRLVSGDVE--RPLDWLGQIDCIYHLACPASPRHYQADPVRTMRTCVLGAINALDLARRHGA-RILLASTSEIYGNPLCHPQREDNCFGPRACYDEGKRAAETLFHDYHRMYGVDIRVARIFNTYGPRM--------AEDDGRVVSNFIVQALRGQPITIYGDGLQTRCFCFATDLAEGLVRLMMHPGELPQPVNLGNPA--------EFTMRELAQKIIRIVGRDAIIDFHDLPQDDPTRRRPDITLAQETLGWQPQVALEDGLTRT---------------- 304385094 ----RILVTGGAGFIGANFMNMWVPRKFEFLNLDKLTYAANLDNLTVSQERGDIADRSQVRQIFQDFRPEVVINFAAESHVDQSIADPAKFITTNVNGTFNLIEEFKELWHKRFHQVSTDEVYGLGATGSFTEASPYQPSSPYSASKASADLLVQSYGRTFGMPISITNASNNFGP--------YQHPEKLIPKVIFNALRGEPIPL--------YGTGENVRDWLYVSDHCEAIWQVFQAKPGAHFNVGADHPLSNQQLVGELLAILAEMTGKPQLVQHVKDRPGHDFRYAIDASLLEQQLGWQPQTEFPAGLRATVDFYLQYQKG------ 262380717 -QNPKILITGVAGFIGSNLLETLLKLEQRVVGLDNFATGHAEQWNNFTFIQGDIRNLEDCQK--ACTNVDYVLHQAALGSVPRSIADPIMTNSANITGFLNILVAARDALVSSFTYAASSSTYGDHPALPKVEENIGKPLSPYAVTKYVNELYAEVFARTYGFKSIGLRYFNVFGKRQDPNGAYAAVIPKWTAAMIAGN------------NVYINGDGETSRDFCFIENTVQANILAATTQNDNAKNQVYNVAVGDRTTLNDLFKAIKAALNYNKEPIYQDFRAGDVRHSQASVEKAQNLLGYCPTHKISTGISLAMSWYVK---------- 307565288 ---KTYLVTGAAGFIGANFVKYLLYKKYKDIILDALTYADDIDNKRCFFIKGDIRDRKLLNNIFSQHDIDYVVNFAAESHVDRSIEDPQLFLSVNILGTQCLMDAAKHAWVKRYHQVSTDEVYGLGNEGFFTETSPLCPHSPYSASKTSADHIVKAYHDTYHMPVTITRCSNNYGP--------YHFPEKLIP--LIINNILKGKSLPVYGKGLNIRDWLFVEDHCKAIDMVIRKGRNGEVYNIGGHNEMVKLTIKSIHDMMESNKNLRSILKKDELITFVPDRLGHDARYAIDATKIKKELGWYPETMFAEGIIKTIRWNLDNQQWIED--- 154344166 ----RVLVCGGVGYIGTHFVRELLRHSHEVIIVDNLAEARKSGCRFAKLEVGDVRDVNFLERVFTAHAPDAVVHMCAYIVVPESVHDPLRYYDNNVVGMLRILQTMHKYQCDKLILSSTAALFGNPPMKPIPSNAKRLPESPYGTTKLVDEYMLQDCAVAYGIKSVCLRYFNACGADPDGDIGETHEPESHLIPVHHPDRKKVKDYISIFGTDYPTPDGTCIRDYVHVKDLSSAHVLALDYDDKDKFFSTFNLGTSRGYSVREVIEAARRVTGHPIPERAEKRRDGDPPVLVASGEEAAAALGWTLVYSIDKIIESAWKFHSGHPFGYES--- 209963580 --GMKVLVTGVAGFIGFHLAQALLDRGDTVVGVDNLNDYYSTALKQDHFQHLNIADRDGMAALAAAHDTAAIAHLAAQAGVRYSLTDPFAYVESNLMGHVVMLEARRFEGLRHLVYASSSSVYGLSEAHPFSLDDRDRPASLYGATKRADELISHSYSHIHRIPQTGLRFFTVYGP--------WGRPDMALFLFTRAILAGEPIELFNHGRL--------QRDFTYIDDIIAGVVRALDRPPPVVEGRVFNLGNNTPVELERFVAVLEDALGLKARRHLAPMQPGDVLSTHADIEESRRVLGFEPSTPIEAGIGRFVDWYRAYYR------- 51891919 ----RFLVTGAAGFIGSHLVEALRAAGHDVVGVDRRPGADV------------VGDLLTLDLAPLLDGVEYVVHLAGQPGVRESWSQFPAYLAGNLQTTQRLLESLRDRPLKKFVLASTSSVYGEVP-MPAREDGPAMPVSPYGLTKLAAEKLCDLYGRTAGIPWVALRYFTVYGPRQRP----------DMAFSRWFNAALDGEPIQIYGDG------SQLRDFTYVADAV---TATQRAALNPVVGVPINVGGGSAVTVREAIRLIAAITGRPIRIRQLPPAPGDMRETRADTERLWREVGFRPSTPLEEGLWQQYRWHLA---------- 254562193 ----HALVTGSAGFIGHALSRRLLAAGFGVTGFDGLSPYYDVGLKRARHVEARLETPSALLDVMARVKPDLVFHLAAQAGVRYSLIDPGAYVEANLVGFANLLEAVRAHPVKHLLAASTSSAYGGNASVPFRETDRVSPLTLYAASKLANEAMAHSYAHLFRIPTTAFRFFTVYGP----------WGRPDMALFLFTRKILAGEPIEVFGEGAAERDFTFIDDLIDAIVALSERPPPMDTLSTVAPYRLVNIGGGRPVRLDAMIGALEAALGRKAERVLKPLPPGDVIRTHASPDLLRDLVGRLPETPLETGIPAFVRWYLDYY-------- 45250013 -----ILVTGGAGFIGSNFIPYFLEKNYRIINLDALTYAGSEHHPRYKFVKGDINNRQLLEYLFEQFNIKGVIHFAAESHVDNSIKGPDIFIETNVKGTFTLLETARKFWMCRFHHISTDEVYGTGEEGYFTEETPYAPNSPYSSSKASSDMIVRSYFHTYGLNVVTTNCSNNYGP---------KQHDEKLIPTVIRKALANE-------HIPIYGDGKNVRDWLYVLDHCQAIDAVYHRGVC---GEVYNIGSRNEQNNLQIAHMICELLDRKELITLVQDRPGHDRRYAIDPKKIETQLGWKAEENFEDGIRKTVQWYLN---------- 134689236 ---------------------------HDISVIDDLSNSHIAALQRVRFMQADLCDTTAVSAELQRFRPDGVIHFAGLKAVGASVARPLDYYRKNVGGTLSLLAGMERVGCHKIVFSSSATVYGLPQYLPYDELHPTEPVNPYGHTKLMIEQILRDWNVTHDASAVCLRYFNPAGAHPSGEIGENPKGPDNLMPFLAQVAGGHRPALTVFGNDFETRDGTGERDYIHVVDLARAHLAAIEHVRTNSGFQVFNIGTGRGVTVLELAAAFTRMSGRAIATTVTARRSGDLARFYANAQRAEKTLGWTAQKSLFDICAEA---------------- 143235826 MKRRKILVTGGSGFIGANFILNWFSENEEPLVLDKLTYAHLKNSKNYFFEKGSIEDLSLVTALLEKYQPRAVINFAAESHVDRSISDSDDFIQTNILGTHTLLKASLKYFNFRYIQISTDEVYGSDKDPQSLEDSPYFPNSPYSASKASGDHLARAWHETFGLPVITTNCTNNYGP---------FQHEEKLIPLMISHSLKGKK-------LPIYGDGSNVRDWLYVKDHCDALSLVLKKGRT---GETYNIGGKNEIKNIEVVTQICNLLDYSDQITFVEDRLGHDYRYGLNISKIEKEIGWTPKENFSSGINKTVEWYLK---------- 143017750 ---KKIIVTGGSGFIGNNLVDFLIRKNFFVINLDKFTYASYNPNKNYKLIKTDINNKNLILKILKKYRPRAVFNLAAETHVDRSIDGPSNFIHTNINGTFNLLETLRIKIKPKLIHISTDEVYGDIQSERSNENQKYKPSSPYSASKAGADHLVKSYIRTYKINAVISNCCNNFGP--------YQFPEKLIPKIISNIFKNKSLPIYAKG--------KNSREWIYVDDHCEALFR---LYLKGKNGESYNVGSGINLQNIELVKKIIKICGNKSKIKFVKDRPGHDFRYALNSNKISRELKWKTKVKFDEGLKKTVIWYLNN-KNFFNK-- 309311218 -----ILVTGANGYIGSHVVLELLKQGYGVIAIDSLENSSAESLRRVRHHQTDIRDRRGMLAIFQGYRIRHVIHFAALKSVEGSRLNPAGYYSTNVLGTAGLLEVMKASGVNSLVFSSSAVVYGSRAGRPGGPGHYARITNTYGKTKLMCEELIHKLCYEHGFRAVILRYTNPSGNDPSGRIGDSPTYPENLMPIAAQVLQGTREQISIYGADYPTRDGTGVRDFIHVQDLAKGHLAALSAFQPKGNCQTYNLGTGKGASVMEVIQALTEASGRPIKTTIAPRRPGDLATVICDPSKAELELGWKAEKGVLEMATDLWKFCVSNPHGL----- 298528883 ----KVVITGGCGFIGLNTIDYLKKKSHLKIVLDNESLGRKEYLKEFEGLEGDIRDSDLVDRVL--YGADAVVHLAADTRVLDSIADPVKNFQINVLGTFNVLNAVRNHSVPLLVNASTGGAILGEVSPPVHENMIPEPISPYGASKLSIEGYCSAFSGSYGVKASSLRFSNVYGPRSY---------------HKGSVVAAFFKRILADKPIDVYGDGTQIRDYVYVDDICQGIYSSL----NIGAEGVYQLGTGIPTSINQLIEIMQDVVGRDIKVRYHGFREGEIHTTYCDISKAEKALRYHPKTELTQGLKLTWEWFKN---------- 123965387 IKNKKIIVTGGAGFIGGTLIRKILQKDWVVYNLDQMGYASDDYKFRHFFLKIDLRNKEILENLVKEINPNLIIHLAAESHVDRSIDNPLKFIESNIIGTFNLLEASRAYWKFRFIHISTDEVFGLGLDGKFDENTKYSPRSPYSASKASSDHLAQSWYHTYGLPTIISNCSNNFGP--------YQFPEKLIPLSILKGIKGEKIPL--------YGNGLNVRDWLYVEDHVEALLLIAEK---GEVGKSYCIGGFGERTNKEVQLQICKILDAVAPKEFVTDRPGHDIRYAINSNLIKEKLGWTPKVTFEDGLKKTIYWYLNHSKWI----- 86359939 -KSKTVLVAGGAGFVGSHLCDALLGRGDTVICVDSYITGSRDNVRPLMNHPGFRLIEQDICKFIEIGEPDQIYNLACAASPPQYQADPVHTMMTCVAGTGNLLALAERHRA-AFLQASTSEVYGDPAEHPQKEDSCTGPRACYDEGKRAAEALCFDMLRAGRVDVRVARIFNTYGPRMQANDGR--------IVSNLVVQALSGKPLTIYGSGMQT------RSFCYVSDLVGGLMALMDVRPNPG--VPVNLGNPGEFTINELAQMIRSMVPVRTAVAYRPLPKDDPQRRRPDISRATELLDWQPTVPLAEGLRYTIDWFAAN--------- 308180143 ----HLLITGGAGFIGANFARYVYESHPEVVVLDKLTYAGNRANLTDKLVVGDICDAPLVDRLVS--KADAIVHFAAESHNDKALVNPWPFIQTNIVGTYTLIQAATKYH-KRFHHVSTDEVYGDGDEGKFTPTSPYQPSSPYSASKASSDMLVRAWTRSFGLQATISNCSNNYGP---------YQHIEKFIPRQITNILSGRRPKL-------YGTGNNVRDWIHTNDHSAAIWDILTKGRI---GEAYLIGANGEMSNKAVLEMILQLMGQPQDYDVVRDRPGHDLRYAIDASKLRTELGWRPQYTFEAGLQDTIEWYTSHRSWWE---- 290956642 ------LVTGGAGFIGSHLAASLIERGDDVVVLDNLDGGKTENVPVGATVVGSVADQATVAELFASYRFDGVYHLAAFAAEGISHAVKHHNYSVNLLGSINLINASLAAKVRFFGFASSVAVYGHGH-VPMREDERPVPADSYGNAKLAVERELAVTMQMQGLPYFALRMHNVYGERQN------MGDPYRNAVAIFLNQIMRDEPISVYGD------GSQIRAFTYAPDIVGTFLAAADQPAAWG--QVFNVGSSHTSTVLEMAHAVRTAMGVDHPIKHLAAR-DEVHAAYTDNSLARKTLGDWADTPLAEGLRRTAAWAREH--------- 126696749 -KNRKVLVTGADGFIGSHLTEMLVRKGYEVNAFCLYNSFGPEIKNNINYIFGDIRNYDSISKAVKSNNF--VFHLAALIGIPYSYTSPSSYVDTNIKGTLNVLEACRKFDIEKLIHTSTSETYGSAQYVPINEEHPLVAQSPYAATKIAADQLALSFFKSFNTPVSILRPFNTYGPRQS----------CRAVIPSIILQILEKKEFISLGSLMPT------RDFNYVSDTCEAFEKIC--ISNKTTGQILNAASEYEISIADTVKEISKIMNSKISIISDQKRKSEVNRLFGDSSRLQELSNWKPKYGFREGIKKTIDWFINNRNN------ 134389178 --------------------------------------------------------------------------------------------------------------VKKFVFSSTCATYGEPQSLPIVETLPQAPINPYGQTKLDVENCLKAFAHAYGLSFAAFRYFNAAGAAEDGTLGEDHQPETHLIPLVIDAATGRRDHIKIFGTDYDTPDGTCLRDYVHVDDLSRAHIAAFKKLETPGASHFYNLGTGRPNSVREIIDAVEAVTGLKVPVVEDERRAGDPPALYADSSKAQNELGWEIKFTVKDIIETAWRWHLAKPDGFSD--- 136224091 ---KKIIVTGGLGFIGSNLIDLLIRKNYYVINIDKVTYYNVQEYKKSKYYKFCDIKDKKIKGILFRYKPVAIFNLAAETHVDRSIDNPENFIQSNIVGVYNLLECFKEYHKSKLIHISTDEVYGDILSGRSSENYPYQPSSPYAASKASSDHLVSSYVRTYKIPAMITNCSNNYGP--------KQHPEKLIPKLIYNILNNKPLP--------IYGKGTNSREWIYVKDHCEAL---LKVFMKGKIGEFYNIGSNKNLNNIQVSKELINVSGKKVKILFVKDRPGHDVRYALNSNKIKKKIGWKPRTNFRQGIKLTFDWYKNYYKSLSKK-- 84502185 ---QTALVAGGAGFLGSHLCDELLARGLRVICLDNFHTGRRSNVPLCNDRRFTLIEADVTDARLPDQPVDWVFNLASPASPPHYQSDPVRTMMTNVVGTGNLL-SFATRAGARYLQASTSEVYGDPELHPQREDYWIGKRACYDEGKRAAESLCYDHFRAGSLDVRVARIFNTYGPRMRS--------DDGRIVSNLLVQALEGREITVYGDG------SQTRSFCYVSDLVRGLIALM--AVDETPEGPVNLGNPQEVSVLDLAHHIRKALSSSSSITFKPLPSDDPKRRRPDITRAKSLLDWTPKVPLDEGLARTAAWF------------ 135977012 ------LVTGAAGFIGSNLVDYLLEQGHTVVSVDNESANNEKFHWTHENVKGDITDYKFMKKVFTNV--DYVFHLAAESRLQPAIENPIGAVEKNCVGTTVMLQCAREAGVKRFIYSSTSSAYGNNPY-PNVETQPDDCLNPYSASKAAGEKFCKMYYNLYGLETIVLRYFNVFG-------------DRSPARGQYAPVIGIFQRQKEAGQALIVGDGSQRRDFVHVKDVARANYMAATSPVDNHLGEVFNVGSGTCYSIQDIANTI------SLNQTYIPERKGEMDTTFADISKIKNIIGWEPEIDVLEWLK------------------ 143362892 ----TILVTGGAGFIGSNFLHHLTTTDEEIICIDKLTYGNRKYVPDSVKLYVDIASEGSCNSIFKRHKISAVFNFAAESHVDNSIKDCSQFVHTNVAGTANLLSCSVKHGVEKFIHISTDEVYGSIQWGTFMETTPYNPRNPYSASKAASEHFVMAFHNTYGLPVNITNCSNNYGPRQY---------KEKLIPQTILNILSDKK-------IPIYGDGGQVRDWLYVQDHC---TALIKVWKDGISGERYNIGGMCEMTNLDLVKKILYMMGKDEMIEYVTDRPGHDRRYSTCINKIRHNLYWSPMFSLDYGLQKTIEWY------------ 14601230 ----RVLVTGGAGFIGSSFVRYIVNTDWEVLVYDKLTYAGRLENLHDVIDRGDIADEEQFGRVLTEFEPDVVVNFAAETHVDRSINEPAPFMRTNIIGVFTILEAIRKRIDQILLHVSTDEVYGDLWNTEATESDPLNPSSPYSASKASGDLLIKAYGRTYGLKYRIVRPCNNYGP---------YQHVEKLIPRTIIRILHGKPP-------VIYGDGSQIRDWLYVEDTARAIHVVLEKGV---DGEIYNVCGGMASTVKDIVVNILESMGKPRDYVYGKSRPGEDRRYAMKCDKIRN-LGWAPHVTLKEGLKITVKWYIENRWW------ 134826486 --------------------------------------------------------------------PVAIMHFAALSQVGESMQKPGLYWQNNVMGSLNLIQAAVDHGCMDFVFSSTCATYGDQDSVVLDEDSMQHPINAYGASKRAVENILADYQATYGLNQVIFRYFNVAGADPEAEIGEFHQPETHLIPLILDTVDGKRDALTIFGTDYNTPDGTCIRDYVHVCDLVDAHILGLTWLQEGRDSRVFNLGNGGGFSVREVIEHAEQVTKRSVATIEGARRPGDCTRLLSGSSRAVSELGWSANRSMRQMITDAWRWHQN---------- 136158024 ----NLLITGGAGFIGSNFVHRLSKENERIFVIDALTYAYLVKNDNLTFIKGDIRDKSLVDGVMS--QIDSVINFAAESHVDRSISSSEIFISTNVLGTQVLLESALKFGVKRFVQISTDEVYGSVPDGAAKEDFLLSPNSPYAASKAASELISYAYYKTYGLNLMITRSSNNYGPN----------QHAEKLLPLVINAIRRKQNIPVYGNGL------NRRDWLHVKDNCIAIELVLEQGTA---GDVYNIGGNNELSNLELINKVLEIMGASFKITFVEDRKGHDFRYSIDSEKILHELNFIPQVNFTKGLIDTIQWYLKN--------- 301062509 -QQKRILVTGGAGFLGSHLCDRLIREGHDVLCLDNFFTGTKKNILHNPNFELIRHDLAEVDEIYNLACPASPIHYQH---------NPVKTVKTNVLGSIHMLGLAKRVHAKVLQ-ASTSEVYGDPTVHPQKESYWIGIRSCYDEGKRCAETLFFDYHRQNHVNIRVVRIFNTYGPRM--------HPNDGRVVSNFIVQALKNQDITVYGD------GSQTRSFCYVDDLVDGMVRMM--NGSDDFVGPVNLGNPKEFTILELAEQIIQMTGSRSGVVFRSLPQDDPLQRQPDISLAKEKLQWEPATALETGLQSTIAYFRK---------- 296394661 ----KLLVTGGAGFIGANFVRRHTRPDVEIVVLDALTYAGSLDTLEAVREHGDVTDEGVVDDLVR--DSDAVVHFAAETHNDNALVRPGAFVHTNIIGSFVLAEAVRRHGV-RLHQVSTDEVYGDGEDRRFAEGDAFNPSSPYSASKASGDLLLRAWARSFGIEATISHCTNNFGP----------WQHVEKFIPRQITNLLTGTAPKVYGDG------RHVRDWIHVDDHNDAVWAILDR---GAPGRTYHIGAGNELGNLAVAQLLCELVGVDPRIELVADRPGHDRRYALDASRVTAELGWSPKTDFRAALRETVDWYQEHQHWWAKDK- 145251638 MKNKTILVTGGAGFIGGWFVRHLLQTKYTVLCFDILDYCPVEHLPNFHFFPGDLCDRDRVTALFQQFKVDAVVHFAANSHVDQSLVNPLSFTRSNVTGTHVLLEAARQGTVIRFIHISTDEVYGGNQDYAFTEEDQLNPTNPYSASKAAAEMIANSYRYSFHMPIIITRCNNVFGP--------CQYPEKLIPKFAM--QMLRSQRMTLHGQGEAVRGFVYVSDAMSAFDIILHRGLVSETYNISSKEQ--IKVVDVAKRIIQWFHAVQSDT-CEQYLETVADRPFNDRMYWTNDSKLRQ-LGWTEKVSFDEGLIMTLEWYRDHGETF----- 136508151 ---RTALIAGGAGFIGSHLAEQLLSEGYRVVVADSFMTGNLEHLASNPDLEANVRSPLRLDDALADGKLLEVYNLASPASPKHYQKDPIDTLLTNVIGTENLLELAEAHGAYYFQ-ASTSEVYGDPAVHPQPESYWIGIRSCYDEGKRAAEALCFDHARSRGAKVRVGRIFNTYGPRMA-------RDDGRMVPNFITQALSGAD-------LTVWGDGTQTRSLQYIDDLVAGILVVM----RQDDIGPFNLGNPQEDTVQELAERIIALTGSKSRITYEPLPSDDPLRRKPDISKARA-LGWEPTVSLEEGLKKTIEYFRA---------- 297155360 ----RVLVTGGAGFIGSHVVEALAERGHEPVVYDVCADAGS-----------DVRDRAAVRRALSGV--DAVCHQAAMVGLGTGFAEAAEYVSRNDLGTAVLLTAMADTGVRRLVLAGSMVVYGEGRPGLIAEDAPVDPRNVYATTKLAQEHLGAAWARVTGGSAVSLRYHNVYGPRM------PRDTPYAGVASFFRSALARGEAPRVFEDGC------QRRDFVHVRDVAAANVAALEAGSPQGALTVYNAGSGEPHTVGEMARTLATAYGGPEPVVTGEYRLGDVRHITADSSRLRAELGWRAQVGFEEGVREFAR-------------- 50659030 LKRKRVVVTGGAGFVGSHLVDRLLARGDSVIVVDNFFTGRKENVAHHEMIRHDVVEPILLE-------VDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGA-RFLLTSTSEVYGDPLQHPQVETYWIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRM--------CIDDGRVVSNFVAQALRKEPLTVYGDGKQT------RSFQYVSDLVEGLMKLME----GEHVGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRANTADDPHKRKPDITKAKELLGWEPKVALRNGLPLMVQ-------------- 123966655 -KNRN-LITGGSGFLGSHLANNLLKKGEEVICLDNFFTGNIHHLLKDPNFELIRHDVTEPIKL----EVDKIWHLACPASPIHYQFNPIKTTKTSFMGTYNMLGLAKRIGA-KILLASTSEVYGDPLEHPQTESNTTGIRSCYDEGKRVAETLCSDYQRIHGVDVRIMRIFNTYGPNMRS--------DDGRVVSNFIKQALKNEKITLYGEGKQT------RSFCYVDDLINGMILLMESDFQSP----INIGNPNEFSIRELADIVRDLINPNLEYEFKEMPKDDPKQRKPSISLAKSILNWEPKVELKEGLLKTIEWFKYN--------- 294956252 --KKRILVTGGGGFIGSHMVDFLMQLGHEVICMDNFFSGDKANIRWLSNPRFELIRHDVTQEIL--LEVDQIYHLACPASPVHYQHNAIKTLKTNVIGTLNMCGIAKRTGA-RLLLASTSEVYGDPEEHPQKETNCIGTRSCYDEGKRAAEALCMDYHRQHGVDVRIARIFNTYGPRM--------MFHDGRVVSNFLVQALRGDKITVYGD------GTQTRSFCFVSDLVLGLYRLMECETTIGP---VNLGNQSEFTVGELANMVRELAKHELEIEYRTLPQDDPRRRQPDITRAQKHLGWEARITLKEGLKATYR-------------- 144125223 LSGKTIVVTGGAGFLGQAVCRVLRERG----VAD-------EKIVVPRRKDFDLTCEADVERLYEQARPDIVIHLAAVGGIGANMLQPGRFFYANMAMGMHLVEHARRRGLEKFVHTGTVCAYPKFATVPFREDDPEETNAPYGVAKKAIFVMLDGYLRQYGLASSVVVPVNLYGPR-DNFDPQSSHVIPALIRKCEEARLAGDDEIVCWGTGSAT------REFLYVDDAAEGIVRATEVM---NEPVPINLGGGQEIAIRDLVQKIAAACDFTGGIRWDDSKPDGQPRRGLDISRARSLLGWEPQMDFDRGLAATVDWWRQ---------- 91203723 MENLRALVLGGNGFIGSHLVDRLLVEGHYVRVFDRYEERYRRPLDLVDYRNGDFGNRGLL--VDALQEMDTVFHLISTTLPKTSNDDPAFDVSSNVVESIFLLEQCVAAGIKKIVFISSGAIYGNPKTLPIAEDSPTEPLCSYGITKLANEKYLGLFSYLYGLDYVVLRPSNPYGERQYP----------FGIQGAISVFLGKVAKGEAIGIWGDGRVI---RDYIYINDLIDGIYR---ASAFKTESRVFNLGSGKGCSLNEIIKIIRQVTGRDVKVIYKEKRSFDVPAIYLDITRATSELSWEPRTSLEAGFEKTWQF------------- 241761839 -----ILITGIAGFIGSFAAKSLLERGEEIVGIDNLNDYYDPELKKKRLAEIDFSDGTLLNNTLEKFDFDRIIHLGAQAGVRYSLINPQIYGDSNLIGHLNILELARHRKVRHMVYASSSSVYGNRSTLPFKVDSQPDPASLYAATKRAGEMLSESYAYLYRIPLTGLRFFTVYGI----------WGRPDMAMWIFTKKILQKQPIMLFNNGEMQRDFTYIDDAVSGLIAALDNPPQDNNKIKSGGSLNYNIGNHHPENLKYLVELLEEACGCHAIKELRPMQAGDVSTTYADIETSKRDLGFHPKITLDIGAGRFVEWFRHY--------- 142012599 MKKKNILITGADGFIGSHLTELMVREGFNVTAL----SMYNSFNDWGWLEEVDIRDPDFCKKITK--GKDIVFHLAALIGIPYSYLAPQSYVDTNIKGTMNICQAALDNEIEQMIHTSTSEVYGTANYVPIDEKHPTQAQSPYSASKISADAMAMSFYNSFELPLVIARPFNTYGPRQSS---------RAIIPTIITQIANGLSKIDL-------GDLTPTRDFNFVSDTCN--GFLLLSGCEKANGEIVNIGSNTEISIGDLVNKIKTLMGSDIEIQTDPKRISEVFRLVCDNQKINELVSYKPSIAIDEGLKKTIDW------------- 284038401 ---KRVLITGGAGFLGSHLCDRFIKEGYHVIAMDNLITGDIRNIEHLFHPNFEFYHHDVSKFIHVPGELDYILHFASPASPIDYLKIPIQTLKVGSLGIHNCLGLARVKGA-RVLIASTSEVYGDPSVHPQPEEYWVGPRGVYDEAKRFQEAITMAYHTYHGLETRIVRIFNTYGPRM-------RLNDGRVLPAFIGQALRGED-------LTVFGDGSQTRSFCYVDDLVEGIYRLLL----SDYAYPVNIGNPSEITIKEFGEEIIKLTGTKQKLILKDLPVDDPKQRQPDITKAKAILDWEPKVSREEGLRITYDYFKSLPE------- 282880805 ---KTYLVTGAAGFIGANFIKYLLDKKYKVIILDALTYANDIDNKRCFFVKGDIRDKDLADKLFAEHDIDFVVNFAAESHVDRSIEDPQLFLSVNILGTQNLMDAARRAWVMRYHQVSTDEVYGLGAEGFFTEQTPLCPHSPYSASKTSADMIVMAYRDTYRMPASITRCSNNYGP--------YHFPEKLIPLIIKNILEGKQLP--------VYGKGDNVRDWLYVEDHCKAIDMVVR---EGKEGEVYNVGGHNEMKNIDIIKTIHDMMQQDKDITFVADRLGHDQRYAIDPTKIKNDLGWYPETMFADGIVKTIKWNLQHQDWINE--- 218192543 ----RILVTGGAGFIGSHLVDKLMEEKHEVIVADNFFTGSKDNLKWIGHPRFELIRHDVTQPLL--VEVDQIYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGA-RILLTSTSEVYGDPLEHPQTEAYWIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRM--NIDDGRVVSNFIAQAVRGEPLTVQKP------------GTQTRSFCYVADMVNG----LIKLMNGDNTGPINLGNPGEFTMLELAENVKELINPEVTVTMTENTPDDPRQRKPDITKAKEVLGWEPKIVLRDGL------------------- 57505565 ---KKILITGADGFIGSHLCEILNAKGYEIKALSLYNSGHLEHLECREDLEGDLRDSFFCDSLVKGV--DAVLHLGALIAIPYSYTAPQSYVDTNIQGTLNLLEASKRHGVKRFIHTSTSEVYGSAIYTPIDEKHPLQPQSPYSASKIGADMLALSYFYSFNLPVIVARPFNAYGPRQS---------ARAFIPAMMVQILSGVRELKV-------GDLSTKRDLNFVRDTCEGFAALL---NNGEFGEIYNIGSGVEYAMSEVLELICKLSGVELKISQDETRLSEVTRLLCDSSKLKSVSAWRSQISLKEGLEQTLNYIKENLNAYK---- 136342647 ---KTILVTGGTGFIGSHLCQRLLLMGHHVICLDNNFTGSLDNIKEFRHERFEFIRHDVTEKIL--LEVDQIYHLACPASPKSYQYNAIKTVKTNVMGTMNMLGLAKRVKA-RILLTSTSEVYGDPKVSPQREDYWIGIRSCYDEGKRIAETLMMEYHRNHGVEIRIARIFNTYGPRL--------HRDDGRVISNFIVQALQGKPITVYGD------GSQTRSFCYVGDTVEGLIRLMEVND---YVLPVNIGNPQEITIREIGEKICSMIGEGDRLVFRDLPQDDPLQRKPDISRARELLGWNPTISLEEGLQRTIGYFMK---------- 302341858 ---KRILVTGGAGSIGSFVCEYLIDRGHEVIALDNGSSRKVEHLFRFKFVQDSIMNKDVLER--QVQRSDIVIHLAAIADPKRYVTEPLNVLNINVKGSIQLLELCAAKGA-KVIFASTSEVPGRNTQVPFNEEADRPSINRWCYSKALIEHFLYAYRQQENLPFVIMRFFNVYGPRCDDLGQGRVIP-------IFMEKLLGGQPLTIHGDGKQTRCFTFIED--------ACQAVVELALNPAAEGLCFNVGNDRETSILELAQTLIKVGQFESDIVFKPKSYEDIPRRIPDVRRIKSVINWEASTSLEDGLRKTIDFYRDWAK------- 254516001 ------MVTGAAGFIGSNFVHYWLNTHDRVIGYDALTYAGNRANLAAVFVHADICDESRVTQLLSDESVDTLVHFAAESHVDRSITGPDAFIETNIIGTHSLLKAARSVWPHRFHHVSTDEVYGAPDAPGFFEAQQYQPNSPYSASKAASDHLVRAYHHTYGLAVTTSNCSNNYGP--------FHFPEKLIPLCMTNILRGLALP--------VYGDGSNIRDWLYVED----HCRGIDLVLNKGEGESYNIGGNNEWNNLAIVNLLCERLDARFTIRFVTDRAGHDWRYAIDASRIMSELGYAPAETFETGIERTLDWYLDNESW------ 283823228 -SRKRVLVTGGAGFLGSHLIDRLLADGHEVLCVDNLFTGNIDHLHGQPRFEFMRHDYVEVDEIYNLACPASPVHYQH---------DPVQTTKTSVHGAINMLGLAKRLKC-RILQASTSEVYGDPAVHPQREDYWIGPRSCYDEGKRCAETLFFDYNRQHQLDIKVARIFNTYGPRM-------HRADGRVVSNFIVQALTN-EPITLYGDGRQT------RSFCYVDDLIDGLVRLM--NSPAGFIGPVNLGNPGEFSMLELAREVVRQTDSASEIVYRPLPADDPKQRQPDIALAQAKLGWQPEVPLSEGLKPTIAYFRA---------- 124021814 ----KAVVTGGAGFIGSHLVEQLLELGHSVSIIDNYSTGRPENISHVINDQSDLSIRGSWEHLIR--ESDIIFHLASLADIVPSIENPQLYFHSNVTSTVNIMEVAKERK-NRVIYAASSSCYGIPDSYPTAENAEIRPEYPYALTKWLGEQIVIHWGKIYNIPVISTRFFNVYGTRSRT------SGTYGAVFGVFLAQKLANKPLTIVGN------GEQKRDFTYVTDVCNGL---IKAALSSVTNSIINIGSGNPQTINYLANLI------GGERVFIPQRPGEPDITHADITLARELLGYSPKITFEEGVQKVMD-------------- 119499756 -----VLVTGGLGFIGSHTCLELLKAGYNVLIVDDLSNSYRHHCPKATLYDVDYRDMSAMRNLLDAYQLDGVIHFAAFKQVEESIHTPLKYYRNNINGLVDLLFLLDQYRITTFIFSSSANVYGENRPILHEEECTHQPTNPYGRTKLFGEAILADVARANPAWTIVARYFNPIGCDASGLLGEDPINPSNLVPALVDILTGRRDELLIYGSDWDTPDGTPIRDFIHVTDVARGHTAALAAARERDGFRTFNLGTGRGHSVLELVRTLENVSGRTIPRRVVGRRAGDVGSCVASAERAAVELGWTTEKSLRDACEDLW--------------- 222481362 -----VLVTGGAGFIGSHLVDALAPV-ADVHVLDDCSTGRQTAVHGDATLTVDITDHETLADAVA--GTDYVFHLAAISSVPGAMADPPRALDVNVSATADLLDLATDAGA-RVVFASSAAVYGDPSSVPIGETDAKDPREPYGVSKLAGDHLVRGYADWKDLDTVALRLFNVYGPGQTGGVVPSFLEQVQ-----------RGEPLVVHGD------GTQTRDFVHVDDVVR---AMVAAARTDATGESFNVGTGDVTSIHELATVVRDAAPVTVDVVHDDPRPADVPESQADTTKARRDLEFEARTTVEDGVHALVE-------------- 255523726 ----NILVTGGAGFIGRWVVKRLLEDGHQIVALDNLSNGRIENIKNFKFIKGDIKDEAVLDEIFR-EKFDIVYHLAASINVQDSIDDPRTTFYNDTIGTFNILEKAKIQMPCKVVFMSTCMVYDVAAEAGIDEKHPVKPVSPYGGSKIAAENMVLSYYNAYKLPTVVIRPFNTYGP------FQKTGGEGGVVAIFINNSIHGRD-------INIYGSGEQTRDLLYVKDCARFVVMSGYSQNVNGN--IINAGTGRDVTINELAEIITKDRVKVNHVKHIHPQ-SEIMKLKCNYNKAKQLINWEPEYTLEQGIEETEQW------------- 300779954 ------LVTGGAGFIGANFVRMVAQRQPEIVVLDALTYAGNAENLAGLDEEGRVEDAGVVDTLAR--DADVVVHFAAESHNDNSLRDPSPFVATNIMGTYTLVEAVRKYG-TRFHHVSTDEVFGDDDPAKFTESTPYNPSSPYSATKAGSDHLVRAWVRSFGIDATISNCSNNYGP----------YQHIEKFIPRQITNLLSGRPAKLYGT------GEQVRDWIHVDDHNDAVLAILERGKA---GETYNIGASGERSNLEVITMICELMGGEF--EHVADRPGHDMRYAMDATKLHDELAWQPHYDMREGLANTIEWYRTHEAWWQEAKD 168703981 ------LITGGAGFIGSHLCERFLAEGHEVIAVDNLITGHLRVNPKFRFIGHDISNPLKVRE-----KLDNVLHFASPASPVDYLEHPIPTLKVGSLGTHNTLGLAKAHGA-RYLLASTSEVYGDPLEHPQKESYWGN-VNPYDEAKRFAESITMAYHRVHGVNTHIIRIFNTYGERM-------RLNDGRVLPNFMYQALMGE-------SITVYGKGDQTRSFQYVSDLVEGIWRLLPTDHHDP----VNLGNPAEITILEFAEEIKKLAGSKSEIVFKPLPQDDPKVRQPDIARARQLLGWEPKVGRDEGLKRTMDFFRR---------- 225437374 LKRKRIVVTGGAGFVGSHLVDRLIRRGDSVIVVDNFFTGRKENVMHHFNPRFELIRHDVVEPLL--LEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGA-RFLLTSTSEVYGDPLQHPQVETYWIGVRSCYDEGKRTAETLTMDYHRGAEVEVRIARIFNTYGPRM--------CIDDGRVVSNFVAQALRKEPLTVYGDGKQT------RSFQYVSDLVEGLIRLME----GEHVGPFNLGNPGEFTMLELAQVVQETIDPNAKIEFRPNTEDDPHKRKPDISKAKDLLGWEPKVALRKGL------------------- 297813753 ----TVLVTGASGFVGTHVSIALRRRGDGVLGLDNFNRYYDPKLKRARQVEGDINDAVLLRKLFDVVLFTHVMHLAAQAGVRYAMQNPGSYVNSNIAGFVNLLEVSKSANPQAIVWASSSSVYGLNSKVPFSEKDRTDPASLYAATKKAGEGIAHTYNHIYGLSLTGLRFFTVYGP----------WGRPDMAYFFFTKDILKGKTITVFESPD---KGSVARDFTYIDDIVKGCLGALDTAEKSTGSGIYNLGNTSPVPVTKLVTILEKLLKMKAKKKIMPPRNGDVEFTHANITLAQAELGYKPAVDLETGLKKFVKWYMGFYTGSKKK-- 159900946 ----RVLITGAAGFLGSHLCERFLSEGHSVVGMDNFITGNPENIAHFQFIRHDVTNY-----IFLPGPLDAVLHFASPASPIDYLELPIQTLKVGALGTHNALGLAKAKGA-RFLIASTSEVYGDPQVHPQPETYWIGPRGVYDEAKRFAEAMTMAYHTYHGVQTRIVRIFNTYGPRMRLADGR--------VVPNFIQQALRGEALTLYGDGLQTRSFQFVGDLVEG----------VYRLLLSDEVEPVNIGNPHEFTMREFAEIVNAMTGNPAGTVIKPRIKDDPQNRQPDISKAKRVLNWEPQVTLQAGLEQTIPWF------------ 87310749 ---KRILVTGGAGFLGSHLCERLVEAGHDVICLDNFFTSQKSNIVRL----LDFHNFEFIRHDITWLEVDEIYNLACPAAPGHYQYNPIKTTKTSVMGAINVLGMAKRCRA-RVLQASTSEVYGDPEIHPQPESNPIGPRACYDEGKRVAETLFMDYHRSNRVAIKIVRIFNTYGPRM--------HPYDGRVVSNFIRQAINNEPITLYGD------GSQTRSFCYRDDLVEAMIRMM--NCDGSFIGPVNIGNPHEFTIRQLAELVVKYTGSKSKFVHKPLPEDDPLQRQPDIALAKEKLDWEPKVELEAGLKATIEWFRNHYRAPTPNHD 135675571 ----NYLVTGGAGFIGSHLVGELLKNSNNVIVVDNLITGDVKNINQFMNFKFKFIEHDIQESLFIDDKIHHVIHLASCASPKAYSKYPINTLKSGSIGTINALGIAKKHNA-KFFLASTSEIYGDPEVSPQDENYWLGPRSMYDESKRFAESATHSYMSTHGIHTNIARIFNTYGPNM--------QIDDGRVVTNFIYQAINDKDITIYGSGDQTRSFSFIEDTLDG----------LLRVINYEESDVFNIGSENEITIKFLAETIVTLTNSNSKIIFEDLPENDPKQRKPDLTKAKKLLGYNPNHTLEEGLKITIDWIKKTYK------- 297567132 ------MVTGGAGFIGSNYVYYALEAHWEMVVFDKLTYGNLENLQRIEFVQGDIADPEAVRKALAGV--DAVVNFAAESHVDRSLLDPRPFVKTNVEGTLVLLEEARRAGVRRFLHVSTDEVYGDLAGTLHHEADPMRPRSPYAASKAAAEHLVYSYGISYGLDVVVTRGSNTYGP----------YQYPEKIIPLFITNALEDKPLPLYGDGSAL------RDYMHAYDHASGIDLVLHRGSA---GEAYNLGAREQVPGTQVAERVLELLGKPPTIKFVEDRPGHDYRYSVDPSKA-EALGWVRRYTFEEGLAKTVQWYVDN--------- 153954706 ------LVTGGAGFIGRWVTKRLLNENDSVTVLDNLSNGTLENIEHFKFIGGDIKDKNKLDEVFKN-KFDIIYHLAASINVQDSIDDPETTFFNDTLGTFNILEKARYQMPCKIVFMSTCMVYDVSKDEGISETHPVKPVSPYGGSKIAAENMVLSYYNAYKLPAVVIRPFNTYGS------FQKTGGEGGVVAIFINNVLHGRD-------INIYGSGEQTRDLLYVKDCADFVVESG--YSEKVNGQIVNAGTGRDVTINELADIICGSKIKINHVKHIHPQ-SEIMKLKCDYSKAKKLIGWQPKYTLEQGIEETRKW------------- 159037959 ----RILVTGGAGFIGSAYVRRLLSRPDQVTVLDSFTYGPVRDDPRLRVVRGDVRDEDLVDATVAGH--DAIVHFAAESHVDRSIASAAPFVSTNVGGTQVLLDAALRHRTGRFLHVSTDEVYGSISQGTSPPSAPLNPSSPYSATKAAADLLALAYHRTHGLDVVITRGANNYGP--------YQHPEKLIPRFVTNLFEGHTLPLYGDGGDI--------RDWLHVDDHCHGIAL---AQRNGRAGAIYHLGGGTALTNRDLTAALLDLFDVGWRVTPVTDRKGHDRRYALDTTATRRDLGWAPAVALDRGLTATVRWYREHRAWWE---- 254431328 ------LVTGGAGFLGSHLVDRLMEAGEEVLCLDNYFTGRKSNIRWIGHPRFELIRHDVTEPV--QLEVDRIWHLACPASPVHYQHNPIKTAKTSFLGTYNMLGLARRVGA-RLLLASTSEVYGDPEVHPQPEENTIGPRSCYDEGKRIAETLCFDYRRMHGTEVRVARIFNTYGPRM--------LPDDGRVVSNFIVQALRGEPLTLYGD------GSQTRSFCYVEDLVE----GLIRLMNGRHPGPMNLGNPGEFTIRQLAELVRERINPALPLVLQPLPQDDPLQRQPEIALARRELGWDPTIPLEQGLDATIAWFR----------- 163858347 ---RKWLVTGCAGFIGSNLLETLLKLDQTVVGLDNFATGHRHNLARFTFVEGDIRDLAACQR--AAEGVDHVLHQAALGSVPRSLNDPITTNDVNIGGFLNMLVAARDAKVKTFVYAASSSTYGDHPGLPKVEDTIGKPLSPYAVTKYVNELYADVFARSYGLASVGLRYFNVFGKRQDP-----EGAYAAVIPKWTAAMIRGDD-------VVINGDGETSRDFCFVENAVQANLLGALA-PEEGVNQVYNVAYGGRTTLNQLYKFLAQTLGYDKPPVYADFRAGDVRHSQADIAKGGKLLGYQPAYDILRGLQTAMPWYIRFLR------- 255524616 ---KSYLVTGGAGFIGSNFIHYMFKKDINIICLDKLTYAGNLKNLKNVFIQGDICDKGLVEKLFKQYDIDYVVNFAAESHVDRSIADPEIFIKTNNVAKKAWEVERRFKEGKKYLQVSTDEVYGSLEETFFMETTALDPHSPYSSSKTGADLWVKAYYDTYKMPVNITRCSNNYG---------RFQFPEKLIPLVINNCLNKKP-------LPVYGDGMNIRDWLYVED----HCKAIDMVINNGRGEVYNIGGHNERTNIHIIKTIISYIHDESLITFVEDRKGHDRRYGIDSTKIKQELGWYPETKFEDGIKMTIEWYLDSKDWMDS--- 153813185 ---RTYLVTGGAGFIGSNYIHYMYDNEIRIINVDKLTYAGNEDRENYTFVKADICDSEAIMKIFDENDIDRVVHFAAESHVDRSIRNPEVFVKTNVLGTLVMLNAAKSAWDKKFLHVSTDEVYGEEDGGFFYETTPYDPHSPYSASKASSDMLVKSYMDTYKFPANITNCSNNYGP--------YQFPEKLIPLIINNALQGKKLP--------VYGDGKNVRDWLYVMDHAKGIDMVQEKGRL---FETYNIGGHNEKQNIQIIHIIRKELVSENLITYVEDRKGHDRRYAIAPDKIKEEVGWYPETCFEDGIRLTIQWFFEHEDWMKN--- 142113221 ----RILITGGAGFLGSHLADRLLEDRHEIICMDNLFTGSKRNIAHLMQNRHDVTDKLEVDQIYNLACPASPVHYQ---------YNAIKTIKTSVMGAINCLGLAKRVNARVFQ-ASTSEVYGDSEVHPQPESYWIGIRSCYDEGKRCAETLFFDYHRQNGVDIRIVRIFNTYGPRMS--------PQDGRVVSNFIVQALRGEDITIFGDG------SQTRSLCYYSDLIEGCVRLMEQDKLVGP---VNIGNPGEFTMLELAEKVIHLTGTQSRIVYEALPEDDPKQRQPYIDLAKTELGWEPRVSLDEGLVETIDYFRSY--------- 254471322 -DRRRILVTGGSGFLGSYLSEKLLEAGHEVLCLDNFFTGNVEHLLDHKRFELDVCQFVEVDEIYNLACPASPVHYQ---------FDPVQTTKTSVLGAINMLGLAKRVKAKILQ-ASTSEIYGDPQVHPQPEEYWIGVRSCYDEGKRCAETLFYDYYRQNNINIRVMRIFNTYGPRM--------HPNDGRVVSNFIMQALMNKPITLYGDGMQT------RSFCYRDDLVDGMIKLMNAPDHI--SMPINIGNPKEFTIKQLAELVLELTGSKSRIIREPLPQDDPLQRKPDITRARDYLGWRPTTELREGLGKTIQYFEQ---------- 206563874 -AGRRVLVTGGAGFLGSYVCERLVMEGAFVTCVDSLLTGRKLNVADGRFEFV----KGDVSLGLPQLQVDEIWNLACAASPPTYQIDPVHTMMTNVLGMNHCLALARRTGARVFQ-ASTSEIYGDPGVHPQMETNTIGPRACYDEGKRAAEALCYDYYRSHGVDVRVARIFNTYGPRMS--------PRDGRVVSNFIVAALNGAALEIYGDGRQT------RSFCFVSDLIDGFFSLMDAPRNVGTPVNI--GNPGEFTMIELAEQVLAITGSTSEIVFRPLPIDDPHQRKPDISVAATELGWRPAIDLDEGLRRTVDYFSR---------- 209880826 -QNKTILVTGGAGFIGSHLIRYLLDLGHNVISIDNYFSGKKQSLENFRHHRHDIIEPIRIE-------VDEIYHLACPASPVHYQRNPIYTMKTCFLGTMNMLGLAKRSGA-KIVVASTSEIYGDPLIHPQPESNCTGTRSCYDEGKRIAESLCVEYYRQHNVDVRIARIFNTFGPNM--------LCNDGRVISNFITEALNKQPLSIYGD------GTQTRSFCYISDLVRGLYMNIDRSNIQGDS-PFNLGNPNEISILKLANIIRDTIDPSLEFCFRTIPSDDPKKRKPDISKARDKLGWEPEVSFEEGLKLTIE-------------- 137166505 ------------------------------------------------FVEGDICKFGFLEQSICEFQPDIVLHFAGLKAVGESVIDPLRYYEVNLKGSLNILSAMSLTACNKIVFSSSATVYGNKNAPPYKETDQLQPVSPYGRTKLIFEQILQDWVKSDKHRAIVLRYFNPVGAHGSAMIENPQDKPDNLMPFLAQVASQKRQYLSIFGSDYPTKDGTGVRDFIHVVDLASGHAKAIDKIERLKRFHILNLGTGKGTTVLELIKSFEISTGIKVPLRFVDRRAGDVAISLADPTEAENLLNFKCEKTIQDMCVDEWNWQTKNPKGYE---- 144039940 MVKKNIIVTGGCGFIGSALIRNLIKNTHNVLNMDKLTYAEIEDSSRYTFLKKDIIDP-DLAGIFKNFNPDIIMNLAAESHVDNSIVKPKEFIETNIIGTYNLLQLSVAFSKEKFHHISTDEVFGDLPHTLFSENSSYKPSSPYSASKASSDHLVRAWQRTYGLNTIITNCSNNYGP---------HQHSEKLIPLIITNAIKG-------NDLPVYGDGSQIRDWLFVEDHA---TALINVVMNGDIGETFNIGGNNEKRNIEVVNEICFQLDHSNQIKYVNDRPGHDRRYAIDNKKIRSILNWSPKETFETGILKTIKWYINNQ-------- 254444253 ---------------------MLIAQGHRVVGIDNFNTYYDIRLKLWRAEEGDIENLGLLNYLFSNHHFDAVVNLAARAGVRYSMENPHVYLNSNADGTLNLLECMRKSDIKKLVLASTSSLYAGQEMPFLETLPVNQPISPYAASKKAAEVMSYTYHHLYGFDVSILRYFTVYGP----------AGRPDMSPLRFIKWIDEGTPITLYGDG------SQSRDFTYVDDIARGTISAL---IDADYEIINLGGGNNPVSISRMITTFEELLGKKAVIDHQPFNKSDMMHTWANIDKAKKLLDWEPQIDFETGMQKTVEWYLENKNWISK--- 140023020 ------LVTGGAGFVGSFLCDRLIKEGHEVIAIDNFFTGKKSNLLHQDNPKFELIRHDIVQPVL--VEVDWIFNLACPASPVHYQYNPVKTVKTNVMGTLNMLGLAKRVKA-RILQASTSEVYGDPKVHPQPESNPIGLRSCYDEGKRVAETLMMDYHRQSQIDIKIVRIFNTYGPRMN--------PEDGRVVSNFIVAALKGEPIVIHGDG------KQSRSFCYVTELVDAMYRMMKTENFTGP---VNTGNPDEFTIIELAKKILEMTNSNSKIVNEDEREDDPVRRRPDISLAKQRLGWQPEINLKEGLRPTIDY------------- 212697442 -------------------------------------------------------DGDKLREVLKDEKIDLVIHCAALKAVGESVKKPLEYYHNNLTGTLTLLSAMNDTGVKNIVFSSSATVYGDPKSCPITEDFPKGATNPYGWSKSMMEQIMTDYISDHEWKIILLRYFNPIGAHKSGLIGEDPKGPNNLIPYITGVAVGKYDYVHVFGDDYDTEDGTGVRDYIHVVDLAKGHVKAIEAIDKLDGVEIFNLATGSGYSVLEMIKAFEKASGKKIAYQIENRREGDIAKCFASAKKAEEVLGWVCENGLDEMCEDSWRWQKNNPNGYEGE-- 77461251 MAEGPVLITGGAGFIGSHLTDALLAKGHSVRILDDLSTGKRSNLLDNPKVEGDVADAALVAQAMQGC--SAVAHLAAVASVQASVDDPVKTHQSNFIGTLNVCEAMREAGVKRVLYASSAAVYGNNEGESIDEDTPKAPLTPYASDKLAGEQYFDFYRRQHGLEPAIFRFFNIFGPRQD------PSSPYSGVISIFSERAQKGLPITVFGD------GEQTRDFMYVEDLVDVLVQALEKP--QVDVGAVNVGWNQATNLKQMLAALEAVVGELPPVSYGPARSGDIRHSRANNRRLLE--------------------------------- 293189421 ----KIVVTGGAGFIGANFVHTLLEDDVDVVVLDKFTYAGAELAARLTVVEGDIADADLVDGVVAGA--DAVVHFAAESHNDNSLLDPSPFIQTNLVGTFTLLEAVRRHKV-RFHHISTDEVYGDDDPAKFTPTTPYNPSSPYSSSKAGSDLLVRAWVRSFGVEATISNCSNNYGP---------YQHIEKFIPRMITNRLRGVRPRL-------YGDGLNVRDWIHVRDHNTAVWDILMKGRI---GETYLIGADGETNNRDVVAILNELMGYAPDFDHVTDRPGHDLRYAIDNSKLVTELGWEPQFTFRDGLADTIAWYTENEAWWA---- 16763122 LAPKRWLITGVAGFIGSGLLEELLFLNQTVIGLDNFSTGYEEQWSRFIFIQGDIRKFTDCQKACKNV--DYVLHQAALGSVPRSLKDPIATNSANIDGFLNMLTAARDAHVSSFTYAASSSTYGDHPDLPKIEERIGRPLSPYAVTKYVNELYADVFARSYEFNAIGLRYFNVFGRRQN------PNGAYSAVIPRWILSLLKDEPIYINGD------GSTSRDFCYIENVIQANLLSATTNDLASKNKVYNVAVGDRTSLNELYYLIRDGLNSRAEPIYKDFRDGDVKHSQADITKIKTFLSYEPEFDIKEGLKQTLKWYID---------- 142452125 --KKTALVTGGAGFIGSHLVELLIKKKFKVIVLDNLSNSDGSNIKKFILEKKIIKDILNKKINFRKFKLDYVFHLAALGSIVPSIDNPIKYIENNFNGTLNLLEKLRKIKIKKIVYAASSSCYGIAK-TPTKEDSPINTEHPYAFSKWIAEEAIRHWSKLFNIPFISIRIFNAYGPRFQT------QGAYGSVIGVFLKQKISKKPLTVVGS------GSQSRDYVHAKDVANAFYL---AAISNQKNEIFNLGSGKPIKINEIVKLIN-----PTKIEKIPNRPGEPHITHANITKITKILNWKPKISF----------------------- 161527676 ----KILVTGGAGFIGRHLIKKINKK-HELIIFENFSNSDEKNISYTKLVKGDLTDFSLINSSLSNV--DLVIHLAAKIDILQSIEHPDQTHKINVEGSLNLLRACVKNNVKNFIAASSAAVYGNPKQIPVTEFTIPNPVSPYGADKIALEFYLRAFCNAYGINGIALRFFNVYGLGQSNAYAG--------VITKFLNQIHQTKPLRIFGDGKNT------RDFIHIDDLVMGIEQSISNISGKRGSV-YNLASGKSVSVKELAKLMLEISDKKLEIKYESPRKGDLLYSSASIDLAKNDLSFVPKISLKDGI------------------- 218462889 -KGKTVLVAGGAGFVGSHICDALLARGDSVICVDSYITGSRDNVRPLTNHRFRLVEQDVCQFLDIGEPLDQIYNLACAASPPQYQADPVHTMMTCVAGTGNLL-ALAERHAASFLQASTSEVYGDPAEHPQREDSCTGPRACYDEGKRAAEALCFDMLRARRVDARVARIFNTYGPRMQANDGRI--------VSNLIVQALSGKPLTIYGSGMQT------RSFCYVSDLVGGLMALMDVTPNPG--MPVNFGNPGEFTINELAQMIRSMVPRKTVIVYRPLPKDDPQRRRPDISRATDLLDWRPTVPLAEGLGYTVEWF------------ 307634939 ----NVLVVGGTGFLGSHLVNRLLELGHTVRVFDRCPEQHRSPLAHVDYRIAQLDDPFSVAEALA--DIDIVYHLASATVPSTSNRDPIGDVKGNLIATLVLLDQMVHAKVQRIIYLSSGTVYGNPLALPISEDHQLKPICSYGVVKVAIENYLFMYHQLYGVNSVVLRPSNLYGPHQRHVGVQGFIS-------TFLSKLKKGEPLHVWGDGSVV------RDFLYVTDLVDLCIS----AGISNVCGVFNAGSGRGYSISQVADLIANITGATPVIHYDLARSFDVREVVLDISKSKQIFGWSPKVSLECGIRNQWQW------------- 229010611 ----NILVTGGAGFIGSNFIHYMLKETYKIINYDALTYSGNLNNVKSIQEKGKIQNGELLEHVVKERDVQVIVNFAAESHVDRSIENPIPFYDTNVIGTVTLLELVKKYSHIKLVQVSTDEVYGLGKTGKFTEETPLAPNSPYSSSKASSDMIALSYYETYQLPVIITRCSNNYGP--------YQYPEKLIPLMVTNALEGKKLPL--------YGDGLNVRDWLHVTDHCSAIDTVLHK---GCVGEVYNIGGNNEKTNVDVVEQIIKLLGKKKDIEFVTDRLGHDRRYAIDAQKMKNEFEWEPKYTFEQGLKETVEWYKNNVDWWK---- 142499033 MGNQRILVTGGSGFLGASLCERLVQAGHEVICLDNLLTSSIDNIEHFKFIEHDVTERLEVDEIYNLACPASPIHYQA---------NPIKTMKTSVLGMIHVLGMAKRCGA-RVLQASTSEIYGDPDVHPQPESNTLGPRACYDEGKRAAEALCSDYRRSHDVEVRIARIFNTYGPGMATNDGRV--------VSNFILQTLRGEPVTVYGDG------SQTRSFCYRDDLIEGLVRLM--ALDGKEPWPVNLGNPEECSMLDLVQAVARACGREATVTYQPIPNDDPKQRCPDITRARELLDWSPAVSLQEGLQRTVSWFQN---------- 90425640 MKGKRVLVTGGAGFLGSHLCERLLGLGHQVICVDNFFTGQRRHLLANPDFEVIRHDYIEVDQIYNLACPASPIHYQH---------DPVQTTKTSVHGAINMLGLAKRLRCKIFQ-ASTSEVYGDPEIHPQVESYWVNPISCYDEGKRCAETLFFDYHRQHATAIKVARIFNTYGPRMYVNDGR--------VVSNFVVQALRGEDITLYGDGAQT------RSFCYVDDLIEGIIGLMETADDI--TGPVNLGNPVEFTIRELAEQVVELTGSRSKLVFAPLPSDDPRQRKPDISLATRLLDWEPKVQLREGLGKTIE----HFRGVLAE-- 217074092 -SNMRILVTGGAGFIGSHLVDRLMEEKNEVIVADNYFTGCKDNLKWIGHPRFELIRHDVTETLL--VEVDRIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGA-RILLTSTSEVYGDPLIHPQPETYWIGVRSCYDEGKRVAETLMFDYHRQHGLEIRIARIFNTYGPRMNIDDGRV------VSNFIAQAIRGEPLTVQLPG--------TQTRSFCYVSDMVDGLIRLME----GENTGPINIGNPGEFTMTELAENVKELINPAVEIKMVENTPDDPRQRKPDITKATELLGWEPKVKLRDGL------------------- 81299958 ----RILVTGGAGFIGSHLIDRLMSAGHEVICLDNYFTGRKHNVAQWYGHRHDITDPIRLE-------VDQIYHLACPASPVHYQYNPIKTAKTSFLGTVNMLGLAKRVKA-RLLMASTSEVYGDPHVHPQTEDYWIGIRSCYDEGKRVAETLCFDYHRQHNLEIRVARIFNTYGPRM--------LENDGRVVSNFIVQALQGQPLTVYGR------GEQTRSFCYVSDLVD----GLIRLMNGDHLGPVNLGNPSEYTILQLAELIRDRIDPALPIEFRPLPQDDPQQRRPDISRAQAWLKWQPLVSVQDGLDRTIADFRDRQQA------ 143783668 ---KKALVTGGAGFIGSNLVDLLLERDYEVIVVDNESNSHTEWNDKSKNYKIDL-NKNLPALIELCTGCDYIFHLAADVSIPYCIEHPNNSYLNNVGSLCSVLEAARHAQVDKVVFSSTAAIYGLTDKICL-ETDSPDPLNPYSVSKLSGEHLMKMYYDLYNVQTVTLRYFNVYGPRQ---------PKSGQYAPVMGIFLDQKRDGK---ELTVVGDGRQTRDFIHVSDIASANLTVAEKDVEI-YGQVYNVGTGKATSIKSIAEMISD------NIVHIPPRPAEARQSLSSVSKIKRVYGWEAKVNLKDWIKE----------------- 143068827 MMKKRILVTGGAGFIGSHICERLLKKGNYVICCDNFYTGNKNNLDRV----LDYKNFEILRHDITYLEVDEIFNFACPASPVYYQNDPVQTVKTCVHGAINMLGLAKRTN-SKIMQASTSEIYGDPEVHPQNESSIDGPRACYDEGKRCAETIFWDYKRQHNVDVKVIRIFNTYGPRM-------QINDGRVVSNFIVQALTNKD-------ITVYGDGSQTRSFCYVDDLVDGIFLMMNKENLPGP---VNLGNPSEISINELAKEIIDLVGSKSRLINKELPIDDPKQRCPNIDVAKEKLGWKPSYNRQEGLKKTIKYF------------ 142996113 ----KILITGCAGFIGMHTAKKLLERGDEVFGIDDINDYYDVNLKKDRFNCLNLCN-DEIFSIFQNYKIDNVINLGAQAGVRFSLSNPKAYIDSNIYGFLNILEACRKFSIKHLVFASSSSVYGANKSYPFHEDSSTHPVSLYAASKKANELMSHVYSYNHDIPSTGLRFFTVYGP----------WGRPDMAGMIFIKSILNEEPIKIFNDGNMFRDFTYIDDVVNGIIKVNNNEFKHENPTPSTSSCKFNIGNSKPIRLNDFISAIEKKLNKKAIKKFEKIQPGDVEKTFSDSNKLKEWINFVPSTNLDKGISKLVDWY------------ 149369799 ----KILVTGAAGFIGSHACERLASLGHEVIGIDNFSSYYDVALKEITIHRIDLRD-DDLTGII-TEEIGAIFHFAAQPGISAACT-FKEYFSNNVLATQRILDVIETLEVPYFVNIATSSIYGL--EATLTENEAPLPASWYGVTKLAAEQLVLSYSRRGLLKGTSLRLYSVYGPRERPDKLYTRLIDCGLNDKSFPLFKG---------------SVKHLRSFTYVQDIIDGIVSVLDNQ-EACDGEVFNLGTEKENTTQTGINTVEEILNTSIKIDQKPARPGDQSRTKANINKARRVLGYNPQTTLKEGLEAQVAWFKE---------- 218438953 ------IVTGVAGFIGSHLAQALLKQGERVIGIDQINDYYDQTLTHDPNFELIEADIQGLDWQKLLIDVDVIYHQAAQAGVRASWESFRLYTERNINATQIILEATKEKSLKRLVVASTSSVYGNAETLPTPETICPQPVSPYGITKLAAERLCWLYHQNFGVPVTALRYFTVYGPR--------QRPDMAFHKFFKAAIADEGISIYGDGQ--------QTRDFTFVSDIIGANL--AAATIKEAVGEVFNIGGGSRVVLADILDKMETIIGRPLRREYIEKARGDARHTGADITKAQKILGYYPQVSLTEGLTQEWEW------------- 241258841 MRDQRVLITGGAGLIGSHIADLVLEKPREIIILDNFVRGRRDNLSTANIIEGDIRDRALLAKTFEGVDI--VFHQAAI-RITQCAEDPRLAFDVLAEGTFNVLEAAVKAGVSKVVAASSASVLGLAETFPTTEHHPYNNRTIYGAAKTFNEGLLRSFAEMYGLRYVALRYFNVYGPRMD------VYGAYTEVLIRWMERLATGMPPLIYGDG------SQTMDFVDARDIARANLLAAKSDVTD---EVFNVASGEEISLLQLAQMLSSIMGVSLEPQHKEARANGVTRRLADISKAEKLLGFKAEISMEQGLRDLVAWWQ----------- 141013906 -SSKNILVTGGAGYIGSHIVEQLIKDKKTVIILDNLVTGYKKLIKKAIFVKADIKNKTKIAKIVKDHNITSVIHLAAYLNVSEAEKNKRKYYENNIIGTKNILQACKNSNVKNIIFSSSCSIYGNVKGS-VNENKKPNPQGYYGYTKYKGEELVKKLSPKFKVKYGILRYFNVAGASYSGKIGEIETSHGHLIKNLAIESLKNKPIMNIYGNNYKTKDGTCIRDYIHVSDLADIHIKGLNYLDKNKKSFVLNCGYGKGYSVQQIVDIFKKI-------------------------------------------------------------- 94495494 ----NLIITGGAGFIGANFVRHWRKPNDGIVVLDALTYANIADVPDVSLVEGDICDTALVSQLIADHDVDTIVHFAAESHVDRSITGPDAFVTTNVIGTHSLLKAAKAAWPHRFHHVSTDEVYGTLEDPAFSESTPYAPNSPYSASKAGSDHLVRAYHHTYGLETTTSNCSNNYGP--------YQFPEKLIPLFTLNALSGKNLP--------IYGDGMNIRDWLHVED----HCIGIELIMRKGRGETYNVGGGQELPNIEVIKEICRGVDEAFAMTYVQDRKGHDRRYAIDETKIRSELGYEPSRDFPQGFADTLNWFLDNQDW------ 309789475 ---QRVLVTGGAGLIGSHVVDLLREQGKEIVILDNFVRGRHENLAAAKVVEGDIGDRKLVAEVMQ--GIDLVFHLAAI-RITQCAEDPRLAVDVLGNGTFNILEAAVQAGVKKVVASSSASVYGLADEFPTTEHHPYNNRTLYGAIKVFNEGLLRSFNEMYGLKYVALRYFNVYGPRMD------IYGAYTEVLIRWMDRILEGKPPLIFGDGKQT------MDFVYAPEIARANILAAEADVSD---EVFNVASGVETSLNDLAYALMRAMDVEMELEYGPERVNPVPRRLADVSAAREKLGFVAQVGLEEGLRDLVAWWK----------- 193787078 -----------------------------------------------------------------QYSFMAVIHFAGLKAVGESVQKPLDYYRVNLTGTIQLLEIMKAHGVKNLVFSSSATVYGNPQYLPLDEAHPTGGTNPYGKSKFFIEEMIRDLCQADKWNAVLLRYFNPTGAHASGCIGEDPQGPNNLMPYVSQVAIGRREALNVFGNDYDTEDGTGVRDYIHVVDLAKGHIAALRKLKEQCGCRIYNLGTGTGYSVLQMVQAMEKASGKKISYKVVARREGDVAACYANPSLAQEELGWTAALGLDRMCEDLWRWQKQNPSGFGTQ-- 16082675 ---------------GSHYVRSLLDGAYTVTVLDKLTYAGNRDNLPASHERGDICDLPLLLDLLPGH--DAVVHFAAESHVDRSLESAAEFVRTNVLGTQTVLEAALRTGVQRLVHVSTDEVYGTIDEGSWTEDFPLLPNSPYAASKASSDLVARSYWRTHGLDLSITRCSNNYGP--------YQHPEKLIPRFVTNLLEGHPVP--------VYGDGRNVREWLHVDDHCRAIHLVL---PPAGRARRTTSASGNELTNLDLTERILDLCGADRMIRYVEDRKGHDLRYSLSDAKIREELGYTPRTSFEDGLRRTVDWYRDNPQWWKAGKE 307300170 -RGKIILVAGGAGFVGSHLCTALLGAGNRVICLDSYLTGSPANLIYFAMVEQDVCDEIDIDE-----PVDQIYNLACPASPPSYQADPIHTMMTSVTGTGNLLRLAERHGAT-FLQASTSEIYGDPEEHPQQENNCTGPRACYDEGKRAAEALCFDSLRAGSVDTRVARIFNTYGPHMR--------PNDGRIVSNFIVQALKNEPLTVYGSG------EQTRSFCYVSDLVDGLIRLMNRKENPA--VPVNLGNPGEFTVIELAELVLSRIETASTIVHEPLPADDPQRRRPDIARARKLLGWEPKVPLEDGLTHTITWFQ----------- 144089308 ---QNILVTGGLGFIGSNLIELLLLKKYKVINLDKVSYSSNQINKNYKFIKCDLNNKNKLNKIIFKHKPIGIFNLAAETHVDRSIDAPKGFIDSNIVGVFNLLEIFRKYKKSKLIHISTDEVYGDILKGRSHENFPYKPNSPYAASKAASDHLVSSYVRTYGISALVTNCSNNYGP--------KQHPEKLIPKLIYNILNNKSLP--------IYGNGKNSREWIYVEDHCEALIKVFQK---GKIGEFYNIGSNKNLNNLEICKALIKVIGSNVKIKFVKDRPGHDIRYALDSKKILKTLRWKTKVNFNKGLKKTFLWYLNYYKSISKK-- 159903781 --TRKVLVTGADGFIGSHLVESLLDNGYEVFCFYN-SSGSWGWLEELCDEKGDIRDPVCVKEAMKGC--DMVFHLAALIGIPYSYIAARSYIETNIIGTLNVLEAAKDLGVSKIIHTSTSETYGTAQSVPINEKHPLSGQSPYSASKIGADQIALSFWHSFNIPVTVIRPFNTFGPRQSN---------RAVIPTIISQIASGAKKIEL-------GSLSPTRDFTYVLDTCSAYIAI--ANSNKVTGKVINAASNFEISIGDTASLIASLMQSKVDLCTDSKRISEVNRLYGDNSLIKDLTDWQPKFSFNNGLKKTIEWFQK-PYNLSK--- 280957684 LSKKKILVTGADGFIGSHLVEHLVRQGHSVRAFVLYNSFNSWGWLDESAREGDIRDPNGVRESMKGC--DVVLHLAALIAIPYSYHSPDTYVDTNVKGTLNVVQAARDLGVERVVHTSTSEVYGTARFVPITEDHPLQGQSPYSATKIGADQIAMSFHNAFEIPVSIIRPFNTYGPRQS---------ARAVIPTVITQIASGTRQIKL-------GALTPTRDFNYVMDTVRGFEAVAASDACVGEVVNV--GSGFEVSIGDTARAIAEVMGADIEIVCDQQRKSEVERLFAGNAKVLELCGWAPEFGFKRGLKLTAQWFAENLRRYKSD-- 136768157 ---------------------------------------------------------------LQRFQPHGVIHCAGLKAVGASVARPLDYYRQNIGGTLSLLAEMDRVGCHKIVFSSSATVYGLPKYLPYDEQHPTEPANPYGRSKLMIEHILRDWSDTHDASALCLRYFNPAGAHPSGQIGENPKGPDNLMPFLAQVAGGHRPALTVFGNDFETRDGTGERDYIHVGDLARAHLAAIEHARSNRGFQVFNIGTGRGVTVLELAAAFAEVSGRPIATTITTRRPGDLARFYADAQLAEKTFGWRAQQSIFDICADAWRWQVENPEGYS---- 140157178 ------------------------------------------------FVQGDIRNRDALRNAMEGCQ--AVIHFAGLKAVGESVAFPLMYYETNVYGTVCLLQVMQELGVRSLVVSSSATVYGDPVTLPLTEDHPCLPENPYGWTKYDIETMCRSAVSDPEWHMVLLRYFNPVGAHESGRIGEDPCGPNNLMPFVSQVAVGRREVLSVFGNDYDTVDGTGVRDYVHVVDLAKGHVAALERVGNF-QCDVINVGTGKGYSVLDVVHAFEKVSQKQVPYVIKSRRDGDVATCYASVDKAEKVLGWKAEYGLERMCEDCWRWQVENPHGY----- 15805738 -----VAVTGADGFIGSHLTEDLVRAGYRVRAMAIYSYGWLDTVPGEVMEHVDVRDAGSVRALMRDVQ--TVYHLAALIAIPYSYVAPRSYVETNITGTLNVLEAARDLGTGRVIHTSTSEVYGTARSVPIHESHPLQGQSPYSATKIGADKLAESYFLSFGLPVVTLRPFNTYGPRQS---------ARAVIPTIISQLAAGRTEIKL-------GDLRPTRDFNYVADTARAFRAVGEAGPEV-LGRTLNAGSGREISVGDTVKLIAQVMGKDVEVKQEDERLSEVMRLLADHRELQTLTGWQPQVTLEEGLKRTAEWF------------ 241773071 ----RVFITGTAGFIGFHLAQRLLTEGHTIHGYDGMTEYYDVRLKRARVERLKLENMDALMTAAEACQPEIIIHLAAQAGVRYSLEQPRTYVNTNVIGSFNILEVARLLKPQHLLLASTSSVYGANEKVPFEEVDKTEPMTLYAATKKSMEVMAHSYAHLWKIPTTAFRFFTVYGP----------YGRPDMALFKFVNAADRNEAIDVYGMGQHARDFTYIDDLVEAIVRLIPVDQAHDTLSKLGPFRVVNLGGGQPVSLLSFIDTIEEALQTPLKRNMLPMQKGDVPRTYASTRLLQALTGFLPRIGLKDGVTRFVNWFRAY--------- 136665336 ---KRILITGGAGFIGSHLCERLMAENHEILCVDNYFTGRRHNVAHLMSQRHDVTFYVETDEIYNLACPASPVHYQ---------FDPVQTTKTSVHGAINMLGLAKRTR-SKILQASTSEVYGDPDVHPQVEGNPIGPRACYDEGKRCAETLFFDYHRQHNLRIKVGRIFNTYGPRM--------LPNDGRVVSNFIVQALNGDDITIYGD------GSQTRSFCYVDDLVEALIRLMGSV--DGFTGPVNLGNPSEATILELAELIIKMTKSRSKIVNKALPQDDPVRRRPDISLAKEKLDWEPRVSLEQGLERTVHYFK----------- 135892995 LKNLKILVTGADGFIGSHLTERLVDIGANVKALSFYNAYNSIGWLDDIDVNGDIRDIEFISR--ASHKVDIIFHLAALISIPYSYITPRSFIDTNVLGTLNILEAAKKATCSRIIFTSTSEVYGTAKTIPITEDHELQAQSPYSASKIAGDHLIDSFVRSFNMPAVILRPFNTYGPRQSEKAVIPSIIRQIIDPNILNISMGNLNT---------------QRDFNYVSDTVEAFIKLAEADHDSIEGRAYNSGTGIAVKISDVLEKVIELSKSDKKLIQDKARVSEVELLLASSEKLKSITDWSPKVKIDEGLKLTLDWWKE---------- 309790345 ------LITGGAGFIGCNLADTLLARGEQVTVLDNLSRPRTDLNLAWHFIHADIRDAEAMHAAVPGH--DTVFHLASQVAVTTSVLDPRTDFEINALGSFNILEAARAPHPPIIFYSSTNKVYGGMEHVGVSETNLLDFHSPYGNSKGAADQYVRDYARIYGLQTVVFRQSCIYGPRQMGV-------EDQGWAAHFVIAAVTGRPITIYGDG------KQVRDMLYIDDLIAAYLAALERIDQSGHIYNIGGGPSNALSVWEFGPLLEELTGNQIEVRYGNWRPGDQPVYISAIEKAQAELGWQPQISVIEGMSRLVAWVKAHRN------- 239930007 ------LVTGGAGFIGSHVARELLALGHRVVVLDDLSGGTGANVPDGEFRHGSVCDPEVVDAVFASHRIDYVFHLAAYAAEGLSHFIKRFNYMNNVVGSVNINAAVNAGTVKCFVFTSSIAVYGANQ-LPMSEELVPAPEDPYGIAKFSVEQELRVSHEMFGLPYVIFRPHNVYGE------YQNIGDRYRNVIGIFMNQALRGEEFTVFGDGEQTRAFSYIRD------VAPAIARSVE--LPAAYNEIFNIGGDQVYSVNRIAAAVCEAMGVELRVNHLPER-NEVRDAYATHEKAHKVFG-APDVGLEEGIGRMAAW------------- 143955990 MGTPKALVTGGAGFIGSHLVENLINEGYELMVIDNLLSGKKENIQNIITENGDIGSDEILDKVVS-FNPDVCFHLAAQASVVISVEDPMLDFEHNILQPLKLIKTLLDTGCKKFVFSSSGGTFGEPEVIPTSETDFAEPESPYGVAKKRLNEFIELLLSDTEMSYSILNFSNVYGPRQD------PNGEAGVISIFTSKMINNSEPT-------VFGDGEQTRDYIYVQDVVDALILSSKIETD----LFLNIGTGIETSVNQLVATLKKTIGYEGNIIYEPKRDGELLRSVLNNSKARKLLKWEPKFTLDKGIFELIKW------------- 28192477 -----VAVTGAEGFIGSHLVETLVRRGHRVRALDRL---DAEVMSHVEVFPGDVRDPASVLELVEPA--SVVYHLAALIAIPYSYQAPRSYLDTNVMGTMNVLEAVRATGTPRLVHTSTSETYGSARTVPIGEDHPLQAQSPYAATKVAADKLVESYHLSYGVPAVTLRPFNTFGPRQS---------ARAVIPTIISQLVAGARQITL-------GSLAPTRDFTYVTDTAEAFVSVGTAPASAVIGEVFNAGTGTEVSIGRLAADIMRLMDADASIREDPQRLSEVLRLVCDAGSLRARTGWRPRTDREDGLRRTIEWFRNNQAGYRQTH- 118580853 ---RTWLVTGVAGFIGSNLLESLLTLGQRVVGLDNFSTGKRRQWAAFTFHEGDIRELAACRA--ACQGVDYILHEAALGSVPRSIEDPISSNESNVGGFLNMLVAARDAKVRRMVYAASSSTYGDHPGLPKREELIGRPLSPYAVTKYVNELYADVFARTYAMELVGLRYFNVFGPRQDPEGAYAAVIPRWI------------RSMIIDETVYINGSGETSRDFCFVENVIQMNLLAATADEPQAVNQVYNTAVNARTTLNELYDMLRQRLVPRFPHLHRDFRAGDVLHSQADISKAARLLGYQPTHTIQSGLDASLSWYVDN--------- 303275640 ----RVLVTGGAGFVGSHLIDFLMKRGDHVMCLDNFFTGSRDNIAHHINPRFEVIRHDVVEPIL--LECDQVYHLACPASPVHYKFNPVKTIKTNVIGTLNMLGLAKRVKA-RFLLTSTSEVYGDPLQHPQTEEYWIGERSCYDEGKRCAETLAFDYYREHGLEIRVARIFNTYGPRMAL--------DDGRVVSNFVKQAIENTPMTIYGD------GSQTRSFQYVSDLVAGLVALMD----GEHTGPINIGNPGEFTMKELADKVREVVNPDATTVFKENTSDDPGRRKPDISKAKKLLNWEPKVPLIEGLK------------------ 271963985 MSTRRVVVTGGAGFLGSYLCERLLAEGAGVVCMDNFLTGNVEHLIGRAAFRLVECDLTGF--VHVPGDVDLVLHFASAASPTDYLRHPIETLKVGSLGTLHALGLAREKDA-RFVLASTSEVYGDPLEHPQRESNPVGPRSVYDEAKRFAESLTTAYRNSHRADTAIVRIFNTYGPRMR--------PHDGRAIPTFIRQALYGEPITV------TGDGGQTRSICYVDDTIEGIF----ALADSGFEGPVNIGNPAELTMLALAETIRELTGSDSPIHFIDRPAEDPEIRCPDTSLAASRLGWTPKVDIVDGLSRTISWF------------ 136008796 ----------AAGFIGYFTCKRLLADGFRVVGIDSLSDYYDPQLKHRRQSDLPIETPGLLMQMFEDEQPDIVIHLAAQAGVRYSIDNPRSYLESNLVGTFELLEAARAFPPQHMLLASTSSAYGANTEMPYRETMKTDHMSFYAATKKANEAMAHSYAHLFDLPVTMFRFFTVYGP--------WGRPDMALFKFTKAMLGGDA--------IDVYNHGEMMRDFTYVEDLVEAIRRLVDTPPDEGDSRIVNIGNSQPVQLGEMIAALEESLGIEAKRNLMPMQPGDVPATWADAELLRSLTGYVPSTGIREGVNRFTEWYRDYYR------- 135142264 -ERKRILVTGGAGFIGSNFVDWLAGTDEAVVVLDKLSYSGNRVNLIATFVQGDICDRELVDGLLDRHRPRAVINFAAETHVDRSIHAPDTFVQTNLVGTFTLLEAARSYAAGRFLHVSTDEVYGAPEDAAFTENHPYRPNSPYSASKAGSDHLVRAYHQTYGLDTVITNCSNNFGPR--------QYPEKLIPLMLLNALSGKPLP--------VYGDGLQARDWLYVHDHCAAIRHVLEAAAPGG---VYNIGGQSERTNLEVVETLCRHLDHATAITHVEDRPGHDRRYAIDDAKIRDEL------------------------------- 206972933 ----KILVTGAAGFIGSHLCQALLKNSYHVVGIDHLKTDNIQSLELNSRFQFIQEDILNIDLSKLLQDIDVVYHLAAIPGVRTSWKDFQSYVSNNIIVTQQLLESCKHIKLDKFIHISTSSVYGE-KSGAVSEDLLPTPLSPYGVTKLSGEHLCHVYHKNFHIPIVILRYFTVYGPRQRP----------DMAFHRLIKQLLEDKPLTIFGN------GTQTRDFTYIDDCIRGTVAALET-KKSIIGEVINIGGKEQASILDIISILEKISGKSATKKFLKSVPGEPKQTWADISKANTLLQYSPTVSLSDGLE------------------ 301619909 --SKRILVTGGAGFIASHMIISLVENDYLIINLDKLDYCNLESISSHPNYQGDICDPDFIKLVFEKENLNIILHFAAQTHVDLSFLQSFKFAYVNTYGTSILLNAAHGAGVEKFVYISTDEVYGGSLNEEFDESSPKRPTNPYASSKAAAESFVLSFWEQHKFPVVITRSSNVYGPH--------QYPEKVIPKFISLLQRNQK--------CCIHGSGRQTRHFLYASDVVDALITILTRGEI---GEVYNIGASFEISVRELIQTMKSESEIENWIVYVNDRPVNDLRYSMKSEKMHS-LGWRPKVHWKEGIQRTIEWYKDNFHNWQN--- 259418578 ---RRIMVAGGAGFVGAHLCQRLIHDGHEVICIDNFQTGRCNIVHLLDDENFTCVSHDIVDPLPDLGQVDEIYNLACAASPKKYQVDPIHTFKTNVFGALNLLELALQHDATILQ-SSTSEVYGDPEITPQAETNTMGPRSCYDEGKRAVETLFYDFHHARGAKTRVARIFNTYGPGM--------CPQDGRVISNFVTQALSGVPVTVYGD------GSQTRSFCFVSDLVEGLMALMAC--EDAPVQAYNLGNPGEFTVREVAQHIIETLGSKSEVAYLDLPVDDPRQRCPDISRAKADLNWAPKVSLADGLRMTVPYF------------ 142147462 --------------------QALAQAGYTPITYDNLVYGHRWAVRWGPLEVGDIADRARLDAVIAHYRPAAVMHFAAYAYVGESVQDPGKYYRNNVAGTLTLLEAMRDHGIDTLIFSSTCATYGIPDQVPIAEDHPQRPINPYGASKLMIERILQDFDTAHGLRSIALRYFNAAGADPDGDIGEAHDPETHLIPLVLEAAAGKRPAITVYGDDYDTPDGTCIRDYIHVTDLADAHVLALKALRAGAATTAY---------------------------------------------------------------------------------- 291004934 ----RAAVTGGAGFLGSHLCERLVAAGTEVLCLDNLVTGSAGNVEALRGDRFELVDHDIARSPVHAGGIDLVVHMASPASPEHYLRLPLETLRAGSAGTEHALELA-ERNGARFLLVSTSEVYGDPLEHPQSEEYWIGPRSVYDEAKRYAEALTSAHRSCRGTDTRIARVFNTYGPRMR--------PDDGRMVPTFVRQALAGEPITVAGDG------TQTRSLCYVGDTVRG----LLGVAAGDWPGPVNIGNPDELTVRGLAELVRELAGSASPITHVPAVVDDPKRRCPDIGLAERELDWRPATSTREGLLRTIMWFQRNQHG------ 196232594 -------VTGGAGFLGSHLTDRLLAEGHRVIGIDNFLTGNVEHLAGNENYKFIKHDVSN--YIFLPDDVDYIFHFASPASPIDYLEHPIPTLKVGSLGTHNALGLAKAKKAT-FLLASTSECYGDPLVHPQREDYWIGPRGVYDEAKRFAEAMTMAYHRFHQVDTKIVRIFNTYGPRMRLRDGRV--------VPAFIGQALRGEPLTIFGDG------SQTRSFCYCSDLIDGIFKLSQSDFHEP----VNIGNPREMTIKQFAEEIIRITGAKSEIDFRPLPVDDPKVRQPDITRAKNVLHWEPRVDFDEGIRKTIDYFR----------- 110635107 -RRKRVLVAGGAGFVGSHLCDALLAEGCKVVCVDSFLTGAYANIAPLENHRQDICKPLKLED-----KLDEIYNLACAASPPIYQMDPVHTLMTSVLGTRNLL-ALAEKHGARFLQASTSEVYGDPEVHPQPEDNPTGPRACYDEGKRAAEALCFDLLRLGRVDARVARIFNTYGPRM--------KADDGRIVSNFINQALRGEPLTIYGTG------EQTRSFCHVSDLVRGLVALMEVQPNPK--MPINLGNPGEFTVNQLAAIISESVPGAKGVIHRPLPQDDPQRRQPDIRRAEKLLNWSPRIALREGLEDTIAWFR-----LADEAE 282859482 ---KTYLVTGAAGFIGANFIKYLLNKKYQVIVLDALTYAGDIDNKRCFFVKGDIRDAQLLDKLFAEHDIDYLVNFAAESHVDRSIEDPQLFLSVNILGTQCLMDAAKRAWVKRYHQVSTDEVYGLGAEGYFTEATPLCPHSPYSASKTSADHFVMAYKDTFHMPVSITRCSNNYGP--------YHFPEKLIP--LIINNILKGKSLPVYGEGLNVRDWLYVEDHCKAIDMVVREGREGEVYNVGGHNEMVKLTIKTIHDMMEADKSLRKILKKQEKITHVPDRLGHDARYAIDPTKIKNELGWYPETMFAEGIVKTIKWNLENQQWIEE--- 136154142 --SMRYLVTGCAGFIASKVCEQLLARGNEVIGIDSINDYYDVRLKHVRFIQADTADRQALAKVFKLAQFDHVLNLAARAGVAPSRLRPVDYLRSNTEGVINVLEEMKATGCRSHVLASTSSAYGLGCEPPFREDQPTRPISPYSASKIAAELLCHSYWTNEGIRSTVVRYFTVYGP--------AGRPDMSVFRFIEGVRRGTAIT--------VTGDGKQNRDFTYVDDIARGTVLAAHRWKNAREGHRIINGGRRPTTINALISEIERQVGAKDYVRLGDARDSDMQSTQADRRKAQREIGWSPKVDLAEGLRRTVAWHRAH--------- 136342817 ---KKIIVTGGLGFIGSNLIDILIKKKFFVINLDKITYSHFKNLKNYKFIKCDISDKKILN-ILNKYKPSCIFNLAAETHVDRSIDNPDTFIKSNIVGVYNLLECFKIFNKSKLVHISTDEVYGDVLNGRSSEIYQYNPSSPYAASKAASDHLVSSYVRTYKINAVVTNCSNNYGPNQ---------HPEKLIPKLIYNILNNK-------TLPIYGKGLNSREWIYVKDHCEAL---IKVYQKGKPGNFYNIGSNINLSNLEKIAKKKFFIGKNVKINFIKDRPGHDVRYALNSNKIKKELNWKPKINFNEGIKLTFDWYKMYYKSLKKK-- 282867525 -----IVITGGAGFIGCNLVQALAEQPHVTRVVDNLSTGDKANLLDVDFFEGDVQDAALLDQAFRGA--DAVVHLAALPSVPRSLQDPLASHHANATGTLQVLEAARRAGGPHVIAASSSSVYGSNPHLPKHENLATAPMSPYAVTKLTTEAYLSAYRHSFDLPVLPFRFFNVYGPGQRA------DHPYAAVIPKWINAALSGEPVTIHGD------GTQTRDFTYVGTVCRVLTDALLRRVV--GPRPVNLAFGSRTSLLDLVQEIEAAIGHVVKRQHVPARIGDVAHSQADSGRLQALF---PRVTLDEGISATADW------------- 141652956 --NSKVLVTGGAGFIGSHVVSELLKTDIQVVVYDNLTRGKKSNIEKSLEDPGDIRDIDLLNHAMKGM--DGVIHLAAMW-LLHCKDYPRTAFHVNIEGTFNVLEACVKNKIKRLVYSSSASVYGDAVEVPMTETHPFNNRNFYGATKIAGEAMCRAFYDRYGLEYVGLRYMNVFGPHQDQTAAYTGVIPIMLNKIDANE------------QPVINGDGSQAYDFIYVEDVGRYNVSALESEIMD---EFYNVGTGVQTSIAELCETILSMRQSHLKVQYNPYNEDDARRLVQNTIKSKKDLGFRPKYDLKKGLQKLIEWRKE---------- 21064177 -----------------------------------------------------------------------VAHFAALKAVGESCRIPLQYYHNNMTGTNVLLEAMADNNVFKFVYSSSATVYGEPKFLPVTEEHPTNCTSPYGKTKYFTEEILKDLCKSDKRWVVSLRYFNPVGAHISGRIGEDPNGEPNNMPYIAQVAVGRRPSLSVYGSDFPTHDGTGVRDYIHIVDLAEGHVKALDKLRNIAETGFYNLGTGVGYSVLDMVKAFEKASGKKVNYTLVDRRSGDVATCYADATLADKKLGWKAERGIDKMCEDTWRWQSQNPNGYANK-- 136175947 ----NAIVTGAAGFIGSHLVEELLKLNFNVLGIDNLSVGRLENLEFIDFLEADVSSIQAADIPMKMNDVTYIFHLAALADIVPSVVQPATYFRSNVQGTLNMLEIARGTELKKFLYAASSSCYGIPINYPTPESARISPQYPYALTKYLGEELTLHWGKVYQVPVISLRLFNVFGPRART------SGSYGAVFGVFLAQKVAKKPFTVVGD------GSQTRDFTFVTDVVGAFLSAAQCELKQ---EIFNVGSGGTYAINHLVELL------GGDIEYIPMRPGEPDCTFADISKIRQLLAWEPKISFEIGVQKML--------------- 114775634 ----NMLVTGGAGFIGCNFVRYMLDADVRVINLDKLTYAGSTDNLRHIFVEGDICDRPLIDRLLREHHIDTIVHFAAESHVDNSIAGPEVFVQTNVMGTFTLLEAARQYWMFRFHHISTDEVYGTQGDPAFSEATAYAPNSPYSASKAGSDHLVRAWFHTYGLPVTTTNCSNNYGP--------YQHGEKFIPTVIRSCLEGKPIP--------VYGDGSNIRDWLYVEDHCAGIDAVIRRGV---PGEVYNIGGINEWTNLNICKLICRLMDYESLITFVKDRPGHDWRYAIDAAKMGNTLGWQPAETFESGIRKTVRWYLD---------- 135469767 ---KKIIVTGGLGFIGSNLIELLLKNNFFVINIDKATYSSNRNSKKYKFIKLDINNK-KFKNLLFKYKPLGIFNLAAETHVDRSIDNPNSFIQSNIVGVYNLLESFKIFYKSRLIHISTDEVYGDVLNGRTSERYPYQPSSPYAASKAASDHLVSSYVRTYNLEAIITNCSNNYGP--------KQHPEKLIPKLIYNILNNNSLP--------IYGKGTNSREWIYVKDHCEAL---LKVFLKGKQGHFYNIGSNKNLNNLEVTKKLLNELGNKVKIKFIKDRPGHDMRYALNSNKIKSELKWYPKTNFSKGIKMTFDWYLKNKNYFKS--- 261416641 ----RCLVTGGAGFLGSHLCERLLNDGHEVICLDNYFTGHLRDNRNFELIRHDVTEPIEVDRIFNLACPASPIHYQ---------FNPVKTIKTSVMGAINMLGLAKRVKA-RILQASTSEVYGDPAVHPQTEDYWIGIRSCYDEGKRVAETLFMDYHRQNKVDIRIVRIFNTYGPRM--------LPNDGRVVSNFIVQALNGEDLTIYGD------GSQTRSFCYVDDLIEGFVRMMNQDKIIGP---VNIGNPGEFTMLELAKEVLELTGSKSKIVYKPLPGDDPKMRRPDITLAKSALKWEPTIPLRQGLEKTI--------------- 171058078 IDGARILVTGGAGLIGSTTIDLLLRQHAQIVILDNLVRGSLANVPRLRFVQGDICDAETVRR--ATTGMDAVIHLAAL-RITACAADARRAMQVMCDGSFNVVEAAREAGVAKIVAASSASIYGMADSFPTREDHHYNNRTWYGASKVMLEGLLRSYHAMHALPYTAMRYFNVYGPRMD-----LHGQYTEVLIRWIDRIDAGLPP-------LILGSGQQTMDFVYIDDVARANVLALR---SDADDEVFNVASGVETSLNQLAAALLDVMGSKLPVEYGPERLVNVPRRLADTDRAARRLGFRSQVGLAEGLARLVDW------------- 253574439 ----KVLVTGGAGFIGRHTVKRLVEEGEQVVVVDTGLPGNLRKKDELVTYYATDIMSDELELIFAEERPDAVIHLAAQTSVRRSLQNPTADAETNILGTIQLLEQCIRFGVRRIVFASSAAVYGNPDHLPIKESQRPEPLSFYGVSKRVSEMYIQSFSERYGLEYSILRYANVYGVR-------------EQRTGEDGVLTAFVERLIAGLPLEVYGDGSQTRDFVYVKDIAEANVQALRC----AGSQIINVSSGRGISILEALGVLSEISGRHVQPQFRPAQPGDIDQSVLDNGKVREILWWEPRYSLYNGLVEMMEFERKNP-------- 221194432 ---KTYLVTGGAGFIGSNFIQYLLREDICVVNVDVLTYAGNEHDSRLVFEQVDIRDRAALARLFEKYAPTYVVNFAAESHVDRSIEDPGAFADTNVMGTVAWDDGCGGFGDHIYLQVSTDEVYGDDPEAFFREDTPLSPHSPYSASKASADMFVKAWFDTYRFPAVITRCSNNYGP---------YQFPEKLIPLMIQNCLDHRK-------LPVYGDGLNVRDWLYVEDHCKAIDMVLERRLGQVYNVGGHNERNNLYIVKRIISEVSQLTGDPEIITHVTDRKGHDRRYGIAPDKIREELDWYPETPFEEGIVKTITWYLENRTWVE---- 258648083 ---KTYLVTGAAGFIGANYLKYILKKDVRVVALDLLTYADDIDEKRCFFVRGDIGDRKLVDDLFAKYHFDVVVNFAAESHVDRSIENPQLFLQTNILGTQNLLDCARRDWVTRFHQVSTDEVYGLGDTGFFTEDTPLQPHSPYSASKTSADLVVCAYRDTYRMPVSITRCSNNYGP--------YQFPEKLIPLVINNILQGKPLP--------IYGKGLNIRDWLYVEDHCKAIDLVVNK---AADGSIYNVGGHNERQNIQIVKTILQTVRRERMITFVKDRLGHDQRYGIDPSKIKVDLGWYPETTFEVGIEKTVRWNLEHHDWIE---- 282892105 -----ILVTGGAGFIGSNFIKWLHYEKDPIINLDKLTYAGNLHNLSGICEQGDIQDRALVREILHKYHPHSIIHFAAESHVDRSIHAPEAFIQTNILGTYCLLEEALAYWHFRFLQISTDEVFGSLTSPASTEVSPYRPNNPYSASKASADHLVEAFGHTYHLPILITRSANNFGP--------FQFPEKFIPHLILQAIQGNTIP--------IYGDGLQVRNWIYVHDHCNAIRQVLEKGT---VGESYNIAGIKETTNLELAEMICGILDELKPIVHVKDRPGHDRRYSLNGTKIWKELGWMPEASFEQQLRETVQWYLSHSHWVEN--- 167762880 ---KKILVSGGAGFIGSHLCTRLIKDGHEVICLDNLFTGSEEHLKGNPRFQFVLHDVEEVDEIYNLACPASPVHYQH---------DAIKTIKTSVLGAINMLGLAKKTGA-KIMQASTSEIYGDPVIHPQVESYWIGIRSCYDEGKRCAETLFMDYYRQNGIRIKIIRIFNTYGPRM--------LPDDGRVVSNFVVQALQDKDITIYGSGAQT------RSFQYVDDLIEGMVRMM--NTEEGFIGPVNLGNPNEFSILELAEKVIRLTGSKSKLIFKPLSHDDPRQRKPDITLAKHKLDWQPAIELEEGLLHMIEYFGKY--------- 170741396 ----HCVVTGAAGFIGFHLARRLLADGHRVTGIDGLTDYYDVGLKRARLRALELEEPGFFARVMDQARPDILVHLAAQAGVRYSLENPASYVAANVVGTFEVLEGLRAHPVRHALLASTSSVYGGNDRVPFRETDPVRPVSLYAASKVAGESMAHAYAHLWRIPTTAFRFFTVYGP----------WGRPDMAVFVFTKRILAGEPVEVFDHGRAARDFTYIDDLIESIVRLIDRPPESDSLSPVAPFRVVNIGGGNPATVSDLLDGLEAALGRRATRLLRDLPPGDVPLTQAETGLLRDLIGYAPATPLREGVAAFVDWYRAY--------- 144205991 -KNMNILLTGGSGFIGSHLSRELLKNDNKLIIVDNLLTGSLNNVQNVRFVKHDVQDHLEIEE-----KVEYVLHFASAASPVAYTENPVNTLKAGSLGTINTLGLARKHNAEYF-LASTSEVYGDPLITPQNEDYWGNERSMYDEAKRFAEAATATYARTYNLKTKIIRIFNTYGPNMQLNDGRVVTNLIVQALNNEDLTL--------------YGDGTQTRSFSYVSDTVAGIVAMM----NSEFNEVFNIGNPYEMTIKELAETILRLTDSKSKIIYQPLPNDDPKQRKPDITKAKEKLNWEPKVGLETGLAHTIDWVKK---------- 119720075 ----RVLVTGGAGFIGSHLVERLVARGYEVVVLDNLSSGSLENLRDVEFVRGDVRSREDVEKALRGV--DAVFHFAANPEVR--VGDPREHFEHNVFATFNVLEAMRRLGVSDIVFASSSTVYGDAEKLPTPEDGPLKPISVYGASKLACEALISSYTHTFGFKGVALRYANVVGPRATRGVVKDFVRKLRENPRV----------------LEILGDGTQRKSYVWIEDAVEATLLAWERTGE--GFEAYNVGSEDAITVREVADIVVSAMGLSNVEVMGGRWVGDVKNMHLDIGKLKK-LGWSPRYTSRDAVRLA---------------- 119896318 ----RVLVTGGAGFIGSHLCRRLLADGHEVLSADNYFTGSRRNILGNPDFEADITFYVEVDRIYNFACPASPVHYQ---------YDPVQTTKTSVHGAINMLGLAKRTGA-RVLQASTSEVYGDPEVHPQTEDYWIGPRSCYDEGKRCAETLFFDYHRQHRVEIKVVRIFNTYGPGM--------QPNDGRVISNFIVQALRGEDITLYGDGAQT------RSFCHVSDLVDGVVRMMD--SPAGFTGPVNLGNPTEYRIDALAELILRLCGSRSRLVFRPLPEDDPCQRQPDITLARERLAWTPRVALEDGLRDTIDHFRR---------- 218261931 ---KSILVTGGAGFIGSHLCKRLVKEGNRVICLDNYFTGSEHDLLKYPGFQLVEHDVTMIDEIYNLACPASPIHYQ---------YDPIKTIKTSILGAINMLDLAQEHDA-RILQASTSEVYGDPFVHPQPESYWGNVRSCYDEGKRASETLFMDYYRQNKLKVKIIRIFNTYGPYMTVNDGR--------VVSNFIIQALNNESITVYGSGHQT------RSFQYVDDLVEGMVRMM--STGDSFTGPVNLGNPDEFTMLELAEHIIRLTGSRSEIVFRPLPSDDPKQRKPDITLARKMLGWTPSISLDEGLRKTISYFQ----------- 256397600 LKGSRALVTGGAGTIGSHVVDRLLDAGCAVVVLDNFVRGRRANLAAAQVVEGDIRDRGLLAEL--TAGTDVVFHLAAI-RITQCAEEPRLANEVLVDGTFNVLEAAAAAGVKKVVASSSASVYGLAEQFPTGERHHYNNDTFYGAAKAFNEAMLRSFKSMYGLDYVALRYFNVYGPRMD------IHGLYTEVLIRWMERIAAGTPPLILGD------GTQTMDFVHVADIARANVLAAASDLTD---EVFNVACGVETSLNDLAKTLLEVMGSDLPVEYGPPRANGVTRRLADISQAEARLGFKAEMQLREGLADLVQWWR----------- 49258949 -QPKVWLITGVAGFIGSNLLETLLKLDQKVVGLDNFATGHQRNLDEFKFIQGDIRNLDDCNN--ACAGVDYVLHQAALGSVPRSINDPITSNATNIDGFLNMLIAARDAKVQSFTYAASSSTYGDHPGLPKVEDTIGKPLSPYAVTKYVNELYADVFSRCYGFSTIGLRYFNVFGRRQDPNGAYAAVIPKWTSSMIQ------------GDDVYINGDGETSRDFCYIENTVQANLLAATAGLD-ARNQVYNIAVGGRTSLNQLFFALRDGLAYHREPVYRDFREGDVRHSLADISKAAKLLGYAPKYDVSAGVALAMPWYIMF--------- 170720577 -----ILITGGAGFIGSHLTDELLAKGYAVRVLDNLSTGKRSNLPLSHPIEGDVADAALVAHAVKGC--AGVVHLAAVASVQASVDDPVRTHQSNFIGTLNVCEAMRLCGVKRVVFASSAAVYGNNGEGAIDEDTPKAPLTPYASDKLASEYYMDFYRREHGLLPVVFRFFNIYGPRQD------PSSPYSGVISIFAERAQKGLPITVFGD------GEQTRDFFFVSDLVKLLVQGLESGPVAEGAINV--GLNQATSLNQILAALAQVLGKLPEVSYQPARAGDIRHSRANNQRLLS--GFEPRTAIEVGLAQLLK-------------- 134884653 -----ILITGVAGFIGYHTAEYFLKKNINIIGIDNMNDYYDINLKKSRFIKLDINNYKKLNNLFIKFKIKKVIHLAAQAGVRYSIYKPSVYLDTNIVGFFNILDISKNHSLKHFLFSSSSSVYGDQKKYPIKESFETKPLSFYAATKKSNEVLSHSFSRIYNLPITCLRLFTVYGP----------MGRPDMAPYKFTKAAIENKTIQIYNKGI------HERDFTYVTDVAKAIYNLSSKRINKNFYQILNVCSSKTIKLMDFIKLIERLTNKKINKKFVKRQKGDVIKTYGNNSKLKKITKINKFISVEEGMKNFVDWYIKY--------- 142165364 ---KTVIITGAGGYVGGQTAIHFCDQSWNVIGIDNHPPKHLAQSKYFSKFYVGPFDSEDALELIKNSNPDAVIHTAGTSLVGPSKKDPRPYYQNNFVATKTLVEFLMDNSIKRLVFSSSAATYGEPVLPPCKEEDPPLPLSPYGESKLMTEYMLKAYANAYGLDVTIFRYFNVCGA--DSQARHGQAPAATHIIARILEALRDGGSITINGRDYPTEDGTCIRDYVHVEDVARAHLLAVEQQIN----GVYNLGTATGYSNLQILNTALTASGKDYPVSWGESRPGDPAVLVGSSEKYNKLTGWAPTHNLNSIISSAWKWYTR---------- 288941682 MKTKRVLVTGGAGFLGSRLCARLVAEDCEVICVDNFYTANVSQLLGHPHFELMRHDYVEVDEIYNLACPASPIHYQ---------FDPVQTTKTSVHGAINMLGLAKRTKA-RILQASTSEVYGDPECHPQSETYWIGPRACYDEGKRCAETLFFDYHRQHRLEIKVARIFNTYGPGM--------HPNDGRVVSNFIVQALKGEPITLYGDG------SQTRSFCFVDDMIEGFVRLMASPAEI--TGPINLGNPIELSMRQLAERIRELTGSRSELVYRPLPQDDPTQRQPDITRARELLGWEPRVPLDDGLKQTIFYF------------ 262277586 --SKNYLVTGGAGFIGSHVVDLLLKNKKSVTVVDNLCTGRKKNIKKLKFVNCNIQNYSRLESIFK--NIDVVIHLAALADIVPSINHPEEYFQTNVNGTLNVLKASQENNIKKFVYAASASCYGIPDKFPTDENTKIKLEYPYALTKKMGEDLVLHWSKVYKLNVTSLRLFNVYGTRSRT------SGAYGAVFGVFLAQKINNKPLTVVGDGKQT------RDFIYVSDVAKAFYKASKY---KKSGDIINIGSGKETTVDFIANFI-----SKNNKIYLPKRPGEPDRSRANIIKAYKLLNWKPTIKIANGIQMLLD-------------- 134805987 -KSKTIFVTGVAGFLGSHLSEKLVNLGHKVIGIDNMLGGYEDNVPKNIFHKGDCCDYEKIKSLMKGV--DVVYHCAATAHEGLSVFSPFEITKNNYLASVSIFSAAVNEKVKRIIFCSSMARYG-GQQTPFTEEMKPSPVDPYAISKVAAEEVLKNLCELNNIEYVIAVPHNIIGPRQ------KYDDPFRNVVSIMINRMMQGKAPIIYGDGKQTRC------FSYIDDCLSCLIPMLDQKDLNK--QIINIGPDEEFVTINKIAEICALTGVNLKPIHKKDRPQEVKHATCSADKARTLLNYKTTVSLQDGIKKTFDYIKK---------- 142343556 ----NYLITGGAGFIGSHLIGQLIDESNQITVLDNLITGDLKNIKYKEFSNFKFVEHDIQESIYFDEKIDYVVHLASCASPKAYARYPINTLKSGSIGTINALGISKYHNA-KFFLASTSEIYGDPEISPQSEEYWLGPRSMYDESKRFAESATHSYITTHNLNGSIARIFNTYGPNM--------QIDDGRVVTNFIYQALKGKEITIYGNGEQTRSFSYIEDTLDGILKIIHHE----------ESDVFNIGNDNEVTIKYLAEKIIELTNSNSKIEYHELPINDPKQRKPDLTKVKELLNYKPKTSLEDGLKLTIDWISANYK------- 143294530 ----KIFVTGGAGFIGSNYARWLANTDHSVTVYDALTYAGNDDNPRFKFVKGNICHPGDIEAAMKGH--DWVVHFAAESHVDRSIASGEDFILTNCFGTNVVIDTARRLDMKRVLHIGTDEVYGSVEVGSSKETDPLEPRSPYSASKAGSDLIALSYYSTHGTPVLVTRCTNNFGP--------WQYPEKAIPLFTTNLLDGKKIPL--------YGDGLNERDWIYVDDHCAGVHLVLERGEL---GEIYNIGAGNETPNRVLVDKLLALLGKDESVQYVQDRKGHDRRYSVDIAKVTK-LGWKRERSLDEALESTVKWYRDNRWWWE---- 227538960 MVNKRILITGAAGFLGSHLCDLFISKGYYVIGMDNLITGDLSNIEHFKLENFEFYNHDVSKFVHVAGSLDYILHFASPASPIDYLKIPIQTLKVGSLGIHNLLGLAKSKKA-RILIASTSEIYGDPAVNPQPESYWIGPRGVYDEAKRFQEAITMAYHTFHGVETRIVRIFNTYGPRM-------RLNDGRVLPAFIGQALRGED-LTLFGD------GSQTRSFCYVDDLVEGIYRLLL----SDYAFPVNIGNPDEITIKQFAEEIIKLTKTEQKLVYHPLPQDDPKQRRPDITKAKELLDWEPKICREEGLKLTYA----YFKSLSREQ- 110669372 -SKQRALVTGGAGFIGSFLVESLLERGSEVVIADNFSKGHGKLTHLEDDIEIKTVDLTTHKGCIATEDIDDVYHLAASVGGIHYQRENVHGLTPSVLMNQHMLEAARIQDVDRFLFASSACVYRQQHDELFSEDQAINPHSTYGWAKVLGEVACDAYHTDTTVDTGAVRIFNAYGPRENLDPDSSHVIPALCRK---VIEADDGDSIELFGD------GTQERGFIYITDLVEGMIQAMEH---KTDGEPINLGNGDEVTINELAQKIIALSGKDIEVEHDLSKPTGTDKYACDTTKMKDELGWEPTTPLEEGLQEVYEW------------- 170740313 -KSTTVLVAGGAGFLGSHLCDALLARGDRVIALDSFLTGRRRNLRHLERDRFDLVEHDVVRPLPAALRFDRVYNLACAASPPHYQADPEHTLLTSVLGTRHLLLAA-EASGARFFQASTSEVYGDPEVHPQPEGYWTGPRACYDEGKRAGETLCYDYARAGRVAVRVARIFNTYGPRMRA--------DDGRVVSNVVCQALAGDAITVYGDG------SQTRSFCYVADLIEGIIRLSLHEAPGLAVPPVNLGNPVELTVSDLVARVLAMTGSASPVVTRPLPTDDPRRRRPDIARAKELLGWSPAVPLEQGLKATILWF------------ 138300879 -----------------------------------------------------------------------IVHFAAYKSVGESMSSPGMYWQNNVAGTVHLAEGALAAGVQHMVFSSSASVYGNPETTPITERAEIRPENVYAESKATMERVLSWYGETHGLRSVSLRYFNAAGASLDGVIGENWSVTTNLVPLVMKAVLGVSGPVQVFGNDYPTPDGTGIRDYIHVEDLARAHVAALDYLAAHGDSTAVNLGTGVGSSVLDILHRTAVEAGHEVPHDIVERRIGDPAVVFADPSAAERILGWTSKLTLDDIIRSAYAWH------------ 88603366 --DQTILVTGGSGFLGSWMCEVLLNTGANVICLDNYASGRPENTEHLKNHPKFTRIVHDISKPYDPGRVDLVCHLASRASPLEFEHYPIQILKSNTLGTMNALGIARKYEA-RFLFTSTSETYGEAAIFPTPETYRGNVNTCYDEAKRAGEAFCMAYFRQHGLDVRIARIFNTYGPRMRSDGHYGRVIPRFIDQAVHNA------PITIFGEGKQT------RSFCYVTDQITGLLRLAGLPDLAGEVVNI--GNPVEWTILDLAHMIIELTGSKSELSYQPMPPDDPTRRVPDITKAREKLGWEPKVELKDGLMKMLE-------------- 136791189 ---RKILVTGGAGFIGSAVIRYILKNTDSTIILDKLTYAGNKGNKNYFFEKVDICDKKKLIKIFQKYEPQLIMHLAAETHVDRSIDGAGDFVQTNIIGTFNLLEVSRKFYKFRFHHVSTDEVYGDLKNKAANEKHSYNPSSPYSASKASADHLVRSWHRTYNLPIIISNCSNNYGP--------FHFPEKLIPLIILNALELKKLP--------VYGNGKQIRDWLYVEDHASALYKIV---TKGKVGETYNIGGNNQLKNIDVVKKICRELDKEQLIEYVEDRPGHDLRYSINTSKIKKNLNWKPSESFK---------------------- 213964772 ----RVLVTGGAGFIGSNFVRTLATRDVNVTVLDAFTYAANPASLEGEIIRGDVRDAELIDRLVP--RFDAVVHFAAESHNDNSLVDANPFVTTNVLGTVNLAKACATHEV-RLHHISTDEVFGDDDPARFTTATAYKPSSPYSASKASADHFVRAWVRSFGLAATISNCSNNYGPR--------QHPEKLIPRQICGLLRGRSPR--------VYGTGENVRDWIHVDDHNDAVWAILDA---GEVGETYLIGADGERSNLDVVGDILEGFGRARDFVHVTDRPGHDRRYAIDPASIRT-LGWQPRYDFSSGLAETIDWYRANESW------ 203287887 ----RVLLTGIAGFIGFHVAKKLADDGHEVLGIDILNNYYDPSLKYERLENLDILNKEQVLSLFNNYKFTHICHLAAQAGIRDSIENPDSYIAINIVGFFNILDACRIYKIEHFVYASTSAVYGLNEKMPSSEDSITHPLNLYAASKKSNEVIAHAYSSSFNIPTTGLRFFTVYGP----------YGRPDMALYLFADGVMRQSTINVFNNGDMARDFTYVDDVVNGVCNVLKKPARSDSNFDKAPYRIYNVGTGQAVKLMEFINELEINLENKACKNFLPMQKADVVESCCDISKLKNDFAYEALTSIKDGIRKFAIWYK----------- 293401740 ---KTYLVTGGAGFIGSNFVYYLLNKYDDIINVDKLTYAGNEEDERHIFVQADICDEAKITQLFETYDIDYVVNFAAESHVDRSILHPEIFVETNVNGTVNLLKIAKKYWVKYLQVSTDEVYGSLGDEGYFTEETPICPHSPYSASKASADLFVKAFADTYKFPINITRCSNNYGP---------YQFPEKLIPLVFNNTLEHKN-------LPVYGDGMNIRDWLYVED----HCKGIDMVINSGRGEVYNIGGHNERTNIFIVKTIIDATVGEHMITYVTDRKGHDKRYGIDPHKISEELGWYPETKFEDGIKLTLAWYNEHKEWIE---- 302538232 ----RVLVTGGAGFIGSHVVAVLAAGGHTPVVLDALAHPRAPELPDAEVVRGDVRDAEAVGRALRGV--DAVCHQAAMVGLGKDFADAPEYVACNDLGTAVLLAETARAGVRRFVQAGSMVVYGEGRPGLVDEDAPADPRNVYATTKLAQEHLAAAWARSTGGTAVSLRYHNVYGPGM------PRDTPYAGVASFFRSALARGEAPRVFEDGA------QRRDFVHVRDVARANAAVLAEGLPAGGHTAYNVGSGDPHTVGEMAAALAAEHGGPAPVVTGEFRLGDVRHITADSSRLRRELGWRPEVGFEQGMAEFAR-------------- 143055961 ------LVTGGAGFIGSNLVDALLEQGHEVVCVDNESASNEKWNRDAININADVTDYSLMKTAMTNV--DYVFHLAAESRLQPAIENPIEAIVKNCVGTTTVLQCAREAGVKKLMYSSTSSGYGMN-SYPNVETQPDDCLNPYSASKVAAEKFCKMYTDLYGLKTVVFRYFNVYGER---------SPVFGQYAPVIGIFLKQKNEGKSL---TIVGDGSQRRDFIHVKDVAAANILAATTDPDEYYGQVFNVGSSNSISIQEIADLI------SNDQVYIPKREGEMETTFANIDKVKEVLGWTPKIDVSDWIRE----------------- 15891619 --GQRVLVNGGAGFLGSHLCERLLSCGHEVICLDNLSTGRTANVEHLRDNKRFLLVEHDVRK---PYDIDVIFNFASPASPPDYQRDPVGTLLTNVLGAVNVLEVARRCGATVVQ-SSTSEVYGDPHVNPQPESNTIGPRACYDEGKRSAETLFFDYHRCYDVDIKVGRIFNTYGPRMR--------PDDGRVVSNFIVQALKGDDITIYGD------GSQTRSFCYVDDLIDGFLRFSAKPKDC--TGPINLGNPAEIPVRQLADIVIRMTGSRSRIIHLPAAIDDPQQRRPDISRANELLRWQPRVPLEIGLEKTI--------------- 142699207 ----RYLITGGAGFIGSNLVDKIIGNAEKVIVLDNLLTGNLQNLDKHKFYNHDIQNPIELDE-----EIDHVVHLASCASPFAYSNYPINTLKSGSIGTINALGISRRHNA-KFFLASTSEIYGDPEITPQHENYWLGPRSMYDESKRFAEAATQSYVTTHNLHGSIARIFNTYGPKM--------AFDDGRVVTNFIHQAINNQDITIFGDGSQTRSFSFIEDTLDGIMKILNHES----------SDVFNIGNDYEITINELANKIIHLTKSNSKIINKDLPIDDPKQRKPDLSKARKILGYEPKYDLETGLKETIGWFKENYK------- 261402193 ---RTILVTGGAGFIGTNLIKRLLEDNNKVICIDNNYTGRLENIKQFKFIKHDITKPIKIEG-----ELDEIYNLACPASPPHYQKNPIFTLNTSIFGIINILELAKKHNA-KILHASTSEVYGNPLEHPQKESYWIGPRACYDEGKRVAETYCYEYWKNFGLDVRIVRIFNTYGPYMD--------PNDGRVVSNFIIQALKNEPLTVYGDGKQTRSFQYIDDLIYMEMDKEGLENKLKSKFNWDTIPVLNMGNPEEFTILELANKVLELIESKSEIVFKPLPKDDPVRRRPDITMAKEVLSWKPKIRLEEGLKKTIEYFRE---------- 147900991 --SKRILVTGGAGFIASHMIISLVENDYLIINLDKLDYCNLESVSSHPNYQGDICDSDFMKLIFQRENINIVLHFAAQTHVDLSFFQSFKFAYVNTTNILLNAAHGHGAGVEKFVYISTDEVYGGSLNEEFDETSPKRPTNPYASSKAAAESFVLSFWERHKFPVVITRSSNVYGPH--------QYPEKVIPKFISLLQQNQK--------CCIHGSGRQTRHFLYASDMVEALMTILTRGKT---GEVYNIGASFEISVRELIQTIESESELNNWLVYVKDRPVNDLRYPMKSEKMHS-LGWRPKVQWKEGIQRTIEWYKDNFHNWQNEH- 238063662 ME--HLLVTGGAGFIGANFVRRALAAGLRLTVLDALTYAGAEVADRIAFVRGDVRDVDLVDALVQ--DCDTVVHFAAESHVDNSLQDPTPFLRTNVEGTFVLLEAVRRHD-RRLHHVSTDEVFGLHGGGAFTEETRYDPSSPYSATKAASDLLVRAWARSYGLRATLSNCANNYGP---------YQNVEKFLPRQITNVLRGQRP-KLYGTGA------NVREWTHVDDHNDAVLLILDAGVL---GETYLIGSGAQWSNRALLELTLTLLGRADDFDRVPDRPAHDLRYACDSSKIRRELGWRPRHDLAEGLVVTIDWYRHNEWWWGPQK- 140383001 -----------------------------------------------DIVKGDIRNKSTIRDIFLKSIADSVIHFAGLKSVAESVRNPSIYWETNLISSLNLIEVMLEFDCLTIVFSSSATVYGLDNKSPIKENGKLNPNNPYGNTKLAIEKLFNDFFQSNKLKIANLRYFNPVGAHPSGFIGEDPKGPNNIFPVIAKVAIGMQKKLNVFGNDWPTVDGTGIRDYIHVMDLAEGHIRMLEFKNNESQIVTLNLGTGIGTSVLQLINTYEKVNNIKIPFQFVHRRSGDVSELVADSSYAKKLINWAPNKDLKDMCRDSFNWQLKNPYGFN---- 241662196 -SRQRILVTGGAGFLGSHLCDRLIEQGHEVLCVDNLFTGNIEHLLGHPHFEFVRHDYVEVDQIYNLACPASPIHYQH---------DPVQTTKTSVHGAINMLGLAKRLGAKIFQ-ASTSEVYGDPVVHPQPETYWIGMRSCYDEGKRCAETLFFDYNRQHGLEIKVARIFNTYGPRM--------HQNDGRVVSNFIMQALRGESITVFGDGKQT------RSFCFVDDLIGGIVALMDTPKE--FTGPMNLGNPHEMTMIELATHVIELTNSSSKIVFKPLPSDDPVQRRPDTRLAEATIGWNPSVQFRDGLAKTVEYFKRF--------- 119944543 ----KLLITGGCGFLGSNLASHGIKKGYEVTVFDNLSRGTTDNLAWFEFVHGDIRNKNDVTRLIERVKPDAMFHLAGQVAMTTSLENPYMDFEVNIGGSINVLEAVRLYSPTAIFYSSTNKVYGDLEQFEYEETATLDFHSPYGCSKGSADQYMLDYARLFGLNTTVFRHSSMYGGRQFATYD-------QGWVGWFCQKALDAKANPDVAPFTISGTGKQVRDVLHAEDMISLYYSSLDNKEKSGKAFNVGGGIDNSLSLLELFSLLESITGCKLSYEKLPVRSSDQRVFVADSTKLESLTGWKPKVSKEDGVGRMIEW------------- 137964277 -----ILITGVAGFLGSHLSEKLIKLGHTVVGIDNMAGGYKDNIPKDEFHNVDCCDFQKIKTIMK--NIDVVYHCAATAHEGLSVFSPYEITKNNYLASVSIFSAAINEKVKRIIFCSSMARYGD-QQTPFTEDMKPRPVDPYGISKVAAEDVLKNLCELNNIEWVIAVPHNIIGPRQ------IYTDPYRNVVSIFLNRMMQGKPPLVYGDGEQKRCFSYIDDCLSCMIPMLDQKNLNKQIINIGPDEEF-------VTVNKVVEICSNVTGLNLEAIHKDDRPKEVKHATCSADKARKLLNYKTKVSLKDGIKKTYEYIKK---------- 142845848 ----KILVTGGAGFIGSHLVEELLSNENEILIFDNCLTGKKENLEMTGNFKFIIDDFENSLEEIEKFDPDVCFHLAAQSSVVVSVENPALDFEHNILQPIKLIQVLLKSNCKKLVFTSSGGTFGEPSIIPTSEEDYDEPESPYGVAKKRLNELIKIMTNNSNLKYSILNLSNVYGPRQD------PHGEAGVVSIFANKYLNNEEP-------IIYGDGEQTRDYVYVKDVVS----ALIKASKIDKNHFLNIGTGVETSVNNLANSMKRQFNSEINPIYKPAREGELNRSVLNNTKAKKELDWKPEYNLDEGMKQVFNW------------- 84496590 ----RVVVTGGAGFLGSHLCETLIRRGDEVVCLDNFLTGTPANVAHLMEERSDVTDF-----VHVGGKVDLVLHFASPASPIDYLKLPIETLKVGSIGTLHALGLARDKGA-RIILASTSEVYGDPKVHPQPETYWVGPRGVYDEAKRYAEALTLAYRNTHQVDTGIVRIFNTFGPRMR--------PNDGRAIPNFIRQSLAGEPVTVAGDGSQTRSICYVDDLVEA----------ILRMADGEHQGPINIGNPHEISMLDLARKVISLTESSSEIVLIDRPVDDPTVRQPDTTLAREILKWEPKVDMDEGLARTIAWFREHP-------- 218779502 ---KKVLVTGGAGFLGSHLCERLLDASCEVLCVDNFFTGSRQNVQHLTDNRHDITFYVHVDEIYNLACPASPIHYQ---------NDPVMTTRVNVHGAINMLGLAKRVSAKIFQ-ASTSEVYGDPEIHPQPESHCVGPRSCYDEGKRCAETLFFDYYREHGLKIKVARIFNTYGPHM--------HPHDGRVVSNFIIQALQNEPITVYGD------GSQTRSFCYVDDLVEGFIRLMNSPDE--VTGPMNLGNPGEFTILELAEKVISIIGSSSKISFLDLPADDPKQRKPDITQAKDVLGWEPKIRLEQGLLKTIAYF------------ 167526910 FDRKRILITGGAGFVGSHLVDVLMRDGHEVTVVDNFFTGRRKNVHWIGHPHFELVMHDVVEP--YMMECDEIYHLASPASPPHYMYNPVKTIKTNTVGTMNMLGLAKRTGA-RVLLASTSEVYGNPPETYFGNVNPDGPRACYDEGKRIAETMCYAYSKQSGVEVRVARIFNTFGPRM-------HIGDGRVVSNFIIQAL-QDQAITVYGEGLQTRSFQYVSDLV----------AGLIALMNSDFDEPVNLGNPDEYTMIDFAKHIKEITGSSSEIIHKPATQDDPQKRKPDISRARQVLKWEPKVSVLDGLKRTIEYFR----------- 297191432 ---KHAVVTGGAGFVGSHLCTALLDSGTAVTCVDDFSTGRPENISALRDRPGFQFVRASVSEPFTVEPPADVLHFASPASPADYLRLPLHTLDAGSLGTRNALALAHGFGA-RFMLASTSEVYGDPQQHPQNERYWVGPRSVYDEAKRFGEALTTAHADANGTDTGIVRLFNTYGPRMRG-------HDGRAVPTFVRQALAGEP-------LTVSGDGRQTRSLCYVDDTVGGIL----AAAAHGLRGPVNIGNPTEITMLDLARLIIELTGSSSEIRFVERPTDDPAVRCPDITLARDKLEWEPSVSAEQGLRRTIAWFRDH--------- 288795885 -----VVVTGAGGFIGSHLVEALAAAGHRVRALVRLDTFGPDQLAGVEVVASDIRDRGMVQRLVDGA--STVYHLAALGGIPYSYLAPQSYVDTNITGTLNVLESARTAGVGRVVVTSTSEVYGTATTVPMTEQHRLRGQSPYSASKIGADKLADSYHLSFGLPVVTLRPFNTYGPRQST---------RAVIPTIISQLAKG-------GGVVRLGALRTTRDLLYVTDTVAAFQAVGTAPDDAVVGGVFQAATGRETSVGDLVRKIAAMFGVQPEVVVTESRESEVERLLGDAGLLRSRTGWQPATSIDEGLKATVEWFLD---------- 310763465 ---RKWLVTGCAGFIGSNLLETLLRLGQTVTGLDNFATGFPEQWARFTFIEGDIRDLEACRRAVQGVEF--VLHQAALGSVPRSLKDPITTNEVNIGGFLNMLVAARDEEVKSFVYAASSSTYGDHPALPKVEENIGKPLSPYAVTKYVNELYADVFARSYGFETVGLRYFNVFGKRQNPNGAYAAVIPKWTAAMIQNEDVT------------INGDGETSRDFCFVENAVQANILAAMAAPEGRNQVYNVAVSGRSTLNQLFGFLVQTLVAYGKKPVYADFRAGDVRHSQADVSKAGRLLGYEPTHTVLQGLEVAMPWYTQFLR------- 188588705 ---KTYLVTGGAGFIGSNFVLYMLNKDIKIINLDKLTYAGNENDERHIFVQGDICDKELVSSLFEKYDIDYVAHFAAESHVDRSIKEPEIFAQTNVLGTVNMLNCAKNAWENKFLHVSTDEVYGLGDTGFFIETTPIDPHSPYSSSKASSDLMVKAYYDTYKMPINITRCSNNYGP---------FQFPEKLIPLLINNCLHHK-------ELPVYGDGMNIRDWLFVEDHAKAIDMVI---NDGRLGEVYNVGGHNERTNIQIVKTVIAYINTENLIKYVEDRKGHDRRYGIAPDKIKEELGWYPETTFEVGIKKTIKWYLDNKEWMKN--- 218192558 ----RVVVTGGAGFVGSHLVDELLARGDSVIVVDNFFTGRKENVARHLADRFELIRHDVVEPIL--LEVDQIYHLACPASPVHYKFNPIKTYKTNVMGTLNMLGLAKRVGA-RFLLTSTSEVYGDPLEHPQKESYWIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRM--------CLDDGRVVSNFVAQTLRKQPMTVYGDGKQT------RSFQYVSDLVDGLITLME----SEHIGPFNLGNPGEFTMLELAQVVKETIDPSARVEFKPNTADDPHMRKPDISKAKSLLHWEPKISLKQGLPRMVSDFQK---------- 135527501 --KKKILVTGAAGFLGSHLSERLSKLGHDVVGIDNMIGGYEDNVPDDQFHKIDCCDFENINKIMQGVNI--VYHCAATAHEGLSVFSPFEITKNNYLASVSIFTAAVNAKVERIIFCSSMARYGD-QKAPFTETMKPRPVDPYAISKVASEEVLKNLCDLNNIEWVIAVPHNIIGP------KQKYDDPFRNVVSIMINRMLQGKAPIIYGDGKQTRC------FSYIDDCLSCLIPMLDQKNLNKQTINI--GPDEEFVTINNIAEICSITGVNLEPIHKKDRPKEVKHATCSADKARSLLNYKTTVSLKEGIKKTFEYIKK---------- 309388614 MKS---LITGAAGFIGSTLTEKLLKEGHQVIGVDCFIDYYDRSLKENNMSFIDHENFTLIEYNINELDVDYIFHQAAQAGVRSSWEDFEIYTHNNIMGTQRLLEAARGSNIKKFVYASSSSVYGDTDKLPMKETNRLQPVSPYGVSKLAGENLCYLYYKNFNVPTVSLRYFTVFGERQRP----------DMAFHIFIKAILQDKKLTIFGD------GKQSRNFTHVDDIVKANILAAESDV-EGENFNI-GGDGKRVVLNDAISLMEKIIGKKANREYQKVVKGDVKHTSADTSKAKKLLNYEAEIKFENGLEREIN-------------- 167590316 -----ILITGGAGFLGSHLCERLVSAGHDVMCVDNFHTGSKRNIAHLIRVNFEVIRHDVWLPLY--VEADRVFNMACPASPVHYQSDPVSTVKTAVLGAINMLGLAKRCGA-RILQASTSEVYGDAQQHPQQESYWGNPRACYDEGKRCAETLFFDYHRQHGVDIRVVRIFNTYGPRMRA--------DDGRVVSNFIMQALRGEPITLYGDG------SQTRSFCYVDDLVEGLVRMMDQDDDTGP---MNLGNPSEITIRELAECVLRLTGSKSRIEYRPLPTDDPLQRRPDIGRARQRLDWQPGVRLEDGLKETIAYFRN---------- 293607656 -QPRKWLVTGCAGFIGSNLLETLLKLNQTVVGLDNFATGHAEQWTRFTFVEGDIRDLAACQRATQGVDF--VLHQAALGSVPRSLKDPITTNEVNIGGFLNMLVAARDAGVKSFVYAASSSTYGDHPALPKVEENIGKPLSPYAVTKYVNELYADVFARSYGFETVGLRYFNVFGKRQD------PDGAYAAVIPKWTAAMIKGDDVTINGD------GETSRDFCFVDNAVQANLLAAMAPPEARNQVYNVAVSGRSTLNDLFGFLVKALGNQNVNYVYADFRAGDVRHSQADVSKAGRLLGYVPSHTVLQGLEVAMPWYTQFLR------- 142318206 IKMKKSLVTGGAGFIGSNLVDQLLSLGHKVTVVDNFSDAHDQWNPKAYNVNCDIRDYKVMKNVFGG-GIDYVFHLAAEARIQPAIENPIEAVSINSVGTCTVLQCAREAGVKRFMYSSTSSGYGMN-SYPNVETQPDDCLNPYSVSKVNGEKLCKMYTDLFGLPTISFRYFNVYGERQ------PLRGQYAPVVGIFLRQRSDGEPLTIVGDGD------QRRDFTHVSDVVSANILAATSNVDDSVGQVYNVGTGKNHSVNEIANLISD------KQVNIPPRIGESRITLADNSKLRDTFGWKPTVKLED--------------------- 144063808 -KKMNIVLTGGSGFIGSHLSKELLKNGNKLIVVDNLLTGNLDNIQDATFIQHDVQDHIEIDE-----KVDYVFHLASAASPVAYTENPVNTLKAGSLGTINTLGLARKHGAEYF-LASTSEVYGDPLITPQNEEYWGNERSMYDEAKRFAEAATATYARSYDIKTKIIRIFNTYGPNMQLNDGRV--------VTNLIVQALNDEDLTIYGDG------SQTRSFSYVSDTVAGIIAMME----SNHYEVFNIGNPYEMTVKELAEIILKLTNSKSEIIYKPLPNDDPQQRRPDISKAKEKLNWEPKVDLETGLNTTIEWIKK---------- 89070443 ---RHALITGTAGFIGFHLARRLLAEGWRVTGYDGMTDYYDVALKRRRHHEGMLEDSARFDAVADAAAPDVIVHLAAQAGVRYSLENPRAYLEANVMGTFTVMEAARRHGVAHLLMASTSSVYGANTELPYAETHKDRPLTIYAATKKANEAMGHAWAHLHRIPVTMFRFFTVYGP----------WGRPDMALFKFTRGILEGTPIDIYNHGDMWRDFTYVDDLVRPPPGAPRPDIAGDTLSDAAPWRVVNIGNSESVRLLDFVEAIEAATGRRAIRNLMDMQPGDVPATWADSTLLRALTGYGPETKVAEGVAQFVGWYRDYYQ------- 143955866 --NRKVLITGVQGYIGSVIAKAFKAEKWHVAGLDRSEPRDTVKKYVDDFLKCDYTNHIMTEAFIKKIEPTVIVHCGGTSLVGPSVKDPAEYYKNNVAGTLSLLESVRRAFAPTVMFSSSAGVYGNPKSQPLAENRTLKPMSPYGESKLMVEHILRDYATAYNLKSVSFRFFNAAGACAD---LGQAPGGTHIIARIMESMLDDDSKFTLYGKDYKTVDGTCIRDYVHVKDIAQAHILAATQHQVEG-AEIYNLGTNQGYSNQQIIDECIAHCG-DFKYDVGERREGDPDQLIADAKKAKKVLGWEPKHS------------------------ 143899096 ---KKIIITGGYGFIGSNLVNYFLKKKYFVINIDKLSYSSNKYNLKYVFIKSDIGNKKKIIKTLKKYKPSIIFNLAAESHVDRSIDGPEAFIKNNILSTFNLLESLRFHNKNKLIHISTDEVYGDIVNNKLDEKFQYQPSSPYAASKASSDHLVKAYVRTYNFQAVISNCSNNYGPN--------QNPEKLIPKIIFNILNNLAIP--------VYGNGNNSREWLHVND----HCRALDILSVKGKGENYNIGSGNNLSNLNLIKIIIKLMKKKYKFVFVKDRPGHDLRYALNSKKIRTKLNWKPKISLLQGISETIDWYMQNQNYFKN--- 134896772 ---KKIIVTGGLGFIGSNLIELLLKKNFKVINVDKVTYSSNKNSKNYRFVKCDIKEP-KFRKILFKYKPSCLFNLAAETHVDRSIDNPENFIQSNIVGVYNLLECFKDKHKSKLIHISTDEVYGDVLSGRTSESYAYQPSSPYAASKAASDHLVSSYIRTYKIPAIVTNCSNNYGP--------KQHPEKLIPKLIYNILNNKPLP--------IYGNGKNSREWIYVKDHCEAL---IKVYKKGKVGEFYNIGSNKNLNNIEVCKKLKVSLGKNVKIYFVKDRPGHDIRYALNSNKIKNKLKWQPKINFNKGIRLTFEWYKSYYNSLSKR-- 15895435 ----NILVTGGAGFIGRWVVKKLLDDGNTVTALDNLSNGSIENIEEFKGEEGDIKNKDDLDRIFED-KYDIIYHLAASIVVQESIDDPEKTFFNDTVGTFNILERAKKQMPCKVVFMSTCMVYDISGETGIDEQHSVKPVSPYGGSKIAAENMVLSYFNAYKLPAVVIRPFNTYGP------FQKSNGEGGVVSIFLKNFIDNKP-------INIYGSGKQTRDLLFVKDCADFVVNAG--YKSEVDGEIINAGTGNDITINELANLIAGKKVSVNHVEHIHPQ-SEIMKLKCNYSKAKNLMGWEPHYTLEEGIAETKSW------------- 144180464 -----VLVTGGAGFIGSNLVHQLVQDGVKVRILDDFSTGLESNILQVDVREGCLTDADFVAAAMRGV--TNVVHLAARGSVPRSIAEPRKTFEVNATGTLNVLEAARNEGAQVI-FSSSSSVYGSNTALPKNEEMWTQPLSPYGASKMAAESLVMSYREVYGLDALTLRFFNAYGPLQRADHDYAAVIPRFVWAALHGQTLRID------------GDGEQTRDFTHVDSVVSVIRDALERRVSW--ERPVNLAFGDRISVNELVTMIGEFFPEGVTAEHGPARPGDVRDSRNDPSLLRSVF---PEVDLREGLATVVEW------------- 227879362 -----VLVTGGAGFVGSHAVEYYAERGSDVTALDNLSNYIEENYPDVELVEADIRDFETLESIVEGH--DAIVHTAGQVAVTASLTDPRTDFEVNAEGTFNVLEAARKDSDPAVVVASTNKVYGNNNEIPVREEENRYWYTPYGVSKLTADLYVQDYAERGAVDAAAFRMSCIYGTRQFGN-------EDQGWVAHFAISTLRDEPLTIFGDG------KQVRDVLYVKDLIRAYDAFLSDPEDKPAVYNIGGGAENTTSLLEFLDILESKTGTRTDISYDEWREGDQKVYVSDTSRAREHLDWEAQVSFEEGIERFVDWY------------ 60682079 ----RYLVTGGCGFIGSNLAAEVLKQGEELFVLDNLFRGSAANLAWLHYYPFDIRNNNDVETVVKEAQPDYIFHLAGQVAMTTSISNPRLDYETNALGTFNLLDAVRKYSPNVILYSSTNKVYGDFEYLHFMEEQTLDFHSPYGCSKGCADQYLLDFYRIYGLKTIVFRHSSMYG-------NNQHATYDQGWIGWFCQKALEIKNSTLKEPFTISGTGKQVRDVLHGNDVVNLYFKAKDVRQAYGQVFNIGGGIENSLSLLELFDMLERKLNIKMIYTQLPWRESDQKVFVADIQKVSKVVGWIPEVSKDQGIQLMINWLKSY--------- 134666233 -----------------HVSMRLCNEGHDVIGLDNLNNYYDINLKESRLRKGDIEDATLIDSIFKENKIDRVIHLAAQAGVRHSIENPSAYINSNIVGFLSIIEACRHHKVDHLVYASSSSVYGLNKKMPFTLDETDHPVALYGATKKANELMAHSYSHLYGIPTTGLRFFTVYGP----------YGRPDMALFKFVKAIKEGQPIQIFNRGNMTRDFTYIDDIVEAIYRLQNIPPKLTPNISSAPYKVFNIGNGNPVNLMDFINEIESCLNINAIKDFLPMQDGDVQNTEADTSDLYQMIDFKPRTNIKDGLKNFLDWYEDYY-------- 225851516 ----KYLITGGCGFLGSNLATEVLGRNEELIIFDNLSYKNLEWLKNFKFVHGDIRNREDIESVIKQEKPDIIFHLAGQVAMTTSIQNPRLDFEVNALGTFNLLDSVRKFSPEIVIYSSTNKVYGDLEWVNFPENIPLEFHSPYGCSKGSADQYMLDFYRIFGIRTVVFRHSSMYGGRQFSTY-DQGWIGWFCLKAVETKKGILKEPFTIHGNG------KQVRDVLYADDMIDLYFKTVENIEKKGEVFNIGGGMENSLSLLELFNLLESMLDIKLEYKKLPPRESDQKVFVADITKAEKLINWKPKVSKEEGIKRMINW------------- 254415694 ----KWLITGGCGFLGTNLIKKLYTEGNHIRVVDNLCSGHPSSLSGTELVIGDILDSQL--ALRVTRGIDVIVHLAANTGVQPSIQDPHGDCYTNVIGTLNYLEAARHNQVKRFIFASSGAPIGKCI-PPIHEELAPHPVSPYGASKLAGEGYCCAYFHSFGVETVVLRFGNVYGP----------------ASGHKNSVVAKFIRQALNGETEIYGDGRQTRDFIFIDDLVRAIC--LAAATDNIGGEVFQIATNRETTVRELVDWVMSEMGIKLESNYASPLIGDVRRNFSDTSKAKEMLGWQAEVELKDGLRRTVEWFAQEYQEL----- 148265804 ----KLLITGGCGFLGSNLAHALTSGGYDLAVFDSLYDGSLENLRWFRFVHGDIRNQNDVSRLVQEFRPDAIFHLAGQVAMTTSIANPRMDFEVNVLGTHNLLEAVRQFNPQAVIYSSTNKVYGDLEQYSFDETTQLDFHSPYGCSKGAADQYMLDYARIFGLKTAVFRHSSMYGGRQFATYDQGWIGWFCQKAVESKNGVLKEP-------FTISGNGKQVRDVLHADDMARLYFSVLERDISKGQAFNIGGGIHNSLSLLELFALLEELTGVKLDYRKLPPRESDQRLFVADIAKAKRMLGWEPQVSAREGVGRMVEW------------- 73538220 -DRKRVLVTGGAGFLGSHLCERLVELGHDVLCVDNFYTGSKENISHLPLYNFELLRHDEVDQIYNLACPASPVHYQS---------DPVQTTKTSVHGAINMLGLAKRVKA-RILQASTSEVYGDPDNHPQRESYWVGRRACYDEGKRCAETLFMDYHRQHGVDVRIARIFNTYGPRM--------HPADGRVVSNFISQALDGEPLTLYGD------GSQTRSFCFVDDLVDGLMRLME---SDAAATPVNLGNPCECTMHAIANEILQATGSASAIETRPLPEDDPRQRCPDITLARTLLQWNPATTLTEGLRLTVAYF------------ 160889196 ---KTYLVTGAAGFIGANYIKYILAKHNDVVILDALTYANDIDDERCFFVKGDICDRVLADELFAKYKFDYVVNFAAESHVDRSIENPQLFLMTNILGTQNLLDAARRAWVTRYHQVSTDEVYGLGAEGYFTEQTPLCPHSPYSASKTSADMVVMAYHDTYKMPVTITRCSNNYGP--------YHFPEKLIPLIIKNILEGKNLP--------VYGDGSNVRDWLYVED----HCKAIDLVVREGEGEVYNVGGHNEKTNLEIIATVRRLMEEEPRITFVKDRLGHDQRYAIDPTKITNALGWYPETKFEVGIVKTIEWYLNNQPWVEE--- 145294730 ----RCVITGGAGFLGSHLTDLILNQGHEVIVLDDLSTGSLSNLFHNPRLQIKTVD---VRKKFEIDGPDIVFNLASPASPPVYTQRRVECLLINSEAVLQVAEFALEKGA-RLVQASTSEVYGDPLSHPQLEHHWIGERSCYDEGKRFAEALLSAMRLEQGLNAGIIRIFNTYGPRM--------HPFDGRVISGFVRQALANEPLTVFGDG------SQTRSFCYVSDLVRG----LWLMGNSNQPGPINLGNPIEQTVLSMAHLIKESTNSESSITFEPLPSDDPVRRRPDISKAKELLGWEPLVGIDVGLREVINWQIETQRTYAEK-- 50551569 -----ILVTGGAGFIGSWISRHF-ALTEKVVCLDALRYSNLEDAELQAFVHVDICDAQELRRVFDTYDIATVIHAAAESHVECSYSDPIQVTTANVLGTQTLLEEMREQNLPKLIYISTDEIYGDQADLTGTEDSPLCPSNPYAASKAAAEMFISAYTKSYGLECVTLRFNNVYG--------EYQYPEKIIPAFCLAMLKGDKCMLQGSGEH--------RRRYIYADDCVNAVQLTYNHF-DELKGQVFNVGSKEEKSNRCVFETLGGMLGYDQGPQFVSDRPYNDSAYRTNDDKIR-ELGWEQKVSFEDGLTRTVDWYRRNVSWWDGE-- 307320264 MRNKRVLITGGAGLIGSHIADVVREEPQEILILDNFVRGRRENLYQARIIEGDIRDRALLARVMDGV--DVVFHQAAI-RITQCAEEPRLAFDVLAGGTFDVLEAAIKASVSKVVAASSASVLGLAESFPTTEDHHYNNRTIYGAAKVFNEGLLRSFTEMYGLNYVALRYFNVYGPRMD------VHGVYTEVLIRWMERIAAGCPPIILGD------GTQTLDFVHVRDIARANLLAAKSGVTD---EVFNVASGTETSLKDLAQLLARIMGSSIEPQYEPARKVNVTRRLADMRKAERLLGFKTEISLEEGLRELIGWWQ----------- 241518323 ---KTVLVAGGAGFVGSHLCDALLARGDRVICVDSYITGSEDNVRPLINHEKDICHLHGVDE-----HLDQIYNLACAASPPQYQADPVHTMMTCVAGTGNLLSLAEQHHAS-LLQASTSEVYGDPVEHPQTEDSCTGPRACYDEGKRAAEALCFDMLRAGKVDARVARIFNTYGPRM--------QPSDGRIISNLLVQAISGAPLTIYGSGDQT------RSFCFVSDLVAGLMALMDVDPNPG--VPVNLGNPGEFTINRLVDMVLAMVPSTSVVVHKPLPQDDPQRRRPDISRAKDLLGWQPTIPLAEGLRLTADWFIA---------- 138894393 ----NILVTGAAGFIGSHLCEKLLENDHQVIGVDGFNIAHLQSHPRFTLVETDLL-TADLPALLK--DVEAVYHLAGMPGVRTSWTDFADYAVHNISVTQRLLEACKDLPLKRFIYASTSSVYGE-RSGPLSETLEPVPLSPYGITKLTGEHLCRVYFREFAVPVVILRYFTVYGPRQRP----------DMSFHRFIRQLLAGQPLTVFGD------GTQSRDFTYISDCIDGTAAALERDHVIGETINI--GGKERASVNDVIHLLEVLTGRKATIHYTSAARGEPKQTWADLTKAEQLLAYEPTITLIDGLQKEIEYIRSLYKG------ 301114943 ----TVLVTGGLGFIGSHVVEDLIANNFNVVVYDDMSNGKNFNKDVSAVLVKDITVVNDFSYIV--HQIDYIVHLAAAISVEESTRLPEKYQRINAEGSRKVLDWAVKNGIKRVVAASSGATYGTPEDLPLREESATGGICAYATTKFEMEQLMAQFHEQFGLKSTALRFFNVYGPRQDP-----HSSYSGVVSWFMEQA-------KINGTLKVTGDGDQYRDFVYVKDVARAIRTAMLLKDDDFDVFNVCTGVKTSI--NDVAVAVKAKFGSSADITHIAYRQGDVKESVCSPVKASTKLGFTASYDFPKGIGETRDWF------------ 136566513 ----KILITGTAGFIGNALSRKLLASENNVIGIDNHNNYYSTSLKDDRHHRIDISNKESLEKIFFNFKPDYVINLSAQAGVRFSIENPHTYIQSNVVGFVNILELSRLCKVKHLIYASSSSVYGSSSKFPYTSDNVDHPKSIYAATKKSNELMAHVYSDLYNLPTTGLRFFTVYGP----------WGRPDMALFKFTKSILNNEPIDVYNYGNHKRDFTYIDDIIDGLIKVNWNDINPDPSSSNIPWKIYNLGNNVPISLIDFIETIEKHLNKKAIINFLPMQKGDVLETFANIDDAKNVFGYQPKININTGVLNFVNWYKDYYK------- 145356112 ----RILLTGGAGFIGSHVAERLASRHYTIVILDKLDYCRAKECANVRFVKGDVRSFDLLSYVLQSERIDTVMHFAAQSHVDNSFGNSYEFTKNNIEGTHALLEACVRAQIRRFLHVSTDEVYGENLMDSNTEHSLLTPTNPYAATKAGAEMLVMAYGRSYGLPYIITRGNNVYGPN--------QYPEKAIPKFSILAKRGEKIS--IHGDGDAT------RSYMHVDDASSAFDVILHRGTT---AQIYNIGSREERTILSVARDVCKLLDRDPTIEHVSDRAFNDRRYFIDCSKLLA-LGWRQEKSWDVGLAETVRWYSNN--------- 140678694 ------------------------------------------------FEHVDIRDRKKLDLVFRKHKPDAVMHLAAESHVDRSIDGPSDFIETNVTGTFNMLEAARMFWQFRFHHVSTDEVFGSDPNVKFTEETPYNPRSPYSASKASSDYLVRAWYETYGLPVVLTNCSNNYGP--------YHFPEKLVPVIILNALAGKSLP--------IYGDGSNIRDWLFVEDHADALLLVLESGMI---GRSYNIGGENELTNLELVHTICKILDRAELITFVKDRPGHDLRYAIDPSRMRDELGWQPSVTIEQGLEKTVRWYLDNQDWWK---- 242036111 ----RVVVTGGAGFVGSHLVDKLLARGDSVIVVDNFFTGRKDNLAHHDNPRFELIRHDVVEPIL--LEVDQIYHLACPASPVHYKFNPIKTI-TNVMGTLNMLGLAKRVGA-RFLLTSTSEVYGDPLEHPQKESYWIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRM--------CLDDGRVVSNFVAQALRKQPMTVYGDGKQT------RSFQYVSDLVDGLVTLME----SDHIGPFNLGNPGEFTMLELAQVVKETIDPGASVEFKPNTADDPHMRKPDISKAKSLLNWEPKVSLKQGLPRMVSDFQK---------- 15897730 ----KIIVVGGAGFIGSAFVRELNKRGIKPIVVDLLTYAGRKENLIGDFVQADVR-SEKMHDIIKEYSPDIVINFAAETHVDRSIYKPQDFVTTNVLGTVNLLEASRKFN-FKYVHISTDEVYGEECG---DENSPLKPSSPYSASKASADLFVKAYVRTYGISAVIVRPSNNYGPR--------QFPEKLIPKAIIRTLLGIHIP--------VYGDGKAERDWIFVEDTARIIFDVVSRAEWKGEVYNIP--GGQRYNVLEILKMLEEVSGKEVKIKFVSDRPGHDRRYCMTTSMKYEV------TPFKEGLRRTYEWYLNNRWWWE---- 288928030 ---KTYLVTGAAGFIGANYIKYLLEKKYQVIVLDLLTYANNIDDKRCVFVRGDIRDRDLVNGLFADNEIDYVVNFAAESHVDRSIEDPQLFLSVNILGTQNLLDAARKAWVKRYHQVSTDEVYGLGDTGYFMETTPLCPHSPYSASKASADMFVMAYHDTYHMPVSITRCSNNYGP--------YHFPEKLIPLIINNILEGKKLP--------VYGKGENVRDWLYVED----HCKAIDLVVREGRGEVYNVGGHNEMKNIDIIKTIRQLMDSEDLITYVADRLGHDLRYGIDPTKIKNELGWYPETMFADGIVKTIEWNLANQEWIAE--- 135442216 ----NILVTGGLGFIGSNFISKILGEENFIVNVDSETYAYFESNQNYRHYNIDIADFQKVNKIIKKYSFDIIFHFAAESHVDNSIESSFEFCNTNVIGTLNLLESYKKLNKKNFIHVSTDEVYGSIKEGFFDENSNYKPNSPYSASKASSDHFVRAYFETHNLPVIITNCSNNYGT----------YQNKEKFIPTIINSLINKKNIPIYGD------GKNIREWIHVEDHVDGL---LHLSKNGKVGESYCIGSGEEYSNIDIVKTICKIYDSDKLISFIKDRKGHDYRYALNSSKII-ETGWNCKMNFKDSIENIITWYLKN-KSFLNEK- 302556896 ----HAVVTGGAGFVGSHLCAALLDAGAAVTCVDDFSTGRPENVSPLLERPGFTLVQANVAEELPVSRPDLVLHFASPASPADYLRLPLHTMETGSLGTRNALELARRAGA-RFVLASTSEVYGDPQQNPQDERYWVGPRSVYDEAKRFGEALTTAYAEAHGTDTCIVRLFNTYGPRMRG-------HDGRAVPTFVRQALAGEP-------LTVTGDGRQTRSLCYVHDTVSGVL----AAAAHGMRGPVNIGNPGELTMLGLARLVIALAGSRSEVRHIERPVDDPAVRCPDITLARDKLGWEPHVAAEEGLRRTIDWFR----------- 226364967 ----KLLVTGGAGYVGSVCAQRLLECGHTVVIVDDLSTGNADAVPAGAEFEDDVASAA--HRLLGAGDVDGVLHFAARSLVGESVETPETYWQANVVKTLTLLEAMRSSGSGRLVFSSTAATYGSPDSVPITEDAPTRPTNPYGATKLAIDHAITSYAHAHGLAACSLRYFNVAGA--YAGLGENRVVETHLIPLVLQVAAGHRDEISVFGTDWPTPDGTAVRDYIHIRDLAEAHVLALE-SSRPGTHRIYNLGTGAGFSVREVIASCERVTGRAVATRDAP--------------------------------------------------- 223934764 MKS---LVTGGAGFIGSHVARYCRDMGHEVVVLDDLSGGFKDQVPEDEFVEGCITNQKLVESLFLKHRFDYVYHLAAYAAEGLSHFIRRFNYTNNLIGSVNLINAAVKYEVKCFVFTSSIAVYGAGQ-VPMIESMVPRPEDPYGISKFAVEMDLAAAHEMFGLNYIIFRPHNVYGENQN------IGDKYRNVIGIFMNQIMRKEPMTIFGDGMQTRA------FSHIDDLAPQIARSV--KVRKAYNEIINIGADKPYSVNELAYVVSSAFGVSPRIKYLTPR-NEVQHAYSNHDKAKKIFGRGSGVSLEKGVGRMAQWALK---------- 284044630 MSGRSVFVTGGYGLLGAWLVRALVERGDRVVVLQRDRTPRSALLLGEDIVHGDLNDPGLVARALGEYEVDTVFHLAAQTIVGTANRSPLATFETNVRGTWTLLEACRLHGAERVLVAASDKAYGASTTLPYREDHPLQARFPYDVSKAATDLIARSYWHTYALPVAVTRFANLYGGGDLN--------RSRLVPEAIGAALAGRAP-------VIRSDGTPERDFLYVEDAVAAYLLLADALDADARGEAFNAGGGRPYAVGDVVARICAITDVEPDVRGAGTPAGEIDRQYVDPSKLRELTGWAPAVSLDEGLERTVAWYRAHPAALA---- 124021831 ----RVLVTGGAGFIGGALIKRLLKESNMIINLDKFSYASDHTSIYHTLDQVDLSDPLSTQAAVCEADPDLVFHLAAESHVDRSINNPRIFFESNVEGTFNLLESLRSHYAFRLLHISTDEVFGLGDQGFFCETSPYQPRSPYSATKAASDHLVQAWIHTYGLPAIITNCTNNYGP--------YQFPEKLIPLVILKMINNEDIP--------IYGNGKNVRDWLFVEDHIDAL---LLVASNGLIGNRYCIGGTSERTNKQVVESISIIMDKLHPIKYVDDRPGHDLRYAMDINHIKTQLGWKPTFLFNVGLEITVKWYLDH--------- 282895530 ----KAIVTGGAGFIGSHLVVRLIDDGWDVIVVDNLSSGHERNIPGGHFIWMDLT-TEDSFSLLPDNGVDAIFHLASHVGQELSFENPTYDLKANALSTIFLLKWALAKRVPRFIFASTMNIYGDPLNLPVSEDSEIKPPSPYSVGKVASEYLCKIY-QGFGIHTTCLRLFNVYGPLQD------MKNMKQGMVSIFMSYVAKNVPIHVKGSKDR------FRDFIYVDDVVDAFVKSLD---NRASGKIYNVSTGTKTYVWELIDYILDAFGKKYPITFGDGTPKDQFGIYGDNSSLVGDLDWVPRTDLKSGLKVMADWVRTLP-------- 229819694 ----RVVVVGGAGYIGAHVTRLLLDRGDDVVVVDNLSTGDAARVEGAELHLLTVTDDAALAEVLGGS--DAVVHFAARKSVEESVHDPVAYYRDNVVGVTTVLAAMRDAGVGGLVFSSSAAVYGEA-SGVVSESADLRPINPYGRSKVVGEWAVSDAAAAYRIRALSLRYFNVAGAADPSL----RDHGARNLVPIAVEHARQGRPVSVFGDGYDTPDGSCVRDYVHVSDVADAHLAALDHVVAAGYDDVLNVGTGVGSSVLEVLTTLGEVSGQAVDYEIGPPRDGDPAAVIGAVGKIEAVLGWRASRDLRAIVGSAW--------------- 303229612 LHNKVILITGAAGFVGANLVMSLFNENSLIIGVDSVNDYYDVSLKEWIFKKGNIADKEFLQSIFDGYKPDVVVNLAAQAGVRYSITNPDAYIESNIIGFYNILECCRHSYVEHLVYASSSSVYGSNKKIPYSTDDQDNPVSLYAATKKSNELLAYSYAKLYNIPCTGLRFFTVYGP----------AGRPDMAYFGFTNILRKGEVIKIFN------YGNCKRDFTYIDDIVEGVYKVMQVAPERPPYAIYNIGNNSPENLLDFVTILGEELNMERHKELVPMQPGDVPITYADTSALETDFGFKPSTTLREGLRRFAIWYKDFY-------- 299141426 ---KTYLVTGAAGFIGANYIKYLLHRKYRVIILDALTYAGNLGTIKDDFVKGDIRDRKLVDRLFAENDIDYLVNFAAESHVDRSIEDPQLFLSVNILGTQNLLDAARRAWVKRYHQVSTDEVYGLGAEGYFTEKTPLCPHSPYSASKTSADMFVMAYHDTYHMPTTITRCSNNYGP--------YHFPEKLIPLIINNILEGKKLP--------VYGEGLNVRDWLYVED----HCKAIDLVVREGEGEVYNVGGHNEMTNIDIIKTIHDMMEADKSITHVTDRLGHDKRYAIDPTKIKEELGWYPETKFADGIVKTIKWNLDNQQWIEE--- 136500632 ---KKIIITGGYGFIGSNLVNYFLKKNFFVINIDKLSYSSNKYNLKYVFIKSDIGNKKIIIKTLKKYNPSIIFNLAAETHVDRSIDGPEAFIKNNIQSTFNLLESIRFHNKNKLIHISTDEVYGDNNKLRADEKFQYKPSSPYAASKASSDHLVKAYVRTYNFPAIISNCSNNYGPN--------QNPEKLIPKIIFNILNNLAIP--------VYGKGNNSREWLHVED----HCRALEILSSKGIGENYNIGSGNNLSNLNLIKIIIKLMKKKYKLNFVKDRPGHDLRYALNSKKIRTKLNWKPKINLIKGISETIDWYMQNQNYFKN--- 135075374 LDGRRALVTGGAGFVGSHLCERLLEDGYEVLCIDNYYSANIAHLVDHPRFEVIRHDVT----FPLYVEVDEIYHLACPASPIHYQRDPVQTTKTAVHGSINMLGLAKRTGA-KILLTSTSEVYGDPLEHPQNEDYWIGPRACYDEGKRAAETLFFDYHRQHELKIKVVRLFNTYGPRM--------HPNDGRVVSNFIVSALHGRPLTVYGS------GEQTRSFCYIDDLVEGLVRMMQ--SDNSVTGPINLGNPGEFTIADLAHLVLEQTQSNSQLQHLPLPPDDPVRRQPDITRAKQMLDWVPTVSLEDGLEPTIHYFK----------- 282864495 -------VTGAEGFIGSHLVETLVAAGHRVRAYGWLETLSEEVLAEVEIVLGDVRDPGSVRGF--AEGADCVYHLAALIAIPYSYRAPHSYVDTNVTGTLNVLEAVRALGTPRLVHTSTSETYGTAQTVPITEDHPIHTQSPYAASKAGADRLADSYHASFSTPVVTLRPFNTFGPRQS----------MRAVIPTVIGQLAAGERTITLGDLRPT------RDFTYVRDTARAFLAVGTADAERVVGRTFNAGTGGEISVGDLVVLIGKVTGTEVDVREDAERASEVMRLVADATRLRETTGWAPAHDLEDGLARTAAFFQD---------- 218202412 -----VLVTGAAGFVGTHCSLALRARGDGVLGLDNFNAYYDPELKRARQRDADINDALLLEKLFDLVPFTHVLHLAAQAGVRYAMEAPQTYVASNVAGLVTVLEAKHADPQPAIVWASSSSVYGLNTDAPFSEEHRTDPASLYAATKKAGEAIAHTYNHIYGLSITGLRFFTVYGP----------WGRPDMAYFFFAKSIVSGEPITLFRAADG---ADARRDFTYIDDVVKGCLGALDKKSGPAPLRVYNLGNTSPVPVTRMVAILEKLLGKKANKRIVAMPNGDVPFTHANVTHAAHDFGYRPTTSLDAGLRHFVDWFADYYK------- 134946302 MDNKTALVTGAAGFIGYHISQRLLDEGWRVIGLDCMSDYYDVSLKERRHEKVETPNI--LMNLFEDERPDIIIHLAAQAGVRYSIENPRAYLESNINGTFELLEAARAFPPEHMLLASTSSAYGANEEMPYRETVKADHMSFYAATKKSTENMAHSYSHLFDLPITMFRFFTVYGP----------LGRPDMALFKFTKAIINGDPIDVYNYGNMSRDFTYIDDLVHAVQLLAHQYKFNDSKSDVAPFRVVNIGNSQPCQLSDLINAIEKSIDMVAVRNLTPMKAGDVPKTWADTTLLEALTGYKPRTHLSLGVHNFVKWYRNYY-------- 136330156 ----NILLTGGAGYIGSHISELLQKKSNRVFILDNLSTGHKILIKKSNFFKGDINNRKLINKIINKYNIETIIHLAATLNVSEAEKNKKKYYINNIKGTKNLILSCKNTKVKNFIFSSSCSIYGNVKGS-VDEKKKLNPRGYYAYTKLKGEELIKKYSKKLKYNFGIFRYFNVAGASPSGKIGEIETSHGHLIKNIAIQSIRNKPKINIYGNNYPTKDGTCIRDYIHVSDLAEIHIKGLNYLIKNKKSFILNCGYGRGYSVLQIVNVLKKI-NQKLKVNFVQRRPGDIAQIYSNT-------------------------------------- 144153469 MSIMRVLVTGTAGFIGFHLAKLLVEEGVVVHGYDGMTDYYDVALKQRRHAEGMLEDQALFDSVADTFQPDVIIHLAAQAGVRYSLENPRAYLDANVMGTFNVMEAARRLRVKHLLMASSSSVYGANTAMPFSETQKADTLSIYGATKKANESMAHAFAHIHDLPTTMFRFFTVYGP----------WGRPDLAFYKFVDAILDDRPIDIYNHGDMYRDFTYVDDLVEPQRPVDGIVPEGDSLSPVAPYRVVNIGNSNKVKLLDFVDAIEDCLGKKAKRNYMEMQSGDVPATWANAELLQRLTGYKPQTP------------------------ 170290459 ----KLLITGGAGFMGSNLVRFIAETDFEIMVYDKLSYGRIENIKRIRFVRGDICDEEMLTSVIEEFRPDAIVNLAAETHVDRSINEPSPFIQTNIFGVYTILEVMRRRDIPLLLHLSTDEVYGDLSELGIEESSNLNPSSPYSGSKAAGDLLIKAYSRTYGLKYKIARPCNNYGP----------YQHPEKLIPRTIIRLLQGKPATIYGD------GSQERDWIHALDNARALLTILTEGLS---NEIYNICRHNYASVRTIVELITKIMGKDPRIIYVKGRPGEDLRYAMKCDKLLN-LGWRPIYDLETGLRDTLEWYLNNEWWWK---- 143214226 MKTK-IFITGSSGFIGFHVARRYLEKGFKVHGYDSMNNYYDINLKKSRFTKGNLENQKILNSCINRFKPSIIIHLAAQAGVRYSIKNPRVYLNSNVIGTFNIIEAANRLKIRHLILGSSSSVYGANKKFPFQEIDKTESISFYAATKKSTESLAHSYSSLWKLPITVLRFFTVYGP--------WGRPDMAYFKFTKKILKGQK--------IDIYNKGKMYRDYTYIDDIVDGIFFKDDSLSNVAPFRILNIGNTKKILLSDFINTLEKTIGKKAFKRFLPMQKGDVYSTLSDSKLLKRITGYNPKTKYKDGIKKFLDWYLDFYN------- 134879297 -----ILVTGGAGFLGSHLCERLLASGRDVLCVDNFSKGNIAHLISNPYFDIKRHDYVEVEKIYNLACPASPIHYQ---------NDAVQTTKTIVHGAINMLGLAKRLNAKILQ-ASTSEVYGDPKVHPQTEDYWVNPISCYDEGKRCAETLFFDYRRQYNLKIKVARIFNTYGPRM--------HPDDGRVVSNFIVQALRNEDITVYGDGQQT------RSFCYVDDLIDVLVLIMNSSID--FTGPVNIGNPGEFTMLELADLVLKLTGSKSKLVFKPLPSDDPKSRQPDISVAKTKFGWKPKICLEDGLKETIDYFKR---------- 254487592 ---KRTLVTGGSGFLGSYLCESLLADGHEVLCADNFQTGSRQNIQHLQRHDVTIPLYVEVDEIWNLACPASPVHYQ---------NDPVRTVKTNVMGAINMLGLARRNKAKIFQ-SSTSEVYGDPFVHPQPESYWIGPRACYDEGKRCAETLFFDYQRQYQIDIRVARIFNTYGPRMS--------QDDGRVVSNFIVQALKGEQITLFGDGLQT------RSFCYVDDLIAGFRALMDAPDD--VPMPVNLGNPGEFTIRELAELVIDLTGAQSSMVFRPLPQDDPTQRKPDISRAKDHLNWEPKIALREGLQATIAYF------------ 32265598 ---KNLLITGGAGFIGSNFILYFLHKQYRLINVDSLTYAGAENTPNYEFRQGDICDKDFIKELFAHYDIEGVIHFAAESHVDNSIKNPSAFVETNVNGTFNLLHNAYLNWHCIFHHISTDEVFGLGESGYFSESTPYAPNSPYSASKASSDMLVRSYHHTYGLKTFITNCSNNYGP---------KQHDEKLIPTIIRNALAG-------SEIPIYGDGQNIRDWLFVQDHCKAIDKVFHSSYF---GQSFNIGGENEQSNIELAQIICEMLDKKCQITFVQDRAGHDRRYAIDSSKMTRLFGFKPS-DFYTNLSQTIDFYI----------- 145334845 --NMRILISGGAGFIGSHLVDKLMEEKNEVVVADNYFTGSKENLKWIGHPRFELIRHDVTEPLL--IEVDRIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGA-RILLTSTSEVYGDPLIHPQPESYWIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRM--NIDDGRVVSNFIAQALRGEALTVQKP------------GTQTRSFCYVSDMVDGLIRLME----GNDTGPINIGNPGEFTMVELAETVKELINPSIEIKMVENTPDDPRQRKPDISKAKEVLGWEPKVKLREGL------------------- 137416631 ----TILVTGGAGFIGGNFVRHLQETEEHVVVIDKLGYAYIPDTPQFHFENCDLFNEDQVRYIFTRFKPRAVFHFAAESHVDNSIRDCKPFVESNIIGTINLLNASRLIDIEKFHHISTDEVFGSISEGSFTEESPYDPKNPYSASKAASDHFVSAFHNTYGLPTIITNCSNNYGPRQY---------KEKLIPQTILNLLNNKK-------VPVYGDGLQIRDWLYVQDHCEAL---IEVWRHGNIGEKYNIGGECEIKNIDLVKQIVNLLDKDEMIEYVKDRPGHDRRYSTDISKI----------------------------------- 135975864 ---KNVIVTGGLGFIGSNLIDFLLKKKYYVLNIDKVTYSSNFYNKNYKFIRCDIGDI-KMKNILFRFKPICIFNLAAETHVDRSIDNPKSFINSNIVSVYNLLEYFKSFSKKYLIHISTDEVFGDILKGRASEKNPYRPSSPYAASKAASDHLISSYIRTYKIPAIITNCSNNYGP--------KQHPEKLIPKLIYNILNNKNLP--------IYGKGINSREWIYVED----HCEALYKIFKKGRGEFYNIGSNKNLSNLEVCENLLNVVGKNVKIFFVKDRPGHDMRYALNSKKIRSKLKFRPKVNFKEGIRNTFEWYKNN--------- 125381143 -----ILVTGGAGFIGSNFLLFFFEKNAKIINLDFLTYASNKNNPNYVFIQGDISDVFLVNEIFSKYKINAVINFAAESHVDNSIKNPDIFIKTNIYGTWNLLNSAYKTWFSFFYQISTDEVYGLGENGKFTEDNTYAPNSPYSASKASADMLVRSYHHTYGLNAVISNCSNNYGP---------FQHDEKLIPTIIRNALNNT-------QIPIYGDGKNIRDWLYVKDHCVAIESIYKYKENNNFFDVFNIGTNEEWQNIDIANKICSYLDYKEQITFVKDRAGHDRRYAIDSTKLQKTIGWKAQENFNSGLEKTIKWYIEKYKG------ 256673546 MSDKKVFFTGGGGFIASHVIPILLEKDYSVRIFDNMTRGDRDRVAEFVAVEKDVRYGVGVREAMRGCTHA--IHFATVS-INKSIADPGESIDINMVGNNNVFAAAADEGVERLVFASSASVYGEPEKLPMHEDDKLNPLTPYCISKRAGEDLLGFYERTKGLSWNALRFFNVYGPGQ------KIEAYYTSVINHFIQRLRAGQPPIIDGAGD------QSMDFVHVTDLAKGVVAALE---SEQSNLPINIGTGIDTSIATLAKILIEAVGVDVEPVFNKR---DVLRRAADITRAREVLGWEPSITVEEGMRALVR-------------- 159900414 FRGKRCLITGGLGFIGSNLAFRLVELGAEVVIVDSLEYGGNMANVHGLAEQVDVRDQHSLQYLVQGQ--DYLFNLAGQVSHIDSMENPFNDLDINCRAQLSILEACRHNNPIKIVFASTRQIYGRPDYVPVDEQHLLHPTDVNGINKMAGEWYHILYNNVYGIRACALRMTNTYGPRM-------------LVRHARQTALGWFVRQALDNEVIIYGDGEQQRDYTYVDDAVE--AFLLAAMNPAADGQVFNLGGPEPISHLQLISTLTEVAGTGS-YRLVPFPPEDIGSVYSDYSRIQAVLGWQPTTSLRQGLEYTVNFYREFRESY----- 136547227 ----RFFVTGGAGFIGSNYVEHLFRNVEEVTIYDKFTYAANPKNFQEFIDRGDICDSKLLEKSMSGHDF--VVHFAAESHVDRSIADASEFVHTNVLGTFNVLEACRSIGIKTLIHVSTDEVYGSLLEGSADETFPLQPNSPYASSKAASDLLARSYFVTHGLDVRITRCCNNYG--------KYQYPEKVIPVFINKLKSGEKVP--------IYGNGKNVREWIHVSDHVNGIQTVLEKGI---PGQIYNIGTGSHLSNNDLATTIINLMGTEDMVSFVRDRKGHDFRYSVNSTKVRS-LGFQNKIELKVGLEETIKWYLDN--------- 143498232 ----KIIITGSSGFIGFHLSRKLLENGSKVHGIDSMNSYYDVNLKKARFTKTKIENQKKLNKAFSKFKPTVVVHLAAQAGVRYSIKKPRVYLDSNITGSYNIIEISKKTKVKHLVMASSSSVYGANKKIPFKEIDKTETLSIYAATKKSNESMAHSYSNIWKIPITMLRFFTVYGP--------WGRPDMALFKFTEGILKNKK--------IDIYNNGKMYRDFTFIDDIINGIEATIKKIPNTNQLGKYNIGNTKKIYLMDFIKQIEKELGKKARKNYMPLQRGDVKQTLSNTNLLRQITGYNPRTNYKEGIKKFIYWYLNNYK------- 307153081 ------IVTGVAGFVGSHLAEALLTQGEKVVGVDQVNDYYDPQLKRKNDFEFIEADIQALDWQKLLVDVEVIYHQAAQAGVRASWGEFRLYTERNINATQIILEAAKEKSLKRLVFASTSSVYGNAETLPTPETICPQPVSPYGITKLAAERLCWLYHQNFGVPVTALRYFTVYGPR--------QRPDMAFHKFFKAAMFDQAIP--------IYGDGEQTRDFTFVSDVIAANL--AAGTIPEAVGEVFNIGGGSRVVLTDVLAKIDEITGRPIRREYIEKAMGDARHTGADTTKAQKLLGYHPQVALTEGLTQEWEW------------- 143493869 ---KNILITGGAGFIGSHLVKHFVKKNYNIINLDALTYASDISRLDDKFIEGDINNIDLIKTVFKNFQIDAVINLAAESHVDNSIEDPNKFAVVNILGTLCLLNVCNLMWGHLFYHISTDEVYGLGLDGKFTETSSYNPKSPYSASKASSDHLVRSFYHTYQLPCIISNCSNNYGP------------DQHVEKLIPLTISRIKENKKI----PIYGDGKNIRDWIFVEDHVEAIDKIFHSRLI---GETFNIGGDNEINNLDLVRLIIKISDSKNLISFVKDRKGHDFRYAIDNSKIYDTLGWSPKTEFIDGLTRTV--------------- 256755867 ---KTYLITGGAGFIGSNYIRYMLKKDIFIINVDKLTYAGNTDNLTGALINCDICDKDKIEEIFRTHKIDYVVNFAAESHVDRSMTNTKEFIETNITGTVNLMNVARKAWGVRFLHISTDEVYGSCTE-CCTEESPLNPHNPYSCSKAAAEFYVKCYWDAYRFPVNITRSSNNYGPN--------QYPEKLIPLMIHNTMENLKLP--------VYGDGMQMRDWIYVEDNCSAIDLVLHEGQPGEVYNIATEKKYHNRFVVDKILTYIKGEVREDMIRHVQDRKASDLCYSINTRKIREKLGWSPSVDFDKGLDKTIEWYLDNRNWI----- 255320650 ---KTWLITGVAGFIGSNLLETLLKLNQNVVGLDNFATGHPEQWANFKFYEGDIRSFADCQT--ACAGVDYVLHQAALGSVPRSIADPITTNAANITGFLNMLTAARDAEVKSFTYAASSSTYGDHPALPKVEENIGKPLSPYAVTKYVNELYAEVFARTYGFKAIGLRYFNVFGKRQDPN-----GAYAAVIPKWTAAMIAGDD-------VFINGDGETSRDFCFIENTVQANILAATTQNDEAKNQVYNVAVGDRTTLNGLFNAIKAALNYTKEPIYRDFRAGDVRHSQASVAKIENNLGYKAEYKISKSIAFAMNWYVEN--------- 142835599 ----RILVTGGCGFIGSNFIKHMLNEDYKIYNVDKLTYAGSEDNLGYYFHQADINEYATLHYMVGQNKIDTIVNFAAESHVDRSIENSDEFIQSNINGTHTLLKLFHEFPIKRYVQISTDEVYGEENDSPFTEDSPLKPNSPYAASKTSADLLCRSFYETYDYPIVITRCSNNYGPN--------QYPEKLIPLMIQKAKNGENLP--------VYGNGLNIRDWINVQDHCEAIDVVLHRGEN---GEVYNIGGLDERRNIDIVKTILKLNKGYQHIEYVQDRLGHDFRYGMDISKIRDELGWSPRINFEEGIGELVK-------------- 260584908 MKYQNIIVTGGAGFIGSNFVLYIKRQNVKITILDKLTYASSFSTISDRFYQVDIADSEQLEKVITKEH-DLIVHFAAESFNDRSLTDTASFVQTNVLGTHHLLELARKYQ-LRFHQISTDEVYGDESKEKFTEETQYQPSSPYSATKASADLLVKAWVRSFGVQATISNCSNNYGP---------YQNPEKFIPRQIINILKQEKP-------VLYGNGLNVRDWIHVEDHCRAIDLIIDK---GKIGETYLVGIDNERNNLEVLQMILVLLGKKTDFEFIEDRPGHDRRYGINAHKLYEELGYQPIHTFEKELKQVIDWYQKNTAWWSE--- 103487038 ------LITGAAGFIGMQVAAALLRRGEAVVGIDNFTPYYSVELKRARFIDGDFGDAAALAGALAGQRIDRIVHLGAQPGVRYSLENPAAYVHSNIAGHVNILELARHRAVSHLVYASSSSVYGMRADTPFRVADRADPISLYAATKRADELLSETYAHLFRIPLTGLRFFTVYGP--------WGRPDMAVWKFTEAVLQGR--PIDVYNHGDMRRDFTFVDDIVNGVVLALDHPPADDHREKPGGHRLYNIGNNRSERLTDMIAIIEQACGRKAELNLLPMQAGDVYQTAADIDDIQRDLGFAPTTPISVGIPAFVDWYR----------- 301067028 ----KLMITGGAGFIGSNFVHFVYRHHPEVIVLDKLTYAGNKANLLGDRVQGDIADKEVVDKWMA--KVDTVVNFAAESHNDNSLINPDPFLHSNVIGTYTLLEAARKYDV-RFHHISTDEVYGDGPGEKFTVNSRYNPSSPYSSTKAASDMLVHAWARSFGVRATISNCSNNYGP----------YQHIEKFIPRQITNILSGIKPKLYGTG------KNVRDWIHTNDHSSAIWDIL---TKGKIGETYLIGANGEKDNKTVLELILKLMDKPDYYDQVKDRPGHDMRYAIDASKTREELGWKPQYDFEEGLANTIKWYTEHRDWWQAEK- 137241684 -DKQVVLVTGGVGYIGSHTVLELLQAGYEVVIADNLCNSNIECLKRATFHKVDIRDKAALSDLFSKYNVGSVIHFAGLKAVGESVAKPLLYYQVNIEGTLNLVQCMDDAGCRNIVFSSSATVYGDPASVPVTESFPTGATNPYGSSKLFNEQILTDVAKAPGWNVCLLRYFNPVGAHESGQIGEDPKGPNNLMPFIQQVAVGKRPHLSVFGSDYPTADGTGVRDYIHVVDLAKGH-------------------------------------------------------------------------------------------------- 143537256 ----KIIVTGGCGFIGGTLIRKLLKKDISIYNIDKFGYASDHENKNYKFIKLDLKNKKQLTEIISSIKPDLIMHLAAESHVDRSINFPSIFIESNIVGTFNILEASREYLKESFHHISTDEVFGLDKNGRFHEGSPYDPRSPYSASKASSDHIVRSFNHTYDLPVIITNCSNNFGP--------WQFPEKLIPLVINKALDQKEIPL--------YGDGSNIRDWLYVEDHVDALILCA---LKGKFGETYCIGGYGEKSNKCVVESICDILDKEIPITYVKDRPGHDQRYAINPKKIISELGWKPKYGFEKGLEKTTKWYLENLEW------ 268680404 --------TGTAGFIGSNFVPYFLEKEYNLVNLDLLTYAECEQNPRYKFIKGDICNRELVEFIFNEYDIRGVIHFAAESHVDNSIKNPGVFVQTNVNGTYTLVDVAKNYWMCRFHHISTDEVYGTDPNDLFTETTPYMPNSPYSASKASSDMIIRAYYETYGLNTVITNCSNNYGP----------KQHDEKLIPTIIRNALKGNPIPIYGDGKNIRDWLYVLDHCKGIDIVYHTGKTGDTYNIGGRNERTNLQIVDRICTILDQQVPKSNFSYKSLITFVEDRAGHDRRYAIDATKLKNELEWKANETFDTGIVKTIEWYLN---------- 111657034 --TKTILVTGGTSYIGSHTVKALLNAGYQVHVLDNLSTGNRAAVSRASFKELDVYDASALKAYLEENQIDAVLHCAGEIVVSESIENPSKYFTANVAGMNQVLKVLSEVGIQKIMFSSTASLYGNNCDKPVTEDTLLDPVNPYAETKLMGERMIYWMANRYDWKYVIFRYFNVAGAEMDASNGLRVKNPTHIIPNINKTALGQNDSLKIFGDDYDTRDGSCIRDYIYVLDLA----------------------------------------------------------------------------------------------------- 70732834 -----VLITGGAGFIGSHLADALLAKGYAVRVLDDLSTGKPSNLPLADPREGNVADASLVSQAMQGCC--AVAHLAAVASVQASVDDPVQTHQSNFIGTLNVCEAMREHGVKRVLFASSAAVYGNNEGQSIDEDTAKAPLTPYASDKLASEHYLDFYRRQHGLEPAIFRFFNIFGPRQD------PSSPYSGVISIFSERAQQGLPITVFGD------GEQTRDFVYVGDLVQVLVQAIEAP--QLEVGAVNVGLNQATTLKQMLAALAEVVGALPPISYGPARAGDIRHSRAGNQRLLQRFKFEP-TPMSVGLAR----------------- 149174385 LKDQQVLVTGADGFIGSHLVEQLVASGARVRALVWLSDLSNEVLQSIEIIQGDIRDTERVKGAVTGCG--YVFHLSSLIAIPYSYVAARSYVDTNITGALNVLQACRSSDSTRLVHVSTSEVYGSAQRVPIDEDHPLVGQSPYSASKIGADKMAESYYLSFELPVVTARPFNTYGPRQT---------ARAVIPTIASQLLSGCSELKL-------GALTPTRDFNFATDTAA--GMIALALCKQAEGEVVNIGSGREWSIEETAKILMEVTGCEVPILCDEDRISEVNRLLADNTKIQKLTDWKSQVSFQAGLGATADW------------- 15217121 --------------------------------------------------------------------------------------------------------------VKRIVFSSTAATYGEPKAIPIKESDPQVPTNPYGESKLMMETMMKWADKAYGIKFVALRYFNVVGAKPDGSIGEDHHPETHLLPIVLQVAAGKRDQLSIFGDDYDTPDGTNVRDYVHVLDLADAHILAFEYLKDGHDSNAFNLGSSTGFSNMEIVEAARKVTGKAIPVTMAPRRAGDPSTLIAASDKARETLGWAPKYDMEAIIETAWNWHLNHPNGYADR-- 143120165 -SRRRILVTGGAGFIGGAVVRRLLRESDAVVNLDKMGYASDDHSERHRLLKVDLADAEAVRVAVQTADPDLVMHLAAESHVDRSIAGPGVFIESNVTGTYNLLQAVREHHQFRLHHISTDEVFGLGREGRFCETTPYDPRSPYSSSKAASDHLVSAWHHTYGLPVVLTNCSNNYGP--------WQFPEKLIPVVTLKAAQGEAIPL--------YGDGLNVRDWLFVEDHVDALML---AACNGTSGRSYCVGGYGERTNREVVECICLHLDHLIPIQMVTDRPGHDRRYAIDPSRIENELGWSPRHDF----------------------- 304390047 ----HVLVTGGAGFIGANFVHTTVEDDATVTVLDKLNPASIEGLDRVNLVVGDLADRDLVDSLVK--DADLVVHFAAESHNDNSLVDPSPFIYSNLVGTFHILEACRRHHV-RLHHISTDEVYGDDDPHKFTPETPYLPSSPYSASKAGSDMLVRAWVRSFGVEATISNCSNNYGP---------YQHVEKFIPRMITNRIDGVRPRL-------YGDGLNVRDWIHVLD----HNTAVWAIINQGRGETYLIGANGEKNNLEVVQALNRMMGPEDDFDHVKDRPGHDRRYAIDNTKLCEETGWTPRTSFDEGLRDTIEWYTTHEDW------ 300122243 ---KNILITGGAGFIASHVVTLLVNKQYKIVNLDCLDYCEVENKPNYKFVEGNIMSSCFVRYVLKSEEIDTVIHFAAQSHVDNSYGNSLTFTYNNVVGTHVMLEACRLHGIKRFIHVSTDEVYGEQQDGQVTETALLNPTNPYAATKAAAEFIVKGYQHSYKMPIIITRSNNVYGPHQYP----------EKVIPKFINLVKRGRPMTIHGKGNNLRTFLYVRDIAKAFDLILHKGRTDELYNIAGRSEVTVHEVARVIWRLMGME-----GDVEEHIQYVKDREFNDYRYAIDGRKLEK-LGWKAETDFEDGMKET---------------- 84488888 -EGKTILVTGGAGCVGSNLTKKLAQNPKKIIILDNLSSAYTWNIPKDDFIEGDICDDQVLKWVFKQ-KPDFVFHLAAHFANQNSVDKPELDLKVNGLGILKVLEYAQLTGVERFVYSSSCGVYGLDSKMPFEEHDISISLTPYQVTKLLGELYTNYFHNLYDLPIANARFFNVFGPGEVPGKYRNVIPNFMYWAMNKQA-------------LPITGNGSETRDWTYVDDIIQGLTRLGVVDKAIGES--INLGSGKDHRVIDMANKVNELCDNEAGIEYKERRDWDAKKLLSSIDKAKKILKYNPVHTFEEGLENTHEWFVNNWDNIQKSAE 302866601 ----RVVVTGGAGFIGSNLVRALSETGAEIVVVDDLSTGSVENLRGLPVEFGTVLDPALLDRAMAGA--SSVVHLGALGSVPRSIDDPLRSHHANATGTLTVLDAAHRNGVPQCVLASSSSVYGANPVLPRQEGLRPMPVSPYAVSKLATEAYGIAFANCYGMAVLPFRFFNVFGPRQAAN------HVYAAVVPRFVTAALENRPLQVHGD------GRQSRDFTYVGSVTSVIVDAVLRQVAAPD--VVNLAFGARISLLDLIAELETVLGRPLDVVHVPARPGDVRESQADHTRLRELF---PATPFAEGLRATVEWFR----------- 190894627 -KGKTALVAGGAGFVGSHLCDALLGRGDTVICVDSYITGSRDNVRPLMNHPGFRLIEEDICAVLKIDEPDQIYNLACAASPPQYQADPVHTMMTCVAGTGNLLALAEQHGAS-FLQASTSEVYGDPAEHPQREDSCTGPRACYDEGKRAAEALCFDMLRVRRVDARVARIFNTYGPRMQANDGR--------IVSNLIAQALSGKPLTIYGS------GTQTRSFCYVSDLVGGLIALMNVRPNPG--MPVNLGNPGEFTINELAEMVRSMMAVRTVLAYKALPKDDPQRRRPDISRAMELLDWQPTVPLAEGLRYTIDWFAAN--------- 229582798 ----KIMVLGGAGFIGSAFVRELNSRKIKPLVFDLLTYAGRLENLSGDFVKGDIRD-SKLHDVIASFRPEIIVNFAAETHVDRSIYKPQDFVTTNILGTVNILEALRLYN-FKYVHVSTDEVYG---EECADENSPLNPSSPYSASKASADLLVKSYVRTYNVEAIIVRPSNNYGPR--------QFPEKFIPKTIIRTLLGLHVP--------IYGDGKQERDWIYVEDTAKIIADLLSKAEWKGN--VYNLPGGQRVTNIEIIKLLEEIMNRKIEVRFVSDRPGHDKRYCMINTKLR----YT-STTLADGLRKVYEWYVNNRWWWE---- 142847661 ----KILITGGAGYIGSHVSHLLVDRGYDVTIIDSLLTGNKKLIKKAKFINSDISNVKKINKILQKYKFDLVIHFAGLIRVDESVKFPKKYIYNNYEKTKIFLNICLKNGLKKLIFSSTAAVYGNPKKNKVSENNKLSPLNPYAKSKLMIENFIKKLSKKNDLKYVILRYFNVAGADKKMRTGLISKYSTHLIKIVSEVAVKKRKKILINGDNYKTRDGTPIRDYIHVSDLAEAHLLSLKYLLVGNKSGIFNCGYGKGYSVREIIQTANKLFN------------------------------------------------------------ 162455060 ----RILVTGGAGFVGSHLCDRLIREGHEVVALDDLSTGSRDNVAHLSHRRFRLVEHDVT--LPYEREVDRIYNLASPASPPHYQRDPVRTTLVNVLGALHALKLAEGCGARVFQ-ASTSEVYGDPEVHPQPEGNPIGIRSCYDEGKRCAESLLMDFHRR-GVEVRLARIFNTYGPRMAL--------DDGRVVSNFIVQALRGEDLTVYGD------GSQTRSFCYVEDLIEGIVRLMEHPAETGP---VNLGNPEEFTVLELAEEVLHLTGSRGRVVFRPLPEDDPRQRQPVIDRARRVLGFEPKVPLRTGLRRTIE-------------- 213406712 -SNKFILITGGAGYIGTHTVVELVQRGYKVVIVDNLSNSSYDAVAHIPFFKIDLRDKTGLNKVFESFPIESVIHFAALKAVGESTQKPLDYYDNNINGTTTLLEVMSAHNVKTIVYSSSATVYGDVTRIPIPEECPRDPTNPYGKTKYTIENVIEDHVSDNSWRGAILRYFNPIGAHPSGLLGEDPLGPNNLLPYLAQVAVGRRDKLSVFGNDYDSRDGTPIRDYIHVVDLAQGHVAALEYEQGQGLYRVWNLGTGKGSTVFEIYAAFSAAVGRRLPYNIV---------------------------------------------------- 142650739 ---QKILVTGVAGFLGSHLSEKLISLGHKVVGIDNMLGGYEDNIPKNIFHKIDCCNFERVKSIMK--DVDVVYHCAATAHEGLSVFSPYEITKNNYLASVSIFSAAVNEKVKRIIFCSSMARYGD-QVTPFTESMKPKPVDPYAISKVAAEEVLKNLCELNGIEWVIAIPHNIIGPRQ------KYDDPFRNVVSIMINRMLQGKAPIIYGDGQQTRC------FSYIDDCLSCLIPMLDQKNLNKE--IINIGPDEEFVTINKISEICSVTGINLKPIYKKDRPQEVKHATCSADKARELLNYKTKVNLRDGIQKTFEY------------- 142233047 ----NILVTGGAGFIGTNLVEKFIDKQHNVIVIDNLLTGSKKNLEHFFNENFSFIEFDVQNHIEVAEDLDYVLHLASPASPKAYAQHPINTLKAGSIGTINTLGLAKAKNA-KYLLTSTSEIYGDPLVSPQPESYWGNTRSMYDEAKRFAEAAVSSYNRIYDLDTRILRLFNTYGP--------------KMKINDGRVVTNFIHQAITGNDITIYGQGNQTRSFCYIDDTVSGILKAME----SDNSEIFNIGNPNEITILQLAKKIIELTNSKSEIKFVKLPEDDPMQRKPDISKANNKLNWFPEVSLEDGLKKTIEW------------- 188586200 ----KYLVTGAAGFIGSHLCKELVTRGNKVWGLDNLSQGKIERLQHPDFQFIDISDDEVLEELIN--KVDIIYHMAAVVGVKRYVEKPERVIDVNVRFTSRLFELAYQLD-KKVIFASTSEVYGKNNSIPFSEDDNYGPSTTDRWSKSAAEHLCLGYVKK-GLKAVIIRYFNVYGPYADT-------SAYGGVVTRFVNQLLTNKPMTVHNDGSQTRCFTYIDDIIKGTIEAG--------SRPEAEGKVFNLGHHRETSILELAETILKVSGINGDIVFQPYKEFDITRRVPDLSEARKILDYDPEITLEDGLKKTLNWYKD---------- 219685186 ----KIFLTGIAGFIGFHVAKKLVEEGHEVLGIDILNDYYSEKYNNLSFAYLDILNKDKLLKLFKEYKFTHVCHLAAQAGIRDSLENPDSYVSINIVGFFNVLDVCRTYNIKHFVYASTSSVYGINENIPSSEDSITHPLNLYAASKKSNEMIAHAYSASFNIPTTGLRFFTVYGT----------YGRPDMALYLFSDGIKNGEPINIFNNGNMARDFTYVSDIANGVYKVLKNPAKSDCNFDVKNPRIYNIGTGHATKLLDFIRELEANFDKKALKNYMPMQKADVVESCCDIVKLKNDVGYEAKISIKEGIKEFSQWYK----------- 135536339 --------------------------GYRVHGIDNFSNSTDKNIRNFTFSQIDLADISELREIFDKFIPTVVIHFAGLKAVGESEEKPDLYWRNNVDSTNNI--LQCLNSKISLIFSSSATVYGDNPQQPLTEKSPISPSSVYGKTKVASENLIKELSLSKGIKSICLRYFNPIGSHKDHLIVEDYTNPNNLMPRLIQTVKNNTNSINIFGKDYSTKDGTGERDYIHIQDLVDGHMQAMNKIRDLENNNFYNLGTGNSVSVLELIDTFNSVNNLAVKKNFSARRRGDVEVCFADPAKACKDLNWKARLTLEEMCRDSWA-------------- 144204264 ------LVTGGAGFIGSHLVDLLINKNFEVRVIDNLSGGRIENLNRHKHKKMDINKISLNEKIFKNVK--YVFHLAGKGDIVPSIENPLSYMETNVMGTTRVLENCKNKKISKFIYAASSSCYGIAK-TPTKENHKISTKYPYALSKYLGELTCLHWAKVYSLPIISIRIFNAYGLRVKT---------TGVYGAALGVFLKQKIKNKPF---TVVGNGKQKRDFLHVKDVANAFYMCA---ISKHKNKIYNLGASNPQRIIDLIKLL------KGKYKFIPKRPGEPSCTWANINKLKKDINWAPQISFKDGI------------------- 144154917 --GKRILVTGGAGFLGQAVCRVLRGRGVA-----------ESDIIVPRRRDYDLTLEAAVQRIYDDARPEVVIHLAAVGGIGANMAQPGRFFYANMAMGLHLVEHARRRGIGKFIHTGTVCAYPKHAPIPFREEDPEETNAPYGVAKKAIFVMLDGYRRQYGLKSGVVVPVNLYGPGDNFDPATSHVIPALIRKCEEARAAGAE-------QVLCWGTGSATREFLHVDDAAEGVVRAAEVME---EPIPINLGGGEEIPIRDLVRRIAMACGYGGRIEWDTSKPDGQPRRSLDISRARERLGWEPKRDFDEGLAETVAWWRRQP-------- 238926895 ----KIMVTGGAGFIGANFIYYLREHEDRIICYDALTYASAREYSQFSFVRDDIADRTAVYSFFEQEHPDVVVNFAAESHVDRSIETPEIFLQTNIIGTSILLDACRKYGIKRYHQVSTDEVYGDRPDLLFTEETPLHTSSPYSSSKASADLLVQAYARTYGVPVTISRCSNNYGA--------FQFPEKLIPLMVIRAMRGEKLP--------VYGDGKNVRDWLHVDDHCAAIDVILRC---GADGEIYNVGGHNERSNIDVVRTILASLGKEEQISYIADRKGHDRRYAIDPTKIGRELGWHPATIFDEGIQHTITWYREHESWWAD--- 144897507 -----VLVTGAAGFIGYNTSLRLLARGEQVVGVDSLNAYYDPALKAKRFVQADIADRAAMAAVAQAHDITAYINLAAQAGVRHSLTAPHDYSHSNVEGHLVMLEMARNKNCRHFVYASSSSVYGANTKLPFSVDDRVDPISLYAATKRAGELMSHSYSHLYRIPTTGLRFFTVYGP----------WGRPDMAAYLFATAILAGKPITVFNNGDM------RRDFTYIDDIVSGVVGVLDNPPADDGCRLYNIGNNNSEKLMDFIGLIEQCLGRKAEYDFRPMQPGDVKETYADISAIQKDVGFAPTTPITVGVPKFIDWFKTY--------- 24637520 ----------AAGFIGSNLVKRIYQEAATVIGIDNMNAYYDVALKEFTFVKGNIADTELITELFEKYKPSVVVNLAAQADVRYSITNPDAYVESNLVSFFNILEACRHCESEHLVYASSSSVYGSNKKVPYSTDDKDNPVSLYAATKKSNELMAHAYSKLYNIPSTGLRFFTVYGP----------AGRPDMTYFGFTNKLVKGETIKIFN------YGNCKRDFTYVDDIVESVVRVMKKALDKKNGEDYNIGNQNPENLLDFVQILSEEYDFKAHKELVPMQPGDVPVTYADTSALERDFGYKPSTSLRIGLRNFAEWYAEFYK------- 140367690 ------------------------------------------------------------------------IHFAGSSLVAESVSNPLKYYDNNVGSTLSLLNAMKCANVKNIVFSSTCATYGADTNNPIDESFPQKPINPYGASKLMIEIILNSLANTKEINHISLRYFNAAGADKNNEIGEKHNPETHLIPLAINSALGG-DKLKVFGNDFPTKDGTAIRDYIHVEDLASAHIKALEYIIDSGKSDFINLGTGEGYSVKEILTALKDL-GLNPSIIQSPRRIGDPAVLIANANKAKDILNWKPNYNLKEILASAKAWHSKN--------- 134775295 --------TGVAGFIGFHTAKKLVEMGEEVIGVDNLSEYYDVKLKLDDFIKGDISDYKFMEKIFNNHKINSIVHLAAQAGVRYSLENPFEYEKTNNLGTMNLFELAKLNDIKNVVYASSSSVYGGNTHFPFSVKDRDNPISVYAATKKYNELLAESYSKLYGINMTGLRFFTVYGP--------WGRPDMFMYKLLDAINGG--------NSVDIYNNGDHIRDFTFIDDIVSGIISS--HKNNTNGSKIYNLGNNKPVELMKVVEIIENNMNKSAKKNYLPMQKGDVYKTYADISETISELNWKPTTTIEE--------------------- 136607866 MSN-TVLVTGSAGFVGFHTCQTFLWNKWKVIGLDAITDYYDVNLKKDRHYKGFLQDQSLLEEIFLKYKPNIIIHLAAQAGVRYSIENPISYVESNLVGTFHILEMARKYKPKHLLIASTSSVYGSNKEMPLHENQKSDTMSFYAATKKSNEAMAHSYSHLYDIPITTFRFFTVYGP----------WGRPDMALFKFTSNILSGKPIDIYNNGDMVRDFTYVSDLVNAIYLLRDKKIIHDSISDVAPFRVINIGNSQPINLLDFIVELERVLEINAKKNYLGMQDGDIHKTYSEISLLENLIGSQPKTSIHQGITKFVEWYKSYY-------- 142193200 --TRSLLVTGAAGFIGANFMYHWSQRDDVLVAFDALTYAGNRANLEAHFVHGDICDPRTISQTMAEYATDTIVHFAAESHVDRSITGPDAFIQTNVVGTHNLLAAARAAWPHRFHHVSTDEVFGTPTAAPFTETLRYEPNSPYSASKAGSDHLVRAYHHTYGLEVTTSNCSNNYGP--------FHFPEKLIPLCITRLLDG--------GPLPVYGDGSNIRDWLYVGDHCQGIARVIEAGTI---GETYNIGGNNEWANLDIVKLLCSMMDQRFAIQFVEDRAGHDWRYAIDASKIERELGFAPHETFETGIGKTLDWYLDNEQW------ 268680838 --KKTFLITGGAGFIGSNFLHYIFTTHSKIIVLDLLTYGNVDNFPVRPNNNGSVTNSALVEELV--ERADIVVHFAAETHVTRSIYDNRNFFETDVLGTQTIANAVLKNKVERFIHISTSEVYGTAENCFMDEKHPLNPASPYAAAKVGADRLVYSYVNTYDIPATIIRPFNNYGPR----------QHLEKAIPRFITSLLLGEKLTVHGKGEAS------RDWIYVEDTCRGIDAILSAPIDKVKGEVFNLGTSKTISIRDVALRVAGLFGREEKIIYNEDRPGQVDCHLADISKIERMLGWTPKVTFEEGLQETIAWYKNN--------- 224437522 -----ILITGADGFIGSHLTQALYEQKIQDSVFFNAKSLYNSFNSWGWLEDIDCLDSIEVDPHFCKHGVDMVFHLAALIAIPYSYVAPDSYVDTNIKGTLNICQAALDSGVKRIIHTSTSEVYGTAQYVPIDEKHPLQPQSPYSASKIGADAIAMSFHNAFCLPLTIARPFNTYGPRQS---------ARAVIPTIITQIANGAKQIKL-------GDTTPTRDFNYVKDTCAGFIALALAENTIGEVINI--GSNAEISIHDTLELIKELMKSDVEFITEKQRISEVFRLWCDNTKIQSLTGFSPRYTLKEGLQETIEWFS-NPNNLAK--- 227820018 ---KRVLVTGGAGFLGSHLCALLLKAGHQVICADNFSTGLRRNVEPLMRYDGDIVEPLDVE-------VDEIYNLACPASPPHYQADPIQTTKTCVLGSLNMLELAARYDA-RILQASTSEIYGDPQVHPQVESYWGNPRSCYDEGKRCAESLFFDFHKTRQVEIKVVRIFNTYGPRMR--------PDDGRVVSNFIVQALKGEDITVYGDGSQTRSFCFVDDLIDGFVRLMASPASLTAPINLGNPG--------EFTIVELAEQVIELTGSRSKIVQRPLPVDDPRQRRPDISLAERELGWRPRVELTAGLMHTID-------------- 288800050 ---KTYLVTGAAGFIGANFIKYLLNKKYQVLILDDLTYAEDIDNKRCFFIKGNIVDKELVQKIFDEHDIDYIVNFAAESHVDRSIENPQLFLETNILGTQNLLDAARKNWTKRYHQVSTDEVYGLGSDGFFTEETPLCPHSPYSASKASADFIVMAYHDTYHTPCSITRCSNNYGP--------YHFPEKLIPLIIKNILEGKALP--------VYGKGENVRDWLYVED----HCKAIDLVVREGKSGSIYNVGGHNEMVKLTIQTIRTMMEEESLIKFVEDRLGHDLRYAIDPTKIKNELGWYPETMFAEGIVKTIKWNLENQAWINE--- 138670605 -------------------CKALKKAGFIPITFDNFSTGWRNAVKFGPLYQGDLLSKSDIDYVFQKYPPEAILHFAALSQVSESTQNPGLYWKNNVVGSLNLIQSAVDHGCNNFVFSSTCATYGEQDNIILDENSVQHPINAYGASKRAVENVLADYQSAYGLNYVIFRYFNVAGADPDAEVGEFHQPETHLIPLILDAIGGKRDALTIFGTDYDTPDGTCIRDYVHVCDLVDAHVLGLKWLLDGKGSRVFNLGTGDGFSVREVVDYAKYVTNRPVPVV------------------------------------------------------ 87578239 ---KTWLITGVAGFIGSNLLEKLLKLDQTVVGLDNFATGHQHNLQRFTFIEGDIRNPETCVAAVQGV--DYVLHQAALGSVPRSINDPITTNSANITGFLNMLVAAKDQQVKSFTYAASSSTYGDHPALPKVEENIGNPLSPYAVTKYVNELYANVFARTYGFKSIGLRYFNVFGKRQDPNGAYAAVIPKWTAAMIQGDVI------------YINGDGETSRDFCYIANTVQMNILAATAPEEAKD-KIYNVAVGDRTTLNDLFSAIKLALSNNTVVVYREFRTGDVRHSQADVNKAKQYLGYAPSHRILDGINEAMPWYISF--------- 307594590 --NRLVLVTGGAGFIGSHLVDRLIKDGYRVRVVDNFSTGRLENLKHLEDNRGDLKNEQDAREAVKGA--DAVFHFAANPEVRVSSISPRVHFEENVVATFNLLEAMREYKVKEMVFASSSSVYGEPEEIPVDENAPVRPVSVYGASKAACENLIHAYSKLYGIRAVILRYANIIGPRLR----------------HGVIYDLLMKLKKNLDELEVLGDGTQVRSYLYVDDAVE--ATIIAWRLSNGNYEVYNVGNEDWVTVNDVMNIILNELGLSN-VKIVHRPVGDVKRIALKIDRLKT-LGFKPST------------------------- 47219544 ------------------------------------------------FHELDLLDKSGLENLFKKHCFGAVMHFAGLKAVGESVEQPLRYYRVNLTASINLLEVMQAHRVQNLVFSSSATVYGDPQYLPIDEQHPAGGTNPYGKTKYFIEEIIKDHCKEKDWNAVLLRYFNPIGAHSSGQIGEDPQGPNNLLPYVSQVAIGRRECLSVFGNDYDTADGTGVRDYIHVVDLAKGHIAALKKLKESCGCKVYNLGTGRGYSVFQMVKAVEKASGRKVSYKIAPRRDGDVASCYADPRLAEAELAWKAEFDLERM-------------------- 136204661 MEGMRVLVTGGAGFIGSNLVDKLVNDNYEVIIFDDLSTGREENINKAKLFRFDISDTPIMDDIMTGV--DVVFHMACLARVQPSIEEPLLYHNKNVNGLVNMLEACRKYDVKRFVFSSSSSVYGDAEEVPTSENCKLNPMSPYAIHKLIGEQYCKLYSELYGLETVSLRYFNVYGDRQPT------SGAYCLVMGIFAGQMLKGAPMTIRGD------GEQRRDFTYVDDVVDANIKCMDYPLEL-NGDVFNIGNGDNLSVNDIADV---MGGSRVHIKPVT----EPRETLANYDKANKVLGWSPKGNLE---------------------- 292655726 MNGKRVLVTGGAGFIGSNLANHLADEN-EVVAVDDLYLGTPENLDDA----VEFHDASVLDDDLPTDDVDVVFHLAALSSYKMHEENPTKAARVNVEGFVNTVEQARNDGCDTVVYASTSSIYGS-QTEPSPEEMPVEARTGYEASKLARERYAEYFHHHYDMRTAGLRFFSVY---QGFGGAEEHKGEFANTVAQFTDKIAAGESPELFGD------GTQTRDFTHVDDIVRGIELAADHRLQ----GIYNLGTGESYSFNEMVDMINEALGTDVEPEYIENPLDYVHDTKADCTKIREATGWEPKISFEEGLRRVCEPYLD---------- 284997400 ----KIAVLGGAGFIGSAFVRELNKRGIKPIVIDLLTYAGRLENLKGTDHEFDVRDQSIHEAIK---GVDLVVNFAAETHVDRSIYKPQDFVTTNVLGVINVLEASRLYG-FKYVHISTDEVYG---EECADESSPLNPSSPYSAAKASADLFVKAYVRTYNVKAIIVRPSNNYGPR--------QFPEKFIPKAIIRTLLGLHVP--------VYGDGKAERDWIFVEDTARIIAGLLDRAEWKGEVYNIPGK--QRVTNLELIKLLSEVMGKEIRIKFVSDRPGHDRRYCMNTS-----LSYE-TTPLKDGLRRTYEWYLENEWW------ 310659643 --NKRILLTGGAGFIGSKLCGLLCDKN-QILLYDNLNRNSIKNTNLLSHIQGDILDYGSLKKIVLEFQPHIVIHLAAVAGIDTVIKSPVNTMKVNMIGTYNLLESLKDNLTNVIDFSTSEVFGSYAYKVNEMNTTNLAPVGEARWSKLAAEHLSHSYYKEYGMPVVTVRPFNIYGPGQVGEGAIHQFVVRAIADEQMQIH----------------GDGDQIRSWCYVEDFIQGIMLCLEKEEAIGQSFNIGNPRAT-VTIAMLAETIKRISNSNSEIKYVSKNYVDVELRIPSIEKAKELLGFSPKYDLTEGLTKTIDWYR----------- 134866423 MKS---LVTGGAGFIGSNLVETLLGIGHTVVCVDNESSDAHDKPYWNINIRGDIRDQTLISSAMK--DVDYVFHLAAEARIQPAIENPINAVSVNDLGTATILQCARENQVKKFMFSSTSAAYGRN-DSPNVETQSPDPLNPYSVTKLNGENLCKMYTELFGLPTVIFRYFNVYGPRQ-------------PVRGQYAPVLGIFKRQKDAGEPLIVGDGNQRRDFVHVEDVARANVMALADPGQDAYGEVYNIGTGKNYSVNEIAEMFM------HEKIYIAPRPGEARVSLANNQKMRNTFGWTPT-------------------------- 307819458 ------LVTGGCGFVGSNLTAELIRRGEEVVVVDNLIRPGTPINLNWDFYHADTRNGHDLEPIFRKHSIECVFHLAGQVAMTTSLENPRRDFEVNVLGSINVLECVRILAPDAVVYASSNKVYGELEDCVVTERARLEFYTPYGCSKGAADQYMLEYARNFGVNSVVFRHSTIYGGRQISTFDQGWVG--------WFCQQAIETKLNPAHEFTINGDGKQVRDLLHVSDAVACYLAAHEHAAARGQAFNIGGGYENSMSLRELFLHLEKEVGAKMNPQELPWRANDQKYFVADNSKAERFLNWRPKATKEQGIADALDWEK----------- 135086043 -----ILITGGAGFLGSHLTDRLLEQGHEVICADNLFTGNIDHLHNHPRFEFMRHDYIEVDEIYNLACPASPVHYQH---------DPVQTTKTSVHGAINVLGLAKRLKCKVFQ-ASTSEVYGDPAVHPQPETYWIGPRSCYDEGKRCAETLFFDYHRQHGLEIKVARIFNTYGPRM--------HHADGRVVSNFIVQALRGEPITIYGD------GSQTRSFCYVDDLVEGFIRLME--SDASVTGPVNLGNPGEFTMLELAEKVIQSTGSSSKIMFQDLPQDDPKQRRPDISLAKKTLDWEPSVALDQGLGWTVDYFTK---------- 254526527 -KNRN-LITGGSGFLGSHLSKRLLEKGEEVICLDNFFTGTKKNIQDLIKHQHDITEPIQLE-------VDKIWHLACPASPIHYQLNPIKTAKTSFMGTYNMLGLAKRTSA-KFLLASTSEVYGDPEEHPQKESNTIGVRSCYDEGKRISETLCADYQRVHGVDIRIMRIFNTYGPNMR--------FDDGRVISNFIVQALKGNKISIYGDG------SQTRSFCYVDDLINGMILLMDSNYINP----VNIGNPNEFSIIELANIVKELINPNLDFQYKKLPKDDPKQRKPSIQLAKHLLNWEPKVELRNGLIKTINWFKKN--------- 140975477 ---KKIFVTGGAGYIGSHTCVELLKQDYEVMIFDDLSNGYEEAVKRIKFVIGDIRDEIKLQTELKKFQPDTIIHFAGLKSVGESSDDPLLYYDVNVRGSINILKTMSEIGCNKIVFSSSATVYDNQTPPPYKETDQKTPVSPYGRTKLIFEMALEDWYSKRNRRAVVLRYFNPVGAHESGLIGEAPYGSPNNLMPFIAQVANKKKPFSIFGDDYLTRDGTGERDFIHVVDLAQGHVKSLKKINE----------------------------------------------------------------------------------------- 223936185 -------VTGGAGFLGSHLTDLLLERGHRVIAIDNLVTGAVKNIAHAGNSQFKFINQDVTEYIYLDEPVQYVWHFASPASPIDYLEIPIKTLKVGSLGTHKALGLAKAKGA-RFLIASTSEIYGDPLVHPQREEYWIGPRSCYDEAKRFGEALTMAYHREHGVEVRIVRIFNTYGPRMRLNDGRV--------VPAFISQALQNKPLTVFGEG------NQTRSFCYCSDLIAGIYRLMNSSVDEP----VNIGNPHEMTVLEFAKEIIQATGSRSKIVFKALPQDDPKQRRPDITRARTRLKWEPKVSLQEGLIKTID----HFRG------ 296036426 -RRRRVLVTGGAGFLGLHLCTRLSRRGDSVICLDDFSTSAPWRSTLEALDRVTVIDGSVTDPPPLPRRIDLLIHLACPASPRDYQDDPVGTLATGGLGTLEMLE-RAEASGARFVLASTSEVYGDPQVHPQAESDPVGPRSMYDEAKRFGEALASAFRRQYDTDTAIVRIFNSYGPGMRA--------DDGRMVPAFVCAALDRRPLPVAGNGRQT------RSLCYVDDTVAGIL----AMSDSRHPGPVNIGTDHEMSVLDVAAVVNRIAHSDAGVRFLPSAPDDPRRRCPDVERARSLLGWRPRVTLEEGMRRTVDWF------------ 136377006 -TRKRTLVTGGAGFVGSHLCDRLVSAGNDVVCVDNFYTGDKRNIAHLLTERHDVTFYLEVDQIYNLACPASPVHYQH---------DPVQTTKTSVHGAINMLGLAKRTGA-RILQASTSEVYGDPEIHPQPEHYWVGVRSCYDEGKRCAETLFFDYWRQHRLEIKVARIFNTYGPRM--------HPDDGRVVSNFIVQGLRREPLTIYGD------GSQTRSFCYVDDLVDGLIRLMESRTD--FTGPVNLGNPGEFSMLELATQVIQQTGSNSAPEFKPLPQDDPQQRRPDIELARNELSWEPTIPLADGLARTIDYFR----------- 138260395 ----RVLVTGGAGYIGSVTTATLHARGHQVVVLDDLRTGHRAAVPKGPLVEADIANREVVSDVITGNGVEAIVHFAASSLVGESMADPMKYFGNNTAGTLTLLDVALAHDVGRFVLSSTAALYGTPSSIPIPEDAELHPESVYGESKYLIERALAWLGSNAGLGWTALRYFNAAGAH--GELGEDHDPESHLIPLVLQVALGQRDAISIFGGDYATPDGTAIRDYIHVHDLAEAHVLAVEA-LEPGVGTAYNVGTGTGYSV------------------------------------------------------------------------ 119615489 ----KVLVTGGAGYIGSHTVLELLEAGYLPVVIDNFHNAFRESLRRVQFEEMDILDQGALQRLFKKYSFMAVIHFAGLKAVGESVQKPLDYYRVNLTGTIQLLEIMKAHGVKNLVFSSSATVYGNPQYLPLDEAHPTGGTNPYGKSKFFIEEMIRDLCQADKWNAVLLRYFNPTGAHASGCIGEDPQGPNNLMPYVSQVAIGRREALNVFGNDYDTEDGTGVRDYIHVVDLAKGHIAALRKLKEQCGCRIYNLGTGTGY-------------------------------------------------------------------------- 56201956 -------VTGGAGFVGSHLVDRLLEQGDSVIVVDNFFTGRKDNVAHHRNPRFELLRHDVVEPIL--LEVDRIYHLACPASPVHYKYNPIKTIITNVMGTLNMLGLAKRIGA-RFLLTSTSEVYGDPLEHPQKETYWIGVRSCYDEGKRTAETLTMDYHRGGGVEVRIARIFNTYGPRM--------CLDDGRVVSNFVAQALRRQPMTVYGDGKQT------RSFQYVSDLVAGLMALME----GDHIGPFNLGNPGEFTMLELAQVVKETIDPMATIEFKPNTADDPHMRKPDITKAKHLLRWEPKVSLREGLPLMVKDFRQ---------- 39997073 LKGKKILVTGADGFIGSHLTEALLMRGYDTRAFVYYNSFNSWGWLDHLDPEGDIRDPHGVREAMKGC--DVVLHLAALIAIPYSYHSPDTYVDTNVKGTLNVVQAARELGVAKVVHTSTSEVYGTARFVPITEEHPLQGQSPYSASKIGADQIAMSFYSSFDTPVAIIRPFNTYGPRQS---------ARAFIPTVITQIASGARTLRL-------GALHPTRDLNYVADTVAGFIAVAESEKSVGEVINI--GSNFEISMGETARMIADVMGADVEIVTDAERKSEVERLWADTSKAKRLLNYGGKDGLRRGLVETVEWFVR-PENLK---- 137183054 ------------------------------------------------FFDCDLLDNNKLKGIFAKNSFDYVMHFAGKSLVGESAQKPSLYYENNVSGSINLLNACIDSDIDKIIFSSSAAVYGDPKSELINENHQTKPKNPYGQSKLFIEKILEDAFIAYGVSSISFRYFNAAGADPLGTIGEFHEPETHLIPNVIMKLISQKKKLKIFGDTYQTKDGTCVRDYIHVNDIASAHIKAFDFLDKNSKSHIFNLGNGSGFSVLEVINTVKKLNLNKISYEIAPPRNGDPAVLVADSAKANSLLNWNPK-------------------------- 135196623 ---KSALVTGGAGFIGRHVVRALLERGVSVQVFDDLSTGERSAVPQGAEVEGDIRNAEQIAE--AACGIEAIFHVAANASGTRSVLDPLFDFGINVQGTVNVLDAARKTGAMV-VHVSSAAVYGMPAYSPMDEKHPHRIQIPYGASKHAAEGYCEVYARVYDMRVVIGRPFCVYGPGENAATSLVEVG-------RYARWHLNDQPIHLVGDMDKK-----IRDFVHVDDIVQGLFVLAEHGES---GQAYSIGSGEQISMRKLVQTIWDASGREPQIAHISIQ-DDSYSLTADISKLKS-LGYEPQIVLSEGVPRLVQ-------------- 116750974 ---KRSAVTGGAGFLGSHLCERLLNEGRDVLCIDNFYTGSKRNILYFELYRHDITYYIEVDEVFNLACPASPIHYQ---------NDPVQTTKVNVHGSINMLGLAKRLKA-KIMQASTSEVYGDPKVHPQQESYWGHVRSCYDEGKRCAETLFFDYHRQHDLKIKVARIFNTYGPRM--------HPRDGRVVSNFIVQALQGQPITIYGEG------TQTRSFCYVDDLIEGFWRLMNTKDE--FTGPVNLGNPVEFTIAELAEKVIGFTKSRSQIVHKPLPQDDPIMRRPDISLAKKVLDWEPKVPLDEGLKKTIDYF------------ 141929160 ----HIFITGGAGYIGSHVVLEALNRGYDVTVYDDLSTGNKGNIPQSTFILGSIFSYKTLSKVMNGNQFDAVIHLAASKDSGESMLNPIKYCDNNIIGGYNLLKSCINYNIKNFIFSSSASVYGNPKYNPVDELHPLEPLSFYGYTKLSIEQCLKWYSDIYGLKYASLRYFNAAGYDVEGKVEFFDSNSRNLIPVVLETAKKRRPYVSIFGNQYNTSDGTGVRDFIHVTDLAKAHIDALEHIKTQNKNLTINLGFGKG--------------------------------------------------------------------------- 255691769 ---KRILVSGGAGFIGSHLCTRLINNGHEVICLDNFFTGSKDHLMGNHHFEVVRHDVAEVDEIYNLACPASPIHYQH---------DPIQTAKTSVMGAINMLGLAMRLDAKVLQ-ASTSEVYGDPIVHPQPEYYWVGYRSCYDEGKRCAETLFMDYHRQNDVRVKIIRIFNTYGPRM--------LPNDGRVVSNFVLQALNNEDITIYGDGKQT------RSFQYIDDLIEGMIRMMETEDD--FTGPVNLGNPNEFSIQELAKKIIAMTGSSSKIVFKSLPNDDPKQRQPDITLARKKLGWEPTIELEDGLSRMIEYFK----------- 119953233 ----RVFLTGIAGFIGFHVAKRLADNGHEVLGVDVLNDYYEPNLKYERLEYLDILDKDKVLSLFSDYKFTHVCHLAAQAGIRDSIENPDSYISVNIVGFFNVLDACRIHHIEHFVYASTSAVYGINKKMPSDEDSITHPLNLYAASKKSNEVIAHAYSSSFNIPTTGLRFFTVYGP----------YGRPDMALYLFADGIIKQTPINVFNNGDM------ARDFTYVDDVADGVYSVLKKPAESAPYKIYNIGTGHATELLEFINELETNLGNKACKNFLPMQKADVVKSCCNISKLKTDFAYESLISIKEGIKKFANWYK----------- 296505690 ---------------------------YHIVNLDVLTYAGDENKDNYSFAKVDIVDRQAVNQLFEQEKFDYVVHFAAESHVDRSITEPEVFISTNVLGTQVLLDAAKAFGITKFVHVSTDEVYGEDPTTFFTEETPLQPNSPYSASKASSDLLVRAYHETFGLPINITRCSNNYGP--------FHFPEKLIPLTISRVLNDEKVP--------VYGDGKNIRDWLHVIDHCAAIDLVMHEGIN---GEVYNVGGHNERTNLEVVQTIISTLGKEDLIEFVQDRLGHDKRYAIDPTKLEK-LGWKPTYTFETGIAQTIQWYLDNEEWWK---- 136225658 -TNKMIIVTGGAGFIGSNFILSWLERFDEKINIDNLSYADIENNSNYSFKKLNIQNQDEITTLILETKPRAILNFAAESHVDRSIEDPENFINSNIIGTYSLLGASLQYWNFRFFHISTDEVFGNINDKKSTEQSPYKPNSPYSASKAASDHLVRAWHHTFELPTLISNCTNNYGP---------HQHHEKLIPLIITNALENKN-------LPIYGDGKNIRDWLYVKDHCEAIIKIL---ANGKPGETYNIGGSCEKNNLEVVSEICKILDSEEQIEFVKDRPGHDFRYSLDTSKIEKDFNWKPKESFTSGLEKTIQWYLN---------- 83950108 ---RTVLITGGAGFLGSQLCDVYIARDYRVICLDDLSTGRLSNIHLLDHPSFEFVEQDVIEPFQPKGCIDLIFNMACPASPPKYQRDPIHTFKTNIQGAENMLRLAHKHGA-RILQASTSEIYGDPEISPQSESNTLGPRSCYDEGKRAAETLFYDFRQQYGVDARIARIFNTYGPRMD--------PGDGRVVSNFVTQVLRGDDITIYGDG------SQTRSFCFVDDLIQGLCALIHLPKSPGQP--VNLGNPEEFTIRELAEIVIEETHKDARIVYKDLPVDDPRQRRPDIRLAHQMLNWRPQFTLREGLRSTIPYF------------ 258593516 ------LITGGAGFLGSHLCDRLIKEGHQVICLDNLITGMVDNVAHLIGHDAFRFIKLDVTEYLYIDGPDYVLHFASPASPIDYQRLPIQTLKVGSLGTHKALGLAKAKGA-RFLLASTSEVYGDPTIHPQREEYWVGPRGVYDEAKRFAEAMTMAYHRYHGLDTRIARIFNTYGPRMR--------PNDGRVVSNFINQALRGEPVTVYGD------GSQTRSFCYVSDLVE----GLYRLLMSGEVNPVNIGNPKEFTVLDLAHMVLKATGPTTGIELRPLPVDDPRVRQPDIGLAQEKFGWEPKVQIAEGLALTIEYFRK---------- 143227900 -----ILITGGSGFIGANFIINSFKRNDDPIVLDKLTYAANQENLRYFFEKGSIEDFSLVSSLLIKYKPRLVINFAAESHVDRSISSSDEFINTNIIGTHSLLKACLVYFAFRFIQISTDEVYGSNSDPQSIEESPYFPNSPYSASKASGDHLVRAWHETFGLPAITTNCTNNYGP---------HQHPEKLIPKIINNCLNDEK-------LPIYGDGKNIRDWLYVLDHCEAISMVAEK---GKLGETYNIGGKNEIENIKVVQNICTLLDYSDQITFVEDRLGHDFRYGLNISKIENEIGWQPKENFDTGLRKTIEWYL----------- 225350725 ----NILVTGGCGFIGSNFIRYILEKSDYIINIDALTYAGNRENLRYFFEKVNICDDKKINEIFSKYNPDCIVHFAAESHVDRSIYGPKDFLETNIMGTFILLEAARKLWENNFHHISTDEVYGLGETGYFYETTPYDPRSPYSSSKASSDHIVKAYYHTYNLPVTISNCSNNYGP--------YQFPEKLIPLMISNIVEEKDLP--------VYGDGKNIRDWIFVEDH---NNAVIDIIHKGRVGETYNIGGENEITNIDMVNILCEKLSAKMNIKFVKDRAGHDRRYAINCDKIKKELGWERKYDFNTAIDITIDWYLNNKQWIDN--- 213969270 MSDAPVLITGGAGFIGSHLTDALLASGHSVRILDNLSAGKRSNLPLDNPREGDVADAELVKRVAQGCK--AVVHLAAVASVQASVDDPVRTHQSNFIGTLNVCEAMREASIKRVIFASSAAVYGNNEGEAITEDTTKAPLTPYASDKLASEFYLDFYRRQHGLEPVIFRFFNIFGPRQD------PSSPYSGVISIFAERLQNGLPILVFGD------GEQTRDFFYVGDLLKLLLQALSR--DSAIEGAINVGLNNTTSLNELLSALSHVVGKQPQVTYQAPRSGDIKHSRASNRRLLE--------------------------------- 299769686 -----ILVTGGLGFIGSHIALSLMAQGQEVVIVDNLANSTLQTLMYVPFVKLDVRNTPALNKVFEQYSIDAVIHTAGFKSIEESNLKPLEYYNDNVSCIMSLLRAMQRTGVRHFIHLSSLAAYGKSGQLSETDEFNYAYPNPYIKSQQMIEEIIRDYKIDHEWKIAILRLSNIVGAFEHGVLGEYVAQLPKNIVPLAMQVAAMQRDLIELQDQAETSDHTTERSFLHVLDVCEAVTASLHWLRDQTHCEAFNIAHDQVHSVRQLLDEISQVTQSEIPTQPAIYKHVELSQVAADISKAKTLLNWTPKRPLKQMIEDEWRFYQN---------- 167947469 IKGKKVLVTGADGFIGSHLTETLMDLGCDVRAFVYYNSFNSWGWLDSLPREGDIRDPNGVETAMQGV--DVVFHLAALIAIPFSYHSPDSYVDTNIKGTLNVLQAARKLGTEKVLVTSTSEVYGTAQYVPIDENHPYQGQSPYSATKIGADRLAESFYRSFDLPVTIVRPFNTYGPRQS---------ARAVIPTIITQLLNGAEEIKL-------GSLSPTRDFNFVKDSAMGFVEIAKSDNVIGE--EINLATGVEVSIGKLAKTMIELINPNAQIITDDERPGEVERLLGSADKVKSLTEWEPKYDLRAGLAETVEWFRD-PKNIA---- 296141461 -----IVVTGGAGFIGANFVRRTVATDVAVTVLDALTYAANESSLDDVRDRVDIVDPAVVDELVSSA--DAVINFAADTHNDNSLSDPWPFLDTNIRGTAVLLDAVRRHDV-RLHHISTDEVFGDDSPERFTEDTPYRPSSPYSASKASSDHLVRAWVRSFGVRATISNCSNNYGP----------YQHIEKFIPRQITNILSGIRPKLYGTGV------NVRDWIHVDDHNDAVWAILDR---GAIGETYLIGADGERSNRDVLALILEVMGRPDDFDHVTDRAGHDLRYAIDSAKIRRELDWEPVHDFAAGLAATVEWYAANRSWWEQAKD 139548317 MERKEILITGGAGYIGSHTLVELYNSGYTPIVVDNLSNSSINNIKGIDFYQVDCKDFDQMDRLFKEHNILAVIHFAAYKSVKESLIKPNKYLSNNIGSLQNLLELMNKYRVHNLIFSSSCTVYGTPKLLPVNEEPFGKAESPYAETKQLCEKLI----EESKINSISLRYFNPVGSHSSSLIGDCSDKPNNLVPIICEVASGKRKSIQIFGNDYKTHDGTCIRDYIHVVDLAKAHTMALNHILNNTKIKTFNLGVGRGVSVFEVIDLFEKVNELKIFHEICPRR------------------------------------------------- 136217147 MVTMNIVITGGSGFVGSYLCEKLINDGHKIIVIDNLLTGSKENIENFSFIEHDVQDHIEIED-----KVDYVLHFASAASPRAYTEHPVNTLKAGSVGTINTLGLAKKHSAEYL-LASTSEVYGDPLKSPQNEEYWGNERSMYDEAKRFAEAAVATYSRSYDLKTKIVRIFNTYGPRMQLNDGRV--------VTNFIVQALRNENITIYGDG------SQTRSFSYIEDTVSGIISLM----NSTEYDVFNIGNPNEMTIGKLAEKIIKLTDSTSEIKYLELPNDDPKQRKPDITKAKTKLNWEPKINLEEGLTKTISW------------- 143191062 ----RIVITGAAGFIGSQTAHLLLSSGHDVIGIDSMTNYYDPLIKVARVAQLDLA-KDDCRDLLD--QDTVVIHLAAQPGVRASWSEFESYANANVTATKHLLDAALECGVPRVIYASSSSVYGNSSDFPTTETAPLEPMSPYAVSKLAGEHLCRLYASERGLHTTSLRYFTVYGPG--------QRPDMLTHRLIAAAHNGA--PVRIFGT------GNQIRDFTFVGDVARANVAAITADSSPG--QVFNIAGGSAVSIKEMIESVEAAVGLGQRIQQITAPPGDVPRTDGSASLAESILGWKPTVELDQGISAQVD-------------- 135337042 --------TGGLGFIGSNLIKILIKKNYYVINLDKITYSSNFYNKNYKFIKVDINNRKKILKIFKSFKPSVIFNLAAETHVDRSIDGPDSFIKSNILGVFNLLEAFRTYKKTKLIHISTDEVYGDVLNGRSKENDAYKPSSPYAASKASSDHLVFSYIRTYKIPAIITNCSNNYGPR--------QHPEKLIPKLIYNILNNKVLP--------IYGKGLNSREWIFVDDHCEALIKINEKGKLGNFYNIGSNLNENNLNISKKLIKIAKEIRENVKIKFVKDRPGHDLRYALNSSKLIKSLNWKPKTNILNGLKKTFEWYFKNPEYF----- 262199536 ------LVTGGCGFIGSHFVRSSLRAGLRVVNLDKLNYGNLDDLAEHPRYRGDIGDRACVQQLFDEHRPDAVVHLAAESHVDRSIDAPASFVETNIVGTFTLLEAARAYFAARFVHVSTDEVYGSADGPAFDETARYAPSSPYAASKASADHLVMAAHRTYGFPALVTHGCNTFGS---------HQFPEKFLPTVVLNALDGKD-------IPVYGDGRNERDWL----AVSEHCDGLRAVLARGHGQSYNIATGRRRSNLEMARRVCAVVDSSRLLRFVTDRPGHDRRYAVTTDKIRRDTGWSAASEFDSALRDTVAWYIANRAW------ 142140961 ----RILVTGGAGFVGSHLCERLLDDGADVLCVDNFYSGNVVHLLDHPNFELMRHDYVEVDQIYNLACPASPIHYQ---------RDPVQTLKTSVHGAINMLGLAKRTGA-RILQASTSEVYGDPEEHPQGEGYWIGPRACYDEGKRAAETLFVDYHRQHGVDVRIARIFNTYGPRM--------HPNDGRVVSTFITQALRGENLTVFGD------GSQTRSFCYVDDLVEGLVRLMAAPDTVGP---VNLGNPDEVTVAELAEEILALVGTNANVDYRPLPGDDPKRRRPDVTLAERLLGWRPTVPRTLGLQRTIEAFRA---------- 304383982 ---KTYLVTGAAGFIGSNFIKYLLHKRYQVVALDALTYAGNLGTIKDDFVKGDIRDRELTDRLFAENDIDYVVNFAAESHVDRSIEDPQLFLETNILGTQNLLDAARWKQDKRFHQVSTDEVYGTGETGYFTEQTPLCPHSPYSASKTSADMIVMAYHDTFRIPVSITRCSNNYGP--------YHFPEKLIPLIINNILEGKPLP--------VYGKGENVRDWLYVED----HCKAIDLVVRKGEGQVYNVGGHNEMKNIDIVKLIIRNIHDESLITFVTDRLGHDLRYAIDPSKISAELGWLPETKFADGIVKTIRWNLENQPWITE--- 138742937 ---KKILVTGGAGYIGSHTTLVLLEAGYEVVVLDNFSNSFPESIKRVAEIEGDVADEKVLNSLFSTHDIEAVIHFAALKAVGESTEYPLKYYRNNVSGSISLLQAMESAGVNDLVFSSSCTIYGEPDRVPIDESHPVGAVSPYGRTKFQMEEIIRDHAAQPSFRAAVLRYFNPVGAHPSGRIGEDPQGPDNLVPFVCQVASGKLKKLRVFGSDYPTRDGTAIRDYLHVVDLANAH-------------------------------------------------------------------------------------------------- 143347506 --DMKILVTGADGFIGSHLVEALVRLGYKVRAFV-FYNSFGSWGWLDQCDQGDIRDPNCVSD--AMHGCDVVFHLAALIAIPYSYGAPDSYVETNVKGTLNILQCARKIGLKKLIHTSTSEVYGTANYVPIDENHPLNAQSPYSATKIAADQLAHSFYLSFNVPISIVRPFNTYGPRQS---------ARAVIPSIITQLTRNKGKVSL-------GAVSPTRDFNYIKDTVSGFIAAM--NSEKGIGEVVNIGSNYEISIAKTAEIIADIMQLKLEIISSEQRASEVERLWADNSKAKKLYDWKPLYGFRKGLTETIGWFTK---------- 302844237 ---KNILITGGAGFIASHVVIRIATREYKVVVLDKLDYNNLSCLADKPNFRGDIQSMDLISYILKTEEIDTVMHFAAQTHVDNSFGNSLAFTLNNTYGTHVLLEASRMGTIRRFINVSTDEVYGLGKTTGLVESSHLDPTNPYSAAKAGAELIARAYITSYKMPVIITRGNNVYGPH--------QFPEKLIPKFTLLAARGKELPLHGDG--------SSVRSYLYVEDVAEAFDCVLHKGVT---GETYNIGTDRERSVLEVARDIAKLFNPEDKVVFVKDRAFNDRRYYIGSAKL-AALGWQERTSWEEGLRKTVDWYLKNIENY----- 221312890 -KNKNVFVTGCTGLLGSYLVKELIEQGANVTGLVNLYQG--EHIKKMNIVRGSLEDLAVIERALGEYEIDTVFHLAAQAIVGVANRNPISTFEANILGTWNILEACRKHPIKRVIVASSDKAYGDQENLPYDENMPLQGKHPYDVSKSCADLISHTYFHTYGLPVCITRCGNLYGGGDLNF-------NRIIPQTIQLVLNGEAPEIRSDG--------TFVRDYFYIEDAVQAYLLLAEKMEENNAGEAFNFSNEIQLTVLELVEKILKKMNSNLKPKVLNQGSNEIKHQYLSAEKARKLLNWTPAYTIDEGLEKTIEWYTEFFK------- 15843407 ME---ILVTGGAGFQGSHLTESLLANGHWVTVLDKSSRNAVRNMQGFRSHDGSVTDGQTIDRAVRDHH--VVFHLAAHVNVDQSLGDPESFLETNVMGTYRVLEAVRRYR-NRLIYVSTCEVYGDGHNERLDEHAELKPNSPYGASKAAADRLCYSYFRSYGLDVTIVRPFNIFGVRQKAGRFGALIPRLVRQGI-------NGEGLTIFGAGSAT------RDYLYVSDIVGAYNLVLRTPTLRGQA--INFASGKDTRVRDIVEYVADKFGA--RIEHRDARPGEVQRFPADISLAKSI-GFQPQVEIWDGIDRYINWAKDQPQ------- 143249315 --DKTVLVTGGAGFIGFHLTMRLHQSGYKVKVFDNLYRAKKSTVEEVEFIEGDIRYLDQLNRAMQGV--DYVFHQAAV-CINKSLATPAESSEINFTGSINVFDACVENNIKKLIFASSASVYGEPRKLPMQEDDKLYPITPYCISKAAVECFADFYSKTHNLDYIGLRYFNVYGPRQ------------PVDAFYTNVVVLFVKRILNGEAPIIKGTGNQSMDFIHVDDIVESNIAALESDT---KNEIFNVGSQKSTTVKDLAYILLKAMGRE---DLDPVFSGDVSKRQADISKIKSLLNWKPKKNTEDGLSE----------------- 135967068 ----RILVTGGAGFIGSHTCLLLLEKGYEIIVLDSFVNSNKKSIERVIELNGDIRDEKVLDKIFFESELDAVIHFAGLKAVKESVNNPLNYWDVNVNGSIKLFSVMKKYNCRTIVFSSSATIYGISDDKPILETAKINPTNPYGNTKAAVESLLNNIFKSDSWRIAILRYFNPISNHKSGLIGESPIGPNNLFPYITQVASSKIDKLNIFGNNWQTIDGTGVRDYIHVMDLAEGHIAALDYLLGSSKTQIINLGTGKGTSVLELIKCFESVNKIKIPYYITSRREGDI--------------------------------------------- 60681668 ---KRILVSGGAGFIGSHLCTRLINEGHDVICLDNFFTGSKENIIHLMDVRHDITFPAEVDEIYNLACPASPIHYQ---------YDAIQTIKTSVMGAINMLGLARRLNAKILQ-ASTSEVYGDPEVHPQPESYWIGIRSCYDEGKRCSETLFMDYHRQNNVRIKIVRIFNTYGPRM--------LPNDGRVVSNFLIQALKNDDITIYGT------GEQTRSFQYIDDLVEGMIRMMNTGDD--FTGPVNLGNPNEFSMLQLAEKIIRKTGSKSKITFKPLPHDDPQQRKPDIRLAQEKLGWQPTILLDEGLDRMIDYFK----------- 256805199 ----RVLVTGGAGFIGSHVVTALRERGHEAVVFD---------VREDPG--ADVRDPAAVRRALSGA--DAVCHQAAMVGLGDGVADAAEYVSHNDLGTAVLLAAMAEAGVRRLVLAGSMVVYGEGRPGLVGEDAPTDPRNVYATTKLAQEHLAAAWARCTGGSAVSLRYHNVYGPGM------PRDTPYAGVASFFRSALARGEAPRVY------EDGRQRRDFVHVRDVAEANLAAVEASSPTGALTAYNTGSGDPHTVGEMARALAAAYGGPEPVVTGEFRLGDVRHITADSARLRDGLGWKPAVGFEEGMAEFAR-------------- 257452249 ---KTYLVTGAAGFIGTNFIKYLLQKDIFIVAFDKLTYAGRKENLEIKFIEGDICDSTLVEEIFIKYSIDYVVNFAAESHVDRSIESSRVFLETNVMGTQNLLEIAKKFWMIKFLQVSTDEVYGIFGTKFFNEEMPLAPRSPYSASKAAADLLVMAYKETYHLPVNITRSSNNYGP--------YQFPEKLIPLMIQKILQGKNLP--------IYGNGKNVRDWIYVEDHCRGIELVLQH--GKLGEIYNIGGLYEETNFNIVLLLLEKIVQIKELISYVQDRLGHDERYAMNISKIQDQLGWQPKIEFSVGLQKTILWYLQHQDWI----- 143524943 MISKKILITGVAGFLGSHLGEKLAEMGHEIIGIDNMLGGYEDNIPKNKFYNIDCCDLKEVKKIMKGVQI--VYHCAATAHEGLSVFSPYEITKNNYLASISIFTAAVDAKVQRIIFCSSMARYGD-QKTPFTEDMKPKPVDPYAISKVAAEDCLINLCNLNGIEWVIAVPHNIIGPRQ------KYDDPFRNVISIMLNRMLQGKAPVIYGD------GEQQRCFSYINDCLDCLIPMLDQKNLNK--QIINIGPDEEFVTINKVAEICNLTGVNLKPIYKKDRPREVKHALCSSDKARKFLNYKTKTSLDEGINKTFEYIKK---------- 153006070 MHGKLILVTGGAGFIGSHLADQLLERGYRVRALDDLSPQVHGENARRPDYLGDVRDPDAVSRALEGV--DAVVHLAARVGVGQSMYEVERYVSVNGVGTAVLLEALIKRPVERLVVASSMSIYGEGSPVPTPETKAPALPSVYALTKYDQERLCLTVGRAYGIPTTALRFFNVYGTRQ-----ALSNPYTGVLAIFAARLLNRRPP-------LVNEDGLQRRDFVHVQDVARACLLALEAPEAAGLALNV--GSGRSFTVREIAERLATALGEERIVITGRYRAGDIRHCFADVSLARRVLGYEPQVAFDEGLTELCGW------------- 116249843 ---RTVLVNGGGGFLGSHLCERLLQHGHRVICLDNFSTGRRANVDHFHIVEHDVRQPFDIEASL-------IFNFASPASPPDYQRDPVGTLLTNVLGAVNTLDCARKTGAIVVQ-SSTSEVYGDPIHSPQHESNQIGPRGCYDEGKRSAETLFFDYHRTYGVDVKVGRIFNTYGPRMRL--------DDGRVVSNFIVQALRNADLTIYGDGQQT------RSFCYVDDLIEGFLRF--STAGSACNGPINLGNPTEMTVRRLAEIIRDLTNSRSRIVHLPAVTDDPRQRRPDISRAMAELDWQPRIGLETGLARTVDYF------------ 48093461 -ANMRILVTGGAGFIGSHLVDKLMEEKNEVVVVDNYFTGSKDNLKWIGHPRFELIRHDVTEPLL--IEVDRIYHLACPASPIFYKYNPVKTIKTNVLGTMNMLGLAKRTGA-RILLTSTSEVYGDPLVHPQDESYWIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRM--NIDDGRVVSNFIAQAIRDEALTVQLP------------GTQTRSFCYVSDMVDGLIRLME----GDNTGPINIGNPGEFTMIELAENVKELINPEVKIITVENTPDDPRQRKPDITKAKELIGWEPKIKLRDGI------------------- 120437609 ---KRILITGAAGFLGSHLCDRFLKEGYEVIGMDNLITGDLKNIEHMKMQNFEFHHHDITKFVHVAGNLDYILHFASPASPIDYLKIPIQTLKVGSFGTHHCLGLAKEKNA-RILIASTSEVYGDPLVHPQNEENAIGPRGVYDEAKRFQESITMAYHRFHGLETRIARIFNTYGPRM-------RLNDGRVIPAFIGQALRGED-------LTVFGDGSQTRSFCFVDDQVEGIYRLLL----SDYSEPVNIGNPDEISILDFADEIIRLTGTDQKIVFEELPKDDPMQRQPDISRAREILDWEPKISRAEGMRITYD----YFRGLSQDE- 182418448 ---KKVLVTGADGFIGSHLTEILIKEGYKVKAFVNYNSFNSEIINEIEIFSGDIRDPNGVKVSMK--GIEEVYHLAALIAIPFSYHSPDSYVDTNIKGTLNVLQAARELETSRVLITSTSEVYGTAKYIPIDELHPYQGQSPYSATKIGADRLAESFYRSFNMPISIVRPFNTYGPRQS---------ARAVIPTIITQLLSGEKQIKL-------GALSPTRDFNYVKDTVNGFIKIAQSKKTIGEEINI--ATQHEISIGKLAEELIKQINPSAEIVCDEQRISEVNRLLGSNEKIKKLTDWEVQYTFEKGIKETVEWFRN---------- 142555049 LMKKTVLITGAAGFLGSHLCDYFLSKNYKVLGIDNLITGHLELINDFEFRKIDITHNFNIDDSL-----DYILHFASPASPIDYLRIPLQTLRVGSLGTENILKIALKNNCCIL-IASTSEVYGDPLEHPQNEEDPVGPRGVYDEAKRFQEALATAYHTYHGLDIRIARIFNTYGSRM-------RVNDGRAIPAFMGQVL-RGESLTVFGD------GSQTRSFCYIDDMVEGIYKLL----HSNYTKPINLGNPEEIALIEFAKEIINLGENENKITFKPLPVNDPVKRKPDIKKAIKILNWKPKISRKKGLENTFNYFKN---------- 305665768 ---KRVLITGAAGFLGSHLCDRFIKEGFYVIGMDNLITGDLKNIEHFKLKNFEFYNHDVTKFVHVPGKLDYILHFASPASPIDYLKIPIQTLKVGALGTHNLLGLAKEKGA-RVLIASTSEIYGDPLVHPQTEENTIGPRGVYDEAKRFQESITMAYNRFHGVETRIVRIFNTYGPRM-------RLNDGRVIPAFMGQALRGED-LTIFGDG------SQTRSFCYVDDEIEGIYRLLM----SDYALPVNIGNPHEITISDFAEEIIKLTGTNQKVIYKPLPVDDPMQRQPDISKARELLGWQPVVGRAEGMKKTFEYFK----------- 142962681 MNYKKALVTGGAGFIGSHLVETLHKNNIEVLVVDNLLTGKKENLNSLNLENGDVGLEDNLEHI-KNFNPDVCFHLAAQSSVVISVEDPLLDFEHNLLQPVQLIKTLLETDCKQFIFTSSGGTFGEPDVIPTSEVDYGEPASPYGLAKKKLNELIEIMLEDEEMSYSIMNLANVYGPRQD------PHGEAGVMSIFTGKLLNNETP-TIFGD------GEQTRDYVYVLDVVD----ALIKSSESDENLFLNIGTGIETSVNELVSILSQKISWDGEPNYEPKREGELLRSVLNNQRAKIEIGWEPNYTLDTGLDELISWFSK---------- 143091708 ---KNIIVTGGLGFIGSNLIELLLKKKYRVMNIDKNTYSSNRNNKNYSYIKCDISNK-KLSKIIFKFKPIGIFNLAAETHVDRSIDSPKSFIHSNIIGVFNILEIFRKFSKKNLIHISTDEVYGDILTGRTKETYPYNPSSPYAASKASSDHLVSSYVRTYKIPAIITNCSNNYGP--------KQHPEKLIPKLIYNILSNKKLP--------IYGKGKNSREWIYVKDHCEALIKIFEK---GKIGNFYNIGSNKNLINLDKVAKKKIKVGKNVKIEFVKDRPGHDVRYALNSEKLIKELKWKPKTNFETGLKQTFDWYLNNINYYKS--- 307769112 ---KRILITGGAGFVGSHLVDRLMLEGHEVIALDNYFTGRKRNVHWIGHPNFELVHHDVVNSYLT--EVDEIYHLASPASPAHYMYNPVKTIKTNTIGTINMLGLARRLKA-RILLASTSEIYGNPEVHPQPENYWVGPRSCYDEGKRVAEALMVAYHVQEKVDIRIARIFNTFGPRM-------HMNDGRVVSNFILQAL-RNHPMTIFGDGKQTRSFQYVDDLV----------TGLIKLMGSNCTDPVNIGNPEERTIIEFAELIRGLIGSNSSIVHEPEQQDDPQQRKPDISRANEKLKWKPIISMHDGLIKTIDYFRD---------- 135928073 ------LVTGAAGFIGSNLVDYLLEQGHTVVSVDNESANNEKFHWTDENVKGNITDYNFMRKVFTNV--DYVFHLAAESRLQSAIQNPIQAVERNCVGTTVMLQCAREAKVKRFVYSSTSSGYGNNPY-PNVETQPDDCLNPYSASKIAAEKFCKMYTELYGLETVILRYFNVFGHR------SPARGQYAPVIGIFQRQEKANEPLTIVGD------GSQRRDFIHVRDVARANYLAATLPLKGHEGEVFNVGSGNPYSIQQIADTISD------NQTYIPKRSGEMETTFADITKIGEVMEWKPEIDV-------IDW------------- 126662771 ---KRILITGAAGFLGSHLCDRFIKEGYFVIGMDNLITGDLKNIEHLFKDKFEFYHHDITKFVHVPGELDYILHFASPASPIDYLKIPIQTLKVGSLGTHNLLGLARVKGA-RILIASTSEVYGDPLVHPQTEENTIGPRGVYDEAKRFQESITMAYHTFHGVETRIVRIFNTYGPRM-------RLNDGRVIPAFIGQALRGED-LTIFGD------GSQTRSFCYVDDQVEGIYRLL----HSDYHLPVNIGNPDEITIKDFAEEIIKLTGTNQKVVYHPLPVNDPMQRQPDTTKAREILGWEAKVSRSEGMKITYDYFK----------- 302880036 ----KLLITGGCGFLGSNLASDALLRGDEIVVFDNLYNGSRENLPWFTFEHGDIRNHNDIARIVQSFKPDAIFHLAGQVAMTTSIANPRMDFEVNVMGTHNLLEAVRQHAPEAVVYSSTNKVYGDLEQYTYSENTQLDFHSPYGCSKGAADQYMLDYARIFGLKTVVFRHSSMYGGRQFASY-DQGWIGWFCQKAVESSKGLLKEPFTISGTG------KQVRDVLHADDMKRLYMAAVSNIDKKGQAFNIGGGIENSLSLLELFTLLEKISNTKLNYTRLPVRESDQRVFVADLAKARQLLNWQPMVSAQDGVARMVEW------------- 29826897 ----TVAVTGAEGFIGSHLVEALIASGHRVRAMAQYSYGWLETLPQDVMDSVDVRDAGSVQHLLT--GTEAVYHLAALIAIPYSYQAPHSYVATNVTGTLNVLEAVRRLGIPRMVHTSTSETYGTAQTVPITEDHPINTQSPYSASKAGGDRLADGYYASFGIPVATLRPFNTFGPRQS----------MRAVIPTVIGQVAAGERTIALGDLRPT------RDFMFVKDTAQAFLAVGTAPAEAVVGRTFNAGTGGEISVGDLVTLVGKLMGAELEVREDAQRISEVMRLVCDASRLRAATGWEPAHSLQEGLEQTVRFFSD---------- 110833770 ---KTWLVTGVAGFIGSNLLETLLGLNQTVIGLDNFATGHADQWANFTFIEGDIRSLDDCKKAVTGV--DYVLHQAALGSVPRSIEDPITTNQANIDGFLNMLVAAKDENVASFTYAASSSTYGDHPGLPKVEDKIGKPLSPYAVTKYVNELYADVFARTYDFNTIGLRYFNVFGKRQDPN-----GAYAAVIPKWTAAMIQGED-------VFINGDGETSRDFCFIENVVQINLLAATAEAEA-KNQVFNVALGDRTTLNTLFDALKTALKYESDPVYRDFRAGDVRHSQADISKAQELLGYEPAYRIYDGIGKAMPWY------------ 300909572 METKNIIVTGGAGFIGSNFVHYVVNHHPEVTVLDKLTYAGNPANLDGLPKDGDICDKDLVDKLVS--KADAVVHYAAESHNDNSLIDPTPFIQTNIVGTSVLINACRKYDV-RYHHISTDEVYGDGKGEKFTPESPYRPSSPYSSSKASSDLLVRAWIRSFGLRATISNCSNNYGP---------YQHIEKFIPRQITNILSGIRPKL-------YGSGKNVRDWIHTNDHSRAVWDIL---TKGKIGETYLIGADGEKNNKEVLEMILELMGPKDAYDHVKDRPGHDLRYAIDATKLRTELGWEPETDFKTGLQHTIDWYTEHQDWWKDEK- 119718949 -------ITGGAGFIGFNTARYLASRGFQVVVLDDFSRAEDLEKVGAEVYEGDVRDAEALRRFLSGV--DAVIHLAALVDVRESEERPEEYWSVNVEGTRALLAEASRAGVRKVVFASSAAVYGDLGGLTAGEEVDARPKSFYGLTKRVGEELCR-FFSGRGVVCVALRIFNVYGESRRGVIYEF------------ARRVLSGLPVKVYGDG------NQTRDFVYVGDVARAFEAVIAEW--SGGFEVFNVASGRCVSVNELVRLFEQVTGKRVGVLREPARPEEIRRSCASTEKAARMLGFRASTSLEEGVRRVVEWVARY--------- 126696738 MDKQRDLVTGGAGFLGSHLIDALMEKGEEVICLDNYFTGRKQNIIWINHPKFELIRHDVTEPIF--LEIDKIWHLACPASPIHYQYNPIKTSKTSFLGTYNMLGLATRTKA-KLLLASTSEVYGNPLIHPQKESNNIGIRSCYDEGKRIAETLCFDYNRMHKTEISVMRIFNTFGPRM--------QIDDGRVVSNFINQALRGENLTVYGDG------SQTRSFCYVEDLINGMIKLMESEVK----GPINIGAQNELRIDKLAEIIIKKINRELKINFNPIPQDDPIMRRPSIEKAKKELGWSPTVDFEEGLEKTINYFIE---------- 148261339 --------TGAAGFIGYHVAEALLSRGALVAGVDDLNPYYDVRLKHARFHRVDIADHAALRAVGD--DWDVIVHLAAQAGVRYSIDNPFAYAAANLVGHLSVLEARHVPRLKHLVYASSSSVYGAGTPLPYAETARDRPQSLYAATKRADELMSAAYAHLYGIRQTGLRFFTVYGP--------WGRPDMAYFGFAEAIMAGRPITLYEAG--------TLKRDFTYIDDIVEGVMGVIEHAPAEGAHRIFNIGNHRAEFVRDLVQLLEEELGRKAVIVDASRPPADPVETCADLSALAELTGFAPKTNLNHGIKKFVSWYR----------- 308807379 -EPRRILVTGGAGFVGSHLVDALIARGDHVMVMDNFFTGAHRNLEHLSQNRFEIIRHDVVQPFL--VEVDEVYHLACPASPIHYKFNPVKTIKTNLAKTRHFTEHFRRRCKAKFLLTSTSEVYGDPLEHPQKESYWIGERACYDEGKRCAETLAFDYHREHGLDIRVARIFNTYGPRM--------AMDDGRVVSNFVAQALRGDKLTVYGD------GSQTRSFQYVSDLVAGLIALMDN--EDGFIGPVNLGNPGEFTMNELAEKVREIVNPAAEIEYCENTADDPSRRKPDISVAREKLRWEPKVTLDEGLRLMVD-------------- 143688406 ----KILITGGAGFIGSHLVEGLLSNENEILIFDNFLTGKKENLEFTGNFKFDFGSDSSLENI-EKFDPEICFHLAAQSSVVVSVENPALDFEHNILQPIKLIQVLLRSSCKKFVFTSSGGTFGEPSVIPTAEEDYDEPESPYGVAKKRLNELIKIMTKNSNLKYSILNLSNVYGPRQD------PHGEAGVVSIFANKYLNDEEP-------VIFGDGEQTRDYIYVKDVVS----ALIKASKIDENHFLNIGTGVETSVNDLVNSMKSQFNSEINPIYKPAREGELNRSVLNSSKAKKELDWKPEYNLDDGMKQVLNW------------- 168704150 LRNKRILVTGGGGFLGRHVVTELRQVGCT-------------CLFAPRRAEYDLTDADAVRAVLEWSRPDVVIHLAAVVGIGANRKHPGTFLYDNLMMGVQLIEQCRRRGLEKFVCAGTICAYPKFTPVPFKEADPEETNAPYGLAKKMLLAQLQAYKQEFDFPGVYVLPVNLYGPWDNFDLQTSHVIPALIRKCVAAQELNLP-------EVPVWGTGKATREFLYVGDAARGIRLAAERLETPDP---VNLGSGHEIAIRDLAQRIADHVGYRGALKFDPTQPDGQPRRCLDTSRAKELIGFEATTTLDRGLAETVSWYRAH--------- 268608359 MKNKRVFLTGATGFVGAHMAKHLVELGANVTVLIERCDATSYFSICGLDKKVDISNGSLIEQIIVEQKIEVIFHLAAVALQDLAYKMPKVTFQVNIVGTYNILDAARLHTVKAVLVASSDKVYGDSDVLPYTESTTLQGSNPYDVSKVCQDMLARSFYHSYGLPVVVGRFGNIYGPGDNN--FNRLIPGTIQKLENGESPLVRHPANGVF-----------KRDFLYINDIVNAYMYMLYNIDKEGVAGNFNFGTGIATDIETIVNKLKTIMGCENIPNVQQSEVSEILMQQLDATKAFERLGWKAEYSVDKGLAETVDWYRN---------- 254229440 ---KTWLVTGVAGFIGSNLLEKLLKLDQTVVGLDNFATGHQHNLDEVKGIEGDIREPKTCQQAVKGV--DYVLHQAALGSVPRSIADPLTTNAANITGFLNMLDAAKEERVSSFTYAASSSTYGDHPALPKVEENIGNPLSPYAVTKYVNELYAGVYARTYGFKTIGLRYFNVFGRRQDPN-----GAYAAVIPKWTAAMINGED-------VYINGDGETSRDFCY-IDNVVQMNLLAATAKEDAKDEVYNVAVGDRTTLNELYYAIKNALNVDAEPKYRDFRAGDVRHSQAEISKAKNKLDYLPEFKVLKGISVAMKWYKN---------- 136279556 ---KKILVTGGAGFLGSNLCERLINDGNDVICLDNYYTGSKKNIKHLLNHNFELVRHDVTHSFFA--EVDEIYNLACPASPPHYQYNPIKTIKTSVLGAINSLGLAKRVKA-KILLASTSEVYGDPEIHPQPETYWVNPISCYDEGKRCAESLFINYQKEHDLKIKIVRIFNTYGPNMN--------PFDGRVVSNFIVQSLKNEDITVYGK------GNQTRSFQYVDDLVEGMIRMM--NSRDDFYGPVNIGNPDEFTILELAEKIIGLTSSKSKITFMPLPQDDPMQRKPDISLAKKELKWEPNIKLEEGLLKTIEYFK----------- 193214167 MNNQPVVITGGAGFLGSHLCDRFIAEGHKVIAIDNFITGNPDNIAHLMNENFKFIKHDVTEFIYVEGKVDNILHFASPASPIDYLKLPIQTLKVGSLGTHKALGLAKAKGA-RFLLASTSEVYGDPLEHPQKETYW-GNVNPYDEAKRFAESMTMAYHRYHNLDTRILRIFNTYGPRMRLNDGRA--------LPAFVHSALNGTPMTVFGDG------SQTRSFCYVSDLVEGIWRLL----NSNETEPVNIGNPDEITILDFAKIVKELTGKDTEIIFKELPSDDPKVRKPDNTKAKERLGWEPTINRAEGLRKTISYFFK---------- 188583802 --KKRILVTGGAGFVGSHLCDRLVAQGHDVLAVDNFYTGDRSNLLSNPRFEVMRHDYVEVDEIYNLACPASPVHYQ---------RDPVQTTKTSVIGAINMLGLAKRLGIPILQ-ASTSEIYGDPDVHPQPEDSVSGPRACYDEGKRCAETLFFDYQRRHNVPIRVARIFNTYGPRMN--------RDDGRVVSNFVVQALRGEPITLYGDGRQTRAFCFVDDLVEGLMRLMNVEGTLD--------GAVNLGNPTEVTIAAIAERIIALTGSRSEIVYRPLPQDDPRQRCPDITRAKAMLHWSPKVDLDAGLTKTIAYF------------ 187918310 ----RVFLTGIAGFIGFHVAKRLADNGHEVLGVDVINDYYEPNLKYERFICLDILNKEQVLSLFSRYKFTHVCHLAAQAGIRDSIENPDSYISVNIVGFFNVLDACRIHHIEHFVYASTSAVYGINEKMPSDEDSITHPLNLYAASKKSNEVIAHAYSSSFNIPTTGLRFFTVYGP----------YGRPDMALYLFADGITKQTPINVFNNGNMARDFTYVDDVVDGVYSVLKKPAESDRNFNTAPYKIYNIGTGHAIKLMEFIDELETNLGNKACKNFLPMQKADVVESCCSISKLKNDFAYEALTSIKEGIKKFAHWYK----------- 136408759 ----KCLVTGGCGFIGSNIVDRLISDGHEVIVLDNKSADNAKFFVNEKAQYADISNYQLTNTFYAGV--DYVFHLAAESRIGPSIDNPLDTVQKNCLGTATVLQCARKWGVKKVMYSSTSSGYGNNP-HPNVETQPDDCLNPYSVTKIAGEKLCKMYTDLFGMKTVTFRYFNVYGDRA------PRTGQYSPVIGIFFRQRDAGEDLTIVGD------GEQRRDFVHVSDVVSANLAAAFNDVDDHYGQVYNVGSGKNYSVNEIASWISD------KQVHLPERVGEVRVSLANIDKIKNVFGWEPKVDLEEWVRS----------------- 18976774 ----KVLVTGGAGFIGSHLVDALMERGYRVRVLDDLSAGSLKNIEQWEFIKGDMRDPNIVKE--AVEDVDIVYHLAANPEVRISAQSPELLYETNVLITYNLLQAIKDSNVKYLIFTSSSTVYGDAKVIPTPEDGPLEPISVYGGAKLAAEALISGYAHIFEFHAVVFRLANIIGARANHGVIYDFINKLKKNPEV----------------LEILGDGTQRKSYLHVSDTVEGMLHIFEYFKKEGKYDVYNLGNEDWITVKEIAEIVSEEMGLNPEFRFGRGWKGDVKFMLLDITKAKS-TGWKPKMNSYEAVRRTVR-------------- 119898093 ----RVLVAGGAGFLGSHLCERLLREGGRVLCVDNFQTGCAANVAPLLQREGDITAPADVDQIYNLACPASPLHY---------REDPVRTVRTSVVGAMNLLELATRTGA-RILQASTSEIYGDPAEHPQQEVNPIGPRACYDEGKRCAETLFFDYHRQHELRTKVARIFNTYGPRMR--------PDDGRVISNFVVQALRGQPLTLYGDG------SQSRSFCYVDDLIDGLLRLM--NSADDFCGPVNLGNPVESTVRELADEVIRLTGSRSTLRYLPLPEDDPVRRRPDITLARAELGWRPTTALEDGLRRTIDYF------------ 136383942 ---KKILVTGGLGYIGSHTVLELIEQNYEVIIADDLSNSEERVLIKPEWYNVDLSDTNKCNDFLKNQKFDGIIHFAAFKAVGESVKNPLKYYRNNLFSLMNVMSYLKTHNHCAFIFSSSCTVYGQAENLPITENAEIKTASPYGNTKQICEEILKESTTAYDLRSIALRYFNPIGAHPSGKIGELPIGPQNLVPFITQSAAGIRGALNVYGNDYPTPDGSAIRDYIHVVDLAEAHIIALERLLNNNHMEIFNLGTGKGSSVFEVIKAFEKASGEK---------------------------------------------------------- 136125623 ---KRILVTGGAGFLGSYLCESLLNKKHSVICVDNFFTAQRSNIRHLLNDRHDITFYLEIDEIYNLACPASPIHYQH---------DPVQTVKTAVHGAINMLGLAKRVGAKIFQ-ASTSEVYGNPKVHPQDETYWIGSRSCYDEGKRCAETLFFDYHRQHKLNIKVARIFNTYGPRM--------HPEDGRVVSNFIVQALKGKELTIYGD------GSQTRSFCFVDDLINGFQVFM--NSDDNFHGPVNLGNPIEFTIIELAKKVLEITGSNSKIINLPLPEDDPIQRRPDINLAKQKLGWEPRVTLDEGLKQTITYFKE---------- 120402376 ----RLLVTGGAGFIGANFVRTTVQQDVQVTVLDSLTYAGSRESLRGVDVEGDITDAELVGKLVA--DSDAVVHFAAETHVDNALADPEPFVHSNVLGTFTVLEAVRHASVTRLHHISTDEVYGDDDPARFTDATPYNPSSPYSSTKAAADMLVRAWVRSYRVAATISNCSNNYGP---------YQHVEKFIPRQITNVLTGRRP-------ELYGTGANVRDWIHVDDHNSAVWRILH---DGTVGRTYLIGADGERDNLSVMRTILRLMGRPEDFDHVTDRVGHDLRYAIDPTPLRDELGWRPETDFESGLRDTIDWYRDNESW------ 54293742 MKNQNLLVTGAAGFIGSNFVKFMNDKEIKIISLDKLTYAGNKANLSEMAEQGNILDKSLVLSLLREYEIDTLVHFAAESHVDNSIDNPQIFLETNVIGTFTLLEAARIYWKCRFHHVSTDEVYGEREEPAFTEKNSYQPNSPYSASKASSDHIVRAYYHTYGLPVTTSNCSNNYGPNQ---------HKEKLIPKVVYACVNQLP-------ITVYGNGSNIRDWLYVMDHCEAIDTIIQKGVL---GEVYNIGGNNELDNLSLIKMICQMMDDLKPITFVEDRKGHDKRYAIDNSKIQKELGWVPQGDFVHKLSNTVQYYL----------- 299756494 ---------------------------YKVISVDNHHNSKREALKRIDAYKCDLAQADQLRAVFQRYGIWGVIHIAARKAVGESSEVPLDYYHNNVAASVTLLRVMDEFDCHRIVYSSSATVYGTPPTIPIPEGTRLQADSPYGRTKIMVEHILEDLCRSDKWRALSLRYFNPAGAHPSGHIGEDPLGRPGNLLPLLAQMAIGRVKDKVFGNDYPTPDGTCVRDYLHVLDLAGGHVLALDNPKSEVFFKSYNLGRGKGFSVLQIVEAMRKATGFDYRCEIVSRRRGDVPDLTADPSLAERELGFRAPQDLETMCRDLWNWQTKNPYGYEE--- 289770655 MSDMRVLVTGSAGFIGSHVVEALREHGHEPVGYD---------VREDPG--ADVRDPAALARALAGV--DAVCHQAAMVGLGNGFADAAEYVSRNDLGTAVLLAATAGAGVRRLVLAGSMVVYGEGRYTCVGEDAPADPRNVYATTKLAQEHLAAAWARTTGATAVSLRYHNVYGPRM------PRDTPYAGVASFFRSALARGEAPRVF------EDGRQRRDFVHVRDVAAANVAALEARPPEGALSAYNTGSGEPHTVGEMAVALSAAHGGPEPVVTGEYRLGDVRHITADSARLRTDLGWRPRVGFAEGMREFAR-------------- 262198380 -EPKRWLITGVAGFIGSALLERLLGLGQDVVGIDNFATGHQHNIARFTFVEGSITDGDACKR--ACDGVDYVLHQAALGSVPRSINEPRASHHANVNGFLELMLSARDAGVKRAVYASSSSVYGDHPGLPKVEERIGRQLSPYAITKRVDELYAGVIQDCYGTEIIGLRYFNVFGRRQDPL------GAYAAVIPRWVDALLGGRGCQIFGD------GSNSRDFCYVDNAVQANILAATTGGPEVTNTVYNVGCGGRTTLVELFEYIRDGLAADAQPEFTEPRAGDVLHSQANIDKIREKLGYEHTHEIREGLRETVHWF------------ 299128766 ---KTWLITGVAGFIGSNLLETLLKLGQRVVGLDNFATGHQHNLDEFHFIQGDIRILDDCRRAMTFIPVDYVLHQAALGSVPRSIEDPITTNSANITGFLNMLVAARDARVQSFTYAASSSTYGDHPGLPKVEEVIGKPLSPYAVTKYVNELYADVFARTYGFNAIGLRYFNVFGPRQDP-----DGAYAAVIPKWAAAMIQGKD-------VFINGDGETSRDFCFIENSVQANLLAATSADPAALNEVYNVAVSGRTTLNTLFDELKALAGNGISYVYRDFRAGDVRHSQADIGKAKKLLGYMPSHEIKEGISQSIPWYIRF--------- 143233042 ----KILVTGGAGFIGSHLVEELLSNENEILIFDNCLTGKKENLENFTFVNDDFGSENSLEEI-EKFDPDICFHLAAQSSVVVSVENPALDFEHNILQPIKLIQVLLKSNCKKLVFTSSGGTYGEPTVIPTAEEDYDEPESPYGVAKKRLNELIKIMLKNSSMKYSILNLSNVYGPRQD------PHGEAGVVSIFANKYLKNEEPT-------IYGDGEQTRDYIYVKDVVS----ALIKASMIEENQFLNIGTGIETSVNDLANSMKIQFKSEINPIYKPAREGELNRSVLNNTKAKKELNWKPEYSLDDGMKQVFNW------------- 301165726 ----KYLITGGCGFLGSNLAAEVLKRGDELVIFDNLYRHGTEKNLEWKFYRNDIRNRDDVQFCIKSEKPDVIFHVAGQVAMTTSLERPRFDFDINVGGTFNLLEAVRDHCPNAIVYSSTNKVYGDLEHLEYHESDTLDFTTPYGCSKGAADQYMKDWAKCFGLKTVVFRHSSIFGGRQFSTF-DQGWIGWFVSRAVETQRGNLKEPFTIQGNG------KQVRDVLFSEDIVKCYWAAVENIEKKGQSFNIGGGMDNSLSILELFAHLENEMGIKLDYKELAPRESDQKMFVADIAKAKEYFGWEPKVKTAEGLRKMIEW------------- 222631300 LKRKRVLVTGGAGFVGSHLVDRLVERGDSVIVVDNLFTGRKENVVHHEMIRHDVVEPIEVDQIYHLACPASPVHYKW--------------HKTNVVGTLNMLGLAKRINA-RFLLTSTSEVYGDPLQHPQVETYWIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRM--------CIDDGRVVSNFVAQALRKEPLTVYGDGKQT------RSFQYVSDLVEGLMRLME----GEHVGPFNLGNPGEFTMLELAKVVQDTIDPNAKIEFRPNTQDDPHKRKPDIGRAKELLGWEPKIPLHKGL------------------- 218130933 ---KKVLVSGGAGFIGSHLCTRLIRDGHKVICLDNLFTGSEKNIAHFVHHDVEFPYEAEVDEIYNLACPASPVHYQH---------DAIKTIKTSVLGAINMLGLAKRTNA-KIMQASTSEIYGDPVVHPQVESYWIGIRSCYDEGKRCAETLFMDYHRQNGIRIKIIRIFNTYGPRM--------LPDDGRVVSNFVVQALQDEDITIYGS------GTQTRSFQYVDDLIEGMVRMMDTEDE--FIGPVNLGNPHEFSILELAEKVIKLTGSKSKLIFKPLPHDDPKQRQPDITLAKSKLKWQPTIELEEGLCRIIEYFSKY--------- 159041127 ----RVIVTGGAGFIGSHLVDRLVRDGYEVTVLDNFSSGDVSNLKESGRVNVVKADLKYWGDWVSEFKGAAVFHLAANPEVRVSSVEPRSHFDNNLVATFNVAEAARVSDVKYIVFASSSTVYGDAKVLPTPEDHPIIPISVYGATKAAGEIILDTYSRLYGIRVVNLRYANIVGPRSRHGVIYDFYIKLTRNPR----------------ELEVLGDGSQRKSYLYVDDAVDASLFLFNKLINGSQEQAFNVGNKDWVTVMDIARIVIEELGLRNNIVTRAMTPGDVKYMLLDVDKLSK-LGWSPRYSSADAVRLTIRWLKGN--------- 159125667 -----VLVTGGLGFIGSHTCLELLKAGYNVLIVDDLSNSYRHHCPKATLYDVDYRDTSAMRKLLDAYQRDGVIHFAAFKQVEESIHTPLKYYRNNINGLVDLLVLLDQYRITTFIFSSSANVYGTEHRPLLHEDACTHQTNPYGRTKLFGEAILADLARANPAWTIVARYFNPIGCDASGLLGEDPKHPSNLVPALVEILTGRRTELLIYGSDWETPDGTPVRDFIHVTDVARGHTAALAAARDRDGFRTFNLGTGRGHSVLELVQTLETVSGRTIPRRVVGRRAGDIGSCVASAERAAAELGWTTAKSLTNACEDLW--------------- 142220458 ---KKVIITGGLGFIGSNLIDLLIKKNFFVINVDKITYSSNFYNTKYKFIKCDLNNKSKLSKIIFKYKPVCIFNLAAETHVDRSIDSPESFIKSNIVGVFNLLEIFRKFYKTRLIHISTDEVYGDILKGRSNESFPFRPSSPYAASKAASDHLISSYVRTFKIPAIVTNCSNNYGP--------KQHPEKLIPKLIYNILHNKSLP--------IYGNGKNSREWIYVQDHCEAL---LKVFQKGKIGEFYNIGSNKNLNNLEKIAKKKIKIGKNVRIKFVKDRPGHDFRYALSSKKILTKLDWKTKVNLKQGLEKTFLWYLKNYRYYKS--- 15077456 -KGQRAVVTGGAGFIGSHLCERLIERGLSVTCVDNLSTGRIANLDALADEERFTLLRADVTEPFSVEGPVHVVHLASPASPLDYLALPLETLRVGSAGTENALRLAVAHGA-RFVVASTSEIYGDPAEHPQSESYWIGPRSVYDEAKRFTEALTAAYARTLGADTGIARLFNSYGPRM-------RRDDGRVVPTFIDQALAGLP-------LTINGSGAQTRSLCYVEDTVRGLMALMDSSF----PGPVNIGATGEMTVRQIAEAIAALAGVELRTEFRAPAEDEPGRRCPDIETARTKLGWKPEVPLAEGLKRTW-WESTYPRDMAHD-- 288930747 -----ILLTGGAGFIGSHLADKLVEMGERVRIIDNLSSGKLEYVKNAEFIKGDLRNPKDVREALRGV--EEVWHIAANPEVRLGEKDPKTIYENNLLATYILLEEMRKAGIERIIFTSTSTVYGEAEVIPTPEDYKTIPISIYGATKLGCEALISSYCHTFDMQAWIYRFANVIGKRSTHGVIYDFIQKLKKNP----------------NELEILGDGNQTKSYIYISDCVEGIIYGLKADEQLN---IFNLGNEDWISVKRIAEIVCEELGVSPKFKFTGGKKGDVPLMLLSIEKIKK-LGWKPKYSSEEAVRMA---------------- 229819677 ---RTAFVTGGAGFIGLHVVRQLLEKDYKVRIFDNMFRGDRDAVARGDVELID-QDVRYGGAVHAAMKGAHVVHLAAVS-INKSEADPYESIDINTVGAHNVIAAAADHGVERFVLASSASVYGDPKKLPMHEDDELSPLTPYCISKRTGEDLLAYYQRRAGLSWIALRFFNVYGPGQKT------TAYYTSVINHFVNRIKNGEPPVIDGK------GEQSMDFIHVHDIARAVVLAME---SEQSNVPVNVGTGIDTTVADLARILIDAVGADVEPIFNPR---DVLRRAADTTRAKEVLGFVPEIAVEDGMTELIR-------------- 135088558 ---------------------------------------------------GDVRDTALLEEALQAHQINAVVHFAGLKAVGESVQAPLSYYENNVVGTVSLLRAMTTAGLNSLVFSSSATVYGDPAYVPIDESHPRSATNPYGQTKLHIEDMLQDLAHSDPWRIACLRYFNPVGGHESGLIGDDPTGPSNLMPYVARVAGGSLPLLKVFGDDYDTPDGTGVRDYIHVMDLAEGHRAALEFLEAEEGWHAFNLGTGEGYSVVDMIRAFEAASGQHIPYEISPRRPGDIAQCYADPKKAEQLLNWRALRGVEAMCKSAWLFQKNSP-------- 136320025 ------------------------ERGYDVLIIDSLVNSNIKTLEQIFFKKGDLRNTLWLDKVFEEFPIEMVIHFAGLKAVEESVILPLKYWDVNLNSTLSLLSVMEIKNCNTIVFSSSATIYKPLLNKRLNENSDLDPLNAYGNSKLAIEKILRDLFESNKWKIASLRYFNPVGSHDSALIGENPNGKANNFPKLISVLDKKIDKLSIFGNDWPTNDGTCVRDYIHVMDLADSHVAALKFISNNSQMIYFNIGTGKGTSVLELINEFKKVNNCELPYQFAERRKGDAPYSVADNSKALSLLDWKPKKTLQEMCEDTWRWFEK---------- 134937099 MKS-SIIVTGGAGFIGSEVIENLLKLNFNVVNIDKITYAHFSKFENYSFYKVDILDRKKINYIFLKYKPKYVINFAAESHVDNSINKPKDFINSNIIGTYNLLAETRNLYLKKFVQVSTDEVYGDNVNKKLSEGDPYEPSSPYSASKASADHLVRAWARTYGISYKITCSANNFGP----------YQNDEKLIPTIIRNLIYGKKVPLYGNG------KQKRNWIFVKDNAKAIIKVA---LKAKSNSTYNIGTKNDFSNIFLVKKICKILKIKSQIKYVKDRPGHDIKYKINSNKIRKELKWKPEYSFEKSIFQTVVWYLN---------- 13477054 ----KCLVLGGGGFIGSNICRRLSAEGLSVTAFGRRK-GIAPLPREIAWFEGDFRDNLAVTKALQSH--DTVIHLVHSTWPSTADADMAADIRDSVIPSVELLDACVRSGVKRIYFSSGGTVYGEVDEIPIREDSPTNPVGAYGVSKLMIEHYVRLYERKYGLNSFIVRLANPFGP-------------WQVEAHNQGLVAFAGHLIQSDRQVTVYGDGSARRDYVYVEDVANFVARLINY--NGAKRTFNVGGGGNGQSILDVIHAIETSLGKRAMIKREPSRPFDVPSNVLSIERAEKELGWRPATSFPDGIDYTLSWQREFY-------- 91203036 ------LITGGAGFIGSHLCDYFIEKGHEVLCIDNLLTGSPDNISHLINNRFRFIKHNVSDYIYVDGRIDNVLHFASPASPFDYLNYPIQTLKVGSLGTLNSLGLAKAKGA-RFLLASTSETYGDPQVHPQREDYWVGPRGVYDEAKRFAEAMTMAYHRYHNMDTKIVRIFNTYGP-------KMRIKDGRALPNFMCQAIRGED-------ITVYGNGSQTRSFCFISDLVEGIYRLLI----SGENNPVNIGNPEEITILQLAEMILSLTNSKSKIVFKELPVDDPKVRQPDISKAMSLLHWEPRVSRDIGLQKTLKYFQD---------- 23016895 MNPSVALVTGAAGFLGSHTIEALLAQGHRVRGLD-LPGARFEDSLGASLDKRDLLDIPADDPIFAGV--DVIYHCAGIADHVPSLQVPERYMQANVMAVVRVLEAARHHKVRKVINASSAAVYGIAA-APTAEDHPINPVNPYGLTKWMAEEACAHWSKVFGVATLSFRIFNCYGPRATASGPIGFF----------------LKKAAAGEALTVTGDGTQERDFIHVSDVVA---AFLAGAASEKSSAAYNLGSGRP----ETVNRLAELVGGA--ITYIPARPGEPKVILADTTRIRAELGWEPKVSLAAGIAKTV--------------- 291485527 ----KILVTGAAGFIGSHLCEELLDKKHNVIGIDDFSLKLKNLKNLLQEKRFTFIKENLLTADLAPEGVDVIFHLAAIPGVRSSWGNHFHYAAHNIQALQRLLEACREHSIQTFVFASTSSVYGE-KQGKVSENTSLSPLSPYGVTKLTGEKLCHVYQQSFGIPIVILRFFTVYGPRQRP----------DMAFHRLIKQHLQQKPLTIFGDGQ------QSRDFTYIGDCVKGITAVLGKPRLIGETVNI--GGAERASVIKVVSLIEDISGRKATLHFSDKIAGEPRNTWADISKAKQLLHYNPATSLKDGL------------------- 255011097 ----KYLITGGCGFIGSNLAAEVLKRGEELFVLDNLFRYGSGSNLEWLRTKGDFRNTNDVETVIKEVHPDYIFHLAGQVAMTTSISNPRLDYETNALGTFNLLDAVRKYSPDVILYSSTNKVYGDFEYLHFREESTLDFHSPYGCSKGCADQYLLDFHRIYGLKTIVFRHSSMYGSNQ-------HATYDQGWIGWFCQKALEIKNHTLQEPFTISGTGKQVRDVLHGEDVVNLYFTAKDIDKAYGEVFNIGGGIENSLSLLELFDLLENKLDIEMTYTQLPWRESDQKVFVADIEKATRILGWLPKVSKEAGIELMINW------------- 147818911 ----RIVVTGGAGFVGSHLVDKLIARGDDVIVIDNFFTGRKENVMHHFNPRFELIRHDVVEPIL--LEVDQIYHLACPASPVHYKYNP-----TNVMGTLNMLGLAKRIGA-RFLLTSTSEVYGDPLEHPQKETYWIGVRSCYDEGKRTAETLTMDYHRGAEVEVRIARIFNTYGPRM--------CIDDGRVVSNFVAQAIRRQPLTVYGDGKQT------RSFQYVSDLVDGLVALME----GEHVGPFNLGNPGEFTMLELAEVVKETIDSSATIEFKPNTADDPHKRKPDISRAKELLNWEPKISLREGLPLMV---SDFQNRILNEDE 137774149 ---KKALVTGGAGFIGSNLVDLLLANDYEVMVVDNESNSHDEWNSNAKNYQFDLSSPDNLGTLTEMCKCDCIFHLASDVSIPYCIENPDKAYLNNVSSLCHVLEAARVAKVNKVVFSSTAAIYGLTDKICL-ETDTPDPLNPYSVSKLSGEYLMKMYADLYNVKTVTLRYFNVYGPRQ---------PKTGQYAPVMGIFLDQRKAGK---QLTVVGDGRQTRDFVHVSDIASANLTVAEKDVET-YGQVYNVGTGKATSIKKIAEMISS------DIVHIPPRPAEARQSLANVSKMKRVYGWEASVKL----------------------- 190890456 ---KKALVTGADGFIASHLVETLVRSGVEVCALCQYNSFSSWGWLDQSEYRGDVRDPAQMRSVAKGV--DTIFHLAALIAIPYSYQAPSSYIDTNVHGTLNVLQGALDAGVGRVIQTSTSEVYGTARFVPISESHPLQAQSPYSASKIGADAIAYSYHSSFDLPVTIARPFNTYGPRQS---------ARAVIPTVISQLLSGRTTLKL-------GALSPTRDFNFVQDTCDGFLALAACDEAVGQTVNI--GSGSEISIGDTVRLIADIIGVSVEIECDEQRLSEVERLCCDNSLIKSLTGFSPRYSLEDGLRATIEW-LRQPDNLTR--- 189203413 ---KNILITGGAGFIACWFVRHLVLTHYNVVSFDKLNTRILDKHANFTFEQGDITSPTDIKHVLRKHKIDTIFHFAAQSHVDLSFGNSYEFTNTNVYGTHVLLERAREYGITRFIHISTDEVYGDVPVGDLSETSILAPTNPYSASKAAAEMMVSAYRSSFKLPLITVRANNVYGPH--------QFPEKIIPKFIMLLQRKQKLLLHGDG--------SPTRRYIYAGDIVD----ALDTILHKGDGQIYNIASKDEISNTDICNRLLDIFDIPHWVEHTEDRPFNDQRYATDGSKL-TALGWQPKTSFEEGLKITVDWYLGNEIWTKEEHE 302885264 -----ILVTGGAGFLGRNLVQLLLDHNHEVVVIDSLWTGSRRGNKKLRYIQSDVRDPAGVDQIYHLACPASPVHFETRPIDILQTCFNGAT---NVLDYAVKHNA-------RILLTSTSEVYGDSQISCQSEDNCFGPRACYDEGKRVMEALAYGYHLEHGLEVRIARIFNAYGPFMS--------EDDGRAVPNFIMSALKGEPMTIFGDGHSTRCFQYAKDCVEG----------LEALMNSDYSSPVNIGSDCEIEISEIAETIAQVVASKVPINLLPAREDDPINRKPDTTLAETVLGWRPKVSLQEGISTTVDWFIEREREL----- 302669913 ---RTYLVTGGAGFIGSNYIHYMYDNEIRIINVDVLTYAGNEDRDNYTFVKADICDRDAINKIFEENDIDRVVHFAAESHVDRSIVNPEVFVQTNVLGTATMLNAAKKAWGKKFLHVSTDEVYGDDPNAYFYETTPYSPHSPYSASKASSDMLVKAYMDTYKFPANITNCSNNYGP--------YQFPEKLIPLIINNALAGKDLP--------VYGDGKNVRDWLFVEDHAKAIDMVQEKGRL---FETYNVGGHNEKQNIEIVKTIIDVLREELDIKYVTDRKGHDRRYAIAPDKIKAEIGWEPETMFNEGIRKTIKWYFEHHDWME---- 142182474 ------LITGGAGFLGSHLVDRLMEAGEEVICLDNYFTGRKANIQWIGHPRFELIRHDVTEPL--RIEVDRIWHLACPASPIHYQYNPIKTSKTSFLGTYNMLGLARRVGA-RLLFASTSEVYGDPEVHPQPESNTIGLRSCYDEGKRIAETLCFDYQRMHGTEIRVMRIFNTYGPRM--------LPDDGRVVSNFIVQALRGEPLTLYGDG------SQTRSFCYVDDLIEGMIRLM----NGDHCGPINIGNPGEFTIRQLAELVRAKVNPELPLIEKTLPQDDPMQRQPVIDLAQRELGWQPTVPLEQGLDATINWFR----------- 254383462 -------VTGAEGFIGSHLTEALVARGHRVRAMAQYSYGWLETLAPDVLDQVDVRDPGSVRGLLEGAESAY--HLAALIAIPYSYRAPHSYVDTNVTGTLNVLEAVRALGTPRLVHTSTSETYGTAQTVPITEDHPINTQSPYAASKAGGDRLADSYHASFGTPVVTLRPFNTFGPRQS----------MRAVIPTVIGQVAAGERVITLGDLRPT------RDFSYVKDTARAFLAAGTAPAEQVVGRTFNSGTGGEISVGDLVQLIGKVMDAPIEVREDSERASEVMRLVADASRLTAATGWQPAHTLEQGLAHTVEFFRD---------- 145225583 ----RLLVTGGAGFIGANFVLATVRDDVRVTVLDSLTYAGSRESLAGVDVQGDITDADLVGRLVG--ESDAVVHFAAETHVDNALADPEPFVRSNIVGTFTILEAVRHSRPVRLHHVSTDEVYGDGDPAKFTESTPYNPSSPYSSTKAASDMLVRAWVRSYRLTATLSNCSNNYGP---------FQHVEKFIPRQITNILTGRRP-KLYGTGA------NVRDWIHVEDHNSAVWKILTH---GAVGRTYLIGADGECDNLTVMRTILRLMDRPDDFDHVTDRAGHDLRYAIDATALRDELGWRPAHSFEAGLRDTIDWYSANESW------ 237737859 ---KIYLVTGAAGFIGVNFVKYMLEKDIQIIVLDKLTYAGNKDSLKDEFVKGDIGNKELVENIFSRYDIDYVVNFAAESHVDRSILGPRVFLETNILGTQNLLEVSKDSWIIKYIQISTDEVYGTYGERFFTEETPLDPRSPYSSSKASGDMIVRAYAETYKLPINITRCSNNYGP--------YQFPEKLIPLIIKNILEG--KSLPVYGDGSNVRDWLYVRDHNKAVDMVINSGRLGEVYNIGGFNEEKNIN-----IVKLTIDTIAKIMKEEPEITYVQDRLGHDARYAIDPTKIATELGWYPETPFDKGIEKTIRWYLDNQEWVEN--- 86131129 ---KRVLITGAAGFLGSHLCDRFIKEGFHVIGMDNLITGSLSNIEHFKLEHFEFHHHDVTTFVHVPGELDYILHFASPASPIDYLKIPIQTLKVGSLGTHNLLGLAKVKNA-RILIASTSEVYGDPLVHPQDEENTIGPRGVYDEAKRFQESITMAYHRFHGLETRIVRIFNTYGPRM-------RLNDGRVIPAFMGQALRGED-LTVFGDGLQT------RSFCYVDDQVEGIYRLLM----SDYVLPVNIGNPDEITIKDFAEEIIKLTGTDQKVIYKDLPVDDPMQRKPDITKAKEILDWTATVGREEGMKKTFEYFKNLTQEYKSEHK 91789836 ------LVTGVAGFIGSNLLEALLKLGQRVVGLDNFATGYPEQWANFHFIEGDIRNLPDCQRAMSWSPVDYVLHQAALGSVPRSLADPITTNAANITGFLNMLVAARDAGVKSFTYAASSSTYGDHPALPKVEHTIGKPLSPYAVTKYVNELYAEVFARCYGFNTIGLRYFNVFGARQ-----NPSGAYAAVIPKWTAAMMKGED-------VFINGDGETSRDFCYVANAVQANVSDLPLPLEEGGGEGSPTNQVYNVAVGDRTNLIARGVPVSTEPKYRDFRAGDVRNSLADIGKAHRMLGYAPTHRIDQGLQLAIPWYVQN--------- 284929704 ----KILVTGGAGFIGSHLIDRLMEKGHDILCLDNFYTGNKNNVLKWVGIRHDITEPIRLE-------VDQIYHLACPASPIHYQHNPVKTIKTNVLGTLNMLGLAKRVSA-RILLASTSEVYGDPDIHPQHEENCTGLRACYDEGKRVAETLAFEYHREHQTDIRVARIFNTYGPRMSENDGRVVSNLIVQALQNKFLTI--------------YGDGTQTRSFCYISDMAE----GLIKLMNGNYIGPINLGNPDEYTILELATIIHKMTKSNAQLIYKELPKDDPKKRQPDITKAKFHLDWQPQFSLERGLELTIQ-------------- 256669908 -----VVVTGGSGFIGRAVVRAFRERGYPVTVVDRVRYETTD--EGVRVVVGDLRDAETREAAVE-EGVGGIVHLAALTSVLKSVELPRDTYAENVAVTQELLELCRVREVPRFILASTNAVIGDVGTNTITVDLPTKPLTPYGATKAACEMLLSGYAGAYSITTCALRFTNVYGPGMS--------HKDSFVPRMMRAALNNE-------GVRVYGTGEQRRDLVHVDDVVRAIALAYE----SGYTGRAIVGAGHSVSVLEMVETVRAVTGAELPVEHVPAPAGEMPAVVVDVSASAETIGYRPEISLADGLATAWKYFS----------- 237796159 ----NILVTGGAGFIGRWVVKILLLEGHEVVALDNLSNGRLENIYEFVYEKGDIKDDALLDKLFKKNKFDIVYHLGASINVQESIDDPKTTFYNDTVGTFNILEKCKTQMPCKVVFMSTCMVYDKAPEVGIDEEHRVKPISPYGGAKIAAENMVLSYYNAYKLPTVVIRPFNTYGP------FQKTNGEGGVVAIFINNYLENK-------NLNIYGTGEQTRDLLYVKDCANFVVEAGYKDSVNG--QIVNAGTGRDIAINELAQIISS-GKVKINHVPHIHPQSEIMKLKCNYKKAKELMRWEPNYTLEEGIEETKQW-IKNHKNL----- 304362292 ----HVLITGGAGFIGANFVHQLVRHDATVTVLDKLTYAGNKGSLADTLVVGDIADADVVDPLVA--QADVVVHFAAESHNDNSLRDPSPFIQTNLVGTFTLLEAVRRHKV-RFHHISTDEVYGDDDPAKFEPTTPYNPSSPYSSSKAGSDLLVRAWVRSFGVEATISNCSNNYGP----------YQHIEKFIPRQITNLIDGVRPKLYG------AGENVRDWIHVLDHNDAVWDIIEKGRI---GETYLIGANGEKNNKEVVELILELMGHAPDYEHVADRPGHDMRYAIDNSKLVEELGWAPKTDFRSGLQATIDWYRDNEAW------ 227204121 LKRKRVVVTGGAGFVGSHLVDRLMARGDNVIVVDNFFTGRKENVMHHEMIRHDVVEPILLE-------VDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGA-RFLLTSTSEVYGDPLQHPQVETYWIGVRSCYDEGKRTAETLTMDYHRGANVEVRIARIFNTYGPRM--------CIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQFVSDLVEG--LMRLMEGEHVGPFNLGNPGEFTMLELAKWMVGEQV--VQETIDPNAKIEFRPNTEDDPHKRKPDITKAKELLGWEPKVALRQGLPLMVKDFRQ--RVFGDQKQ 302532564 ----HAVVTGGAGFVGSHLCSALLAAGTAVTCVDDFSTGRPENISELVDDPGFVLLETNVSRPFSVGDPDLVLHLASPASPADYLRLPLHTLEAGSLGTRNALELAHRSGA-RFVLASTSEVYGDPQEHPQNERYWVGPRSVYDEAKRFGEALSSAHASALGTDVCIVRLFNTYGPRMRG-------HDGRAVPTFIRQALAGTP-------LTVTGDGLQTRSLCYVEDTVAGILAAADH----GMRGPVNLGNPDELTMLELARWIVELTGSSSEITFIERPTDDPTVRCPDITLARDKLQWEPRVAAEDGLRRTIAWFRA---------- 212224987 ----KVLVTGGAGFIGSHLVDRLMELGYEVRVLDDLSAGSLDNLKRWLKHEGDMRNREIVEK--AVEDVEVVFHLAANPEVRIGSQSPELLYETNVVITYNLLEAMRKSGVKYLVFTSSSTVYGDAEVIPTPEDAPLEPISVYGGAKLAAEALISGYAHTFDFKALVFRLANIIGERSNHGVIYDFINKLRKNP----------------NELEILGDGTQRKSYLHVSDTVDGMLHIFEHFRKEGKTYDYNLGNDDWITVREIAEIVSEEMGLNPAFRFTGGWKGDVKFMRLSIEKAKK-TGWEPKLNSYEAVRRTVR-------------- 283778335 -----VLITGGAGFIGSHLVELLLAKTHRLVVVDNFDPYYSPIVKRNIAAHLDCLDAVALKKLLEEHAVRSIVHLAASPGVPASLRDPRQCLRNNIEATLVLLELARQHRVEQFLFASSSTVYGSGAAAPFAEDAMGNPVSPYGVSKRAAEQLGFNYHHLYQIPFVSLRFFNAYGIRIRPEL----------ALAAFTRAILRGEPLKLFGDGSAL------RDFTHVTDIAQGLLQTLEHFATAVAGEAFNLGSCAPITVRQLIDMIEAAAGRRALIEQLPSRTEDMLRTHASLEKSARVLGYQPTRQIEIEVPRYVAWALQ---------- 89889770 --KKRVLITGAAGFLGSHLCDRFIKEGYRVVAMDNLITGDLKNIEHFPLEEFEFYHTDVSNYVHVAGELDYILHFASPASPIDYLKIPIQTLKVGSLGTHNLLGLAKAKNA-RILIASTSEIYGDPLVHPQSEDNTIGPRGVYDEAKRFQESITMAYHRFHGLETRIVRIFNTYGPRM-------RLNDGRVIPAFMGQALRGED-ITVFGDGKQT------RSFCYVDDQVEGIYRLLL----SDYSDPVNIGNPHEITIGDFAKEIIELTGTDQKVVYKELPQDDPLKRKPDISLAKELLNWEPRVNREEGMRITFEYFK----------- 28396140 --GKTVLITGGCGFIGSHFVEACHVLGMTVYVLDNLSSGKNDCSSSLVYTIGDIRDKAIFSRL--PQKIDFVIHLAAAVSVAESVTNPQKYMLTNVEGSRNVFQYAVDAKASAVLSASTAAYYGDCGKSAITEAFPYGGISPYAESKMEMERLGAEFQKTSRCRFIFCRFFNVYGPRQD------PSSPYTGVMSIFMDRCAARKPITIFGTGEQTRDFVFIKDLIVAADAVQQNDQRSAYTGEGVYPTVFNIGSGISISVNELAELAKIVSGREVEIVHGEPRSGDILHSLSDCTRIRNATGWSASTTLRVGMSETWGW------------- 142083783 MSNNKILVTGVAGFLGSHLSEQLSGLGHKVIGIDNMIGGYKDNIPNGEFHNIDCCDFEKIKEIMKGVQI--VYHCAATAHEGLSVFSPYEITKNNYLASVSIFSAAVNEKVKRIIFCSSMARYGS-QEYPFTETMKPKPVDPYAISKVAAEQVLINLCELNKIEWVIAVPHNIIGPRQ------KYDDPFRNVVSIMINRMMQGKAPIIYGDGEQTRC------FSYIDDCLSCLIPMLD--EKKLNKQIINIGPDEEFVTINKVAELCSVTGINLPPIYKKDRPQEVKHAICSADKARQLLNYKTKVSLKEGIQKTFDYIKK---------- 142153714 ---KTVVVTGARGYVGGQTAIYFHDQGWNVIGVD---CNHPPKHLADYFSKFVTSRFETEKSLHMIEQPDAIVHCGGTSLVGPSVKDPALYYENNFVATKQLIDFLIHTKIKRFIFSSSAAVYGEPVFTPCSEEDPPLPLSPYGESKLMVEMLLKSYSLAYDFDYTALRYFNVCGA--DNQGRHGQEKNATHIIARVLEAIRDNKEFTLYGNNYPTPDGTCIRDYVHVNDIARAHFLAAENSV----PGVYNLGTGSGYSNREIVDTAVEVTGKANKVTNGPIREGDPAMLTCTAENFEKITGWTPAHSLNSIIATAWKWYTR---------- 302559541 --TRTAVVAGGAGFVGSHLCERLLTDGWRVVCVDNFVTGSAGNVAHLAGEEADVCEGAPPVA----GGVDAVLNLASPASPVDYLALPLETLRVGSEGTRHLLDLARAKGA-RFVLASTSETYGDPLVHPQPESYWVGPRSVYDEAKRYAEAITMAYRRSFGVDTGIVRIFNTYGPRMRA-------HDGRAVPTFIRQALAHQP-------ITVAGDGSQTRSLCYVSDLVDGLVRMTEARLA----GPVNLGDQEEVTVLRLAEWIRELTASPSGIVHVPRPVDDPSVRRPDTTRAREELGWAPEFSTERGLIRTIDWFR----------- 118467903 ----RLLVTGGAGFIGANFVHLALARTSSITVLDALTYAGSRESLRIRLVQGDITDAALVGDLVA--ESDAVVHFAAETHVDNALADPEPFLHSNVVGTYTILEAVRRHNV-RLHHVSTDEVYGDDNPARFNETTPYNPSSPYSSTKAAADLLVRAWVRSYGVRATISNCSNNYGP---------YQHVEKFIPRQITNVLTGRRPKL-------YGAGANVRDWIHVDDHNSAVWRIL---TDGTIGRTYLIGAECERNNLTVMRTILKLMGRDPDFDHVTDRAGHDLRYAIDPSTLQDELGWAPKTDFEAGLTDTIDWYRANESW------ 163852968 ----RVLVTGADGFIGSHLAEALARRGAQVTALYNSFDSHPEVRTRLDLVRGDVRDAAFVGRLVPGH--DVIFHLAALIAIPHSYAAPQAYVDTNVTGTLNVLEAARQHGTGRVVHTSTSEVYGTALTMPIREDHPLQGQSPYSASKIGADMMAEAFARSFGTPVVTLRPFNTYGPRQSERAIIPTVIRQALDPTCKTVTVG---------------DTSPVRDLTYVADTAAAFLAAGAAPDVEYGS-AYNAGSQRQTSIGDLIRLVLDLTGCDKPVVQDTRRMSEVRALLADRARFEAASGWRAQISLREGLEQTIAWWRE---------- 300768388 ----RLLVTGGAGFIGANFVTYVLQHHVEVTILDKLTYAGNRANIRVKLVIGDICDGPLVAKLVR--QTDMIVHFAAESHNDNSLIDPWPFIRTNIVGTYTLIQAASKYH-KRFHHVSTDEVYGDGSNEKFTPTSPYQPSSPYSASKASSDMLVRAWIRSFGLQATISNCSNNYGP---------YQHIEKFIPRQITNILSGRRPKL-------YGSGSNIRDWIHVNDHSAAIWDIL---TKGKIGETYFIGVNGEMSNKAVLEMILKLMKQPQNYDIVKDRPGHDLRYAIDASKIRDELGWQSLYDFQSGLQETINWYVDHQDW------ 134544333 --GKKIFITGVAGFLGSHLSETLANLGHEVVGVDNMIGGYEDNVPKNIFHKVDCCDYKKIKTLMN--GIDVVYHCAATAHEGLSVFSPYEITKNNYLASVSIFSAAVNEKVDRIIFCSSMARYG-GQATPFKEDMKPAPVDPYAISKVAAEEVLKNLCELNNIEYVIAVPHNIIGPRQ------KYDDPFRNVVSIMINRMLQGKAPIIYGDGKQTRCFSYIDDCLSCLIPMLSQKNLNKQIINIGPDEEF-------VTINKIAEICSNITGVNLQPIHKKDRPQEVKHATCSADKARSLLNYKTTVSLKEGITKTFD-YIKN--------- 121611760 ---QNILITGAEGFIGSHLVEALVAQGRRVRALDTLAPATRARIDLVM---GDVRDAASVRQAMQGC--DAVMHLAALVAIPYSYTAPSSYVDTNVTGTLHVLQAARDLELRKVVHTSTSEVYGSAQIIPIPETHPLLAQSPYAASKIGADQMAYSYYCAFGTPVATARPFNTYGPRQSL---------RAVLPCIMLQALSAAPRLRL-------GALAPTRDFNFVTDTVAGLIAILD--SDKAVGETIHLGSGHEISIGDAVRLVAEITGKPLEIEAEPARASEVGRLVCDPAKACALLGWQSREGLKEGLTRSLEWF-ANPDNRSHYHD 297197642 -------VTGGGGFLGSHLCEALLRRGDQVVCLDDFSTGDPANILGDPAFELVREDVSASLEVSGTV--DAVAHLASPASPPDYLARPLETLAVGSRGTENALRLAARHAAR-FVLASTSEVYGDPEVHPQDETYWVGPRSVYDEAKRFSEALTQAYRTNRGTNTGIVRIFNTYGPRMR--------PHDGRVVSSFVVQALAQEPLTVYGDGKQT------RSFCYVDDLVRGIVAMLDHD----EPGPVNLGNPVELTVLQLAELVLDLTGSRAEIQFHSLPVDDPTRRRPVIARAAQRLGWSPEVGIEDGLRRTVEWFASRPDDIA---- 189466030 ---KKILVSGGAGFIGSHLCTRLINEGHHVICLDNLFTGNIEHLKNNSHFEFVNHDVEFLDEIYNLACPASPIHYQ---------YDAIKTIKTSVLGAINMLGLAKKTNAKILQ-ASTSEVYGDPVVHPQVESYWIGIRSCYDEGKRCSETLFMDYHRQNNIRIKIIRIFNTYGPRM--------LPNDGRVVSNFVVQALQNHDITIYGTGDQTRSFQYIDDLIEG--MVRMMNTEDEFI------GPVNLGNPNEFSILELAEKVIQLTGSKSKLVFKPLPHDDPKQRQPDITLAKEKLNWQPTIELEDGLQKIVEYFKEY--------- 302868412 ----RVLVTGAAGFIGSQVADLLVAQGHQVVCLDALGSEPPEWFRRHEPVVGDIRDAHLLDDLLAGV--DAVCHQAAMVGHGLDPSDAPDYVSHNDYGTAVLLAAMHRAGVSRLVLASSMVVYGEGRYTCVPEDAPLEPRSTYAASKLAQENLAAAWSRQTGAGVWALRYHNVYGPRM------PRDTPYAGVASIFRSALAHGRPPRLYEDGL------QQRDFVHVSDVARANVLALTAPAPDG-LVPVNVCSGRPHTVGDLATALAAAMDGPAPVVVGGARPADVRHVVADPRRARDLLGYTARVSFADGVAAF---------------- 143152756 --KKNILVTGGGGFIGSHLVEKLVTQGHNVIVVDNIDSFSKKIRDSIEIVSGDICDPNFISK--ETKKTEIYFHLAALISIPYSYKSPQSYINTNVNGTLNLLEAAKKNITELFVQTSTSEVYGSAQYVPIDEKHPLNAQSPYAASKIAGDQLALSYYRAFNVPSMILRPFNTYGPRQSL---------RAFIPTITTQILERKKIIKI-------GNLNSRRDFTFVSDTVDGFISTIGNKKCIGET--IQLGTGIDFSMKQTLDEIKKITKTKIRFVQDKERKSEVNRLISLNKKAKKILKWSPKYSFNKGLKETVEWFS-NKENLSK--- 302781743 ----RVVVTGGAGFVGSHLVDRLMARGDSVIVVDNFFTGRKENVIHHVNPRFELIRHDVVEPLL--LEVDQIYHLACPASPVHYKYNP-----TNVMGTLNMLGLAKRVGA-RFLLTSTSEVYGDPIEHPQKEDYWIGVRSCYDEGKRVAETLTMDYHRGDSVHVRIARIFNTYGPRM--------CIDDGRVVSNFVAQALRKEAMTVYGDGKQT------RSFQYVSDLVEGLIRLME----GEHIGPFNLGNPGEFTMLELAQVVRETIDPEAKIEFRNNTEDDPHKRKPDITKAKKLLGWKPKISLREGLPLMVEDFRR--RVFDN--- 136435009 --SKRILITGGAGFIGSHLARYFAGQ-AEITVLDDLRSGYARNLDGVDCRRGSILNEAALKE--ACEGAEEIYHLAAMVSVPESVAQPSACAELNTEGTRRVLAAARAAGARKVVLASSAAIYGDNPTVPKLESMAPEPKSPYAETKLAGERLLEEYRQAHGLGTTSLRFFNVFGPRQDPRSAYAAAVPIFIEKALRDEPIG------------IHGDGGQTRDFIHVTDIVGALAYAGASADMHG---TYNVGYGRSQTILALAQEVIRLTGSRSRIEHLPERAGDVRHSLASTERLLAA-GWKPSSSVSAGLTETVAYFR----------- 85858490 ----RILITGGAGFLGSHLCERLLADKHDILCLDNFFTGSKDNILHNPRFELIRHDYLEVDQIYNLACPASPVHYQ---------YNPIKTIKTSVMGAINTLGLAKRVKA-RILQASTSEVYGDPEVHPQNEAYWIGIRSCYDEGKRAAECLMMDYRRQNGVDTKIVRIFNTYGSRMA-------MSDGRVVSNFIVQALTGKD-------ITVYGDGSQTRSFCFVDDMIEGLIRIMNTPKEI--SGPINLGNPAEFTILELAEKVIALTDSSSRILFQPLPQDDPAQRQPDIALAAEILNWNPKTSLEEGLKRTIAYFRE---------- 134938106 ---KKILVAGGAGFIGSNLCEVLVSQGHSVVCVDNLYSGTKKNINLLKYDNFQFINHDIIEKL--NIEVDEIYNFACPASPKYYQKDPIFTLKTNFLGVLNLLNLAKKNNA-KFLQSSTSEIYGDPLEHPQKESNPIGIRACYDEGKRVAETLIFDYIRKFNINAKVVRIFNTYGPKMG--------IEDGRVVSNFIVQALKKEPLTVYGNGNQTRSFCYITDMIDALLKTMNSEIVLDR--------PINLGNESEISINQLTKHIHLLLNEEKKIIYMPLPSDDPHIRKPDIENAKNLLGWRPRVQIEEGLSLTIEYFRK---------- 20091027 MTELKMLVTGGCGFIGSNFIRYMLKKDYQIVNLDKLTYAENENKPNYFFVKGDICDPASVNEVMT--KVDQVVHFAAESHVDRSIEDGSVFVRTNVLGTNTLLQSALANKIKKFIHVSTDEVYGSAMEGSFTEMDNLNPSSPYSSSKASSDLLAKSYHTTYGLPVCITRCTNNFGP--------YQYPEKLIPFFISRLMEGKKVP--------VYGTGLNIRDWIYVEDHCSAVDFILH---NGKSGEIYNIDGGNELTNLEITHRLLKMLGKDESIEYVEDRKGHDFRYSLDGGKLEK-MGWKPQYDFDTALEQTDSWYVENKWWWE---- 135316944 ---KKILITGGAGFIGSHLCKHFLENDFFVTCLDNLSSGHLESNKNFSFKNFDITNILDFK-----NDFDFVLNFACPASPKYYLNSPIETANASISGVINILDFCVKNN-SVLLHASTSEVYGDPLVHPQDESNPIGPRSCYDEGKRVAEMFIYEFQKKYNMNSKIIRIFNTYGPNMN--------HDDGRVVSNFINQALQNEDITIYGD------GTQTRSFCYIDDLVSGIVTYLE--LEENYLGPINLGNPSELNMIDLANKIVKLTNSNSKIIFKSLPENDPMKRKPDISLAIEKLNFSPKVTIDLGLSKTIDYFKD---------- 221213784 ----RCLVLGGNGFVGSYLVEALVEAGHQPVVFERLRIAELEKLDQVTWIEGDFVNAEVLDEAL--EGCEYVFHLISTTLPKSSNDNPIYDVDTNLRGTLALLDAMVKRKARKVIFVSSGTVYGTPRSVPITETHPTEPLCSYGITKLAIEKYLHLYYVLHGLDYAVLRLSNPFGERQ-------RFEAKQGAIAVFLGHALRGEPIEIWGDGSVV------RDYIYVADAARALIAAM--GDTAGDDRVFNIGSGEGRSLNQVLDAIETVLDRPVERRYLAGRSFDVPVSVLDIERAKRSLSWRPQVSFEDGLKNTVAW------------- 288794045 ----RILVTGGAGFVPSHLVDRLVERGCTVVVLDNFVTGSKDNVAHFTLVEADISDGLPQHPAMA-ERFDAILHMASPASPTDFEKLPVEILRVGSVATLALLE-RATDDGARFLMASTSEAYGDPKEHPQRETYWIGIRSVYDEAKRFSEAATMAYHRSRGTDTAIVRIFNTYGPRMR--------PDDGRAIPTFISQALRGEPITVHGT------GNQTRSICYVDDLVRGILLLLD----STETGPINCGTEHEMSMRQLAETIVSLTGSTSEVSYITRASDDPEMRRPDLTLARELLGYEPSVTPEDGLRRTIEYFR----------- 20559841 ------LVTGVAGFIGSNLLELLLKFNQKVVGLDDFSTGHEERWRNFKLIQGDIRDIGDCRRAVEGV--DYVLHQAALGSVPRSIADPITTNSVNISGFLNILVASKEAGVKSLTYAASSSTYGDHPGLPKVEEVIGKPLSPYAVTKYVNELYADVFSRCYGFNLIGLRYFNVFGKRQDPN-----GAYAAVIPKWISAMIKGEP-------VYINGDGETSRDFCFINNVIKANLLAAFCETEEAKNQVYNVAYGGRTTLGQLFDSLKVELNYEQGPIYREFRAGDVRHSQAEIKKAQAYLGYNPEYDIQAGIAEAMPWYISF--------- 137214406 MDEQKVLVTGGAGYIGSHACKALQQSGFTPVTFDNLVTGWRDAVKFGPLEQGDLLNKGDLDRVFKKHSPVAVMHFAALSLVGESMQKPGLYWQNNVLGSLNLIQAAVDHGCMNLVFSSTCAIYGDQDSVVLDENSKQNPINAYGASKRAIENILADYQVTYGLNQVIFQYFNVAGADPEAEI------------------------------------------------------------------------------------------------------------------------------------------------------- 117164760 -------VTGAEGFIGSHLTEALVASGHRVRAMAQYSYGWLETLSPDVLDQVDVRDPGSVRHLVEGA--DCVYHLAALIAIPYSYQAPHSYVDTNVTGTLNVLEAVRAQGTPRLVHTSTSETYGTAQTVPITEDHPINTQSPYAASKAGGDRLADSYHASFGTPVVTLRPFNTYGPRQS---------MRAVIPTVIGQVAAGERTLTL-------GDLRPTRDFTFVKDTAQAFLAVGTAPAERVVGRTFNAGTGGEISVGDLVGLIGKVMDTPVDVREDAQRLSEVMRLVADATRLREATGWSPAHDLERGLGHTVDFFRD---------- 300790126 MAGVRVLVTGGAGFIGSHIADLLADGGDEVVVLDNLSSSPPPYTGRHRFLRGDVTDTEIVAELLDGV--DAVCHQAAVVGHGIDPSDAPSYALHNDYGTAVLLAGMHAAGVRKLVLASSMVVYGEGRWQLVPEDAPLNPRSTYAATKLAQEHLAGAWARQTGGTVWAMRYHNVYGPRM------PQNTPYAGVASLFRSALVRGEAPRVL------EDGRQQRDFIHVHDVARANVLALHMEGPAGEISPLNVCSGTPHTVGDLATELARASKGPAPKVIGGARPADVRHVVADPARAREQLGFTAEIGFADGIADFATAELREPVSL----- 138704440 ----RLLITGGAGFIGSHTCLVLLDAGHDLIVLDDYSNSSPIALERVCVLQGDIRDPLCLEALFSQAKVEAVIHFAGLKAVGESVREPLRYWDVNLAGSQCLLAAMSVHDCRTLVFSSSATLYGYPECVPIPETAPIQPINPYGHSKAAVEQLLHAASAPKQWRIACLRYFNPVGAHPSGAIGENPLGPNNLFPFVSQVAVGRRKSLQVFGGDWPTKDGTGVRDYIHVMDLAEGHRAALDCLLAEPQLLTLNLGSGHGASVLEVVQAFERASGRE---------------------------------------------------------- 134995650 --KMKILITGCAGFIGYYLSKSLSQNKDKVSGIDNLNSYYDINLKKKRLLKIDIKNHKKLRDFFKKNKFDIVYHLAAQAGVRYSIKSPETYFDNNLKGFFNILECCREFKIKNLIFASTSSVYGKQNNFPLNEKHSTDPLSFYAATKKCNEIMAYSYSEIYKLKCTAIRFFTVYGP--------LGRPDMALYKFSDSIKHNKYLELYNSGNH--------TRDFTF-IDDVILYLKKFRKNKQKEKFEVYNICSNNPISLIKYLNIIEKNFNKKTKIKKLELQMGDVVKTHGDNSKIKRLFGRNKSTKVEEGINQFVRWFKKY--------- 142083416 ---KKILVTGGLGFIGSNLIELLLEKKYFVINIDKISYSSNFYNVKYRFIKCDINDKKI-KKILFKFKPHIIFNLAAETHVDRSIDNPGNFIKSNILGVYNILESFKQFYKSKLIHISTDEVYGDVIKGRSDENYSYNPSSPYAASKASSDHLVSSFVKTYKIPAIITNCSNNYGP--------KQHPEKFIPKLIYNILNNINLP--------IYGKGLNSREWIFVKDHCEAL---IEISKKGKIGNFYNIGSNRNIENIKVCRNLFKIIGKNVKIKFVKDRPAHDIRYALNSKKIKKELKWYPKTSFENGLNKTFDWYLNNINYYSS--- 220924394 ----RCLVTGTAGFIGFHLARRLLAAGHAVVGVDGLTDYYDVALKRARNHSFMLEEPGAFAALMGEVKPDIVVHLAAQAGVRYSLENPESYVAANMVGTFQVLEGLRQHPVRHALMASTSSVYGGNPRTPFRETDTVAPLTIYAASKIAGEAMAHAYAHLWRIPTTAFRFFTVYGP----------WGRPDMALFLFTRKILAGEPVEVFDHGRAVRDFTYIDDLVESIVRLIEVPPGPEPVSPAAPFRIVNIGGGAPVTVGAMLDVLEAALGMKATRLLRDLPPGDVSRTEAETRLLEDLIGYVPATPVTVGIPAFVAWYREHY-------- 104783689 ------------------MVRHLIQNDNHVANVDVLTYGNLESLERYRFYQADICDKAHLQRIFAEFQPQAVMHLAAESHVDRSIEGPDAFIRTNVLGTATLLEVARGYWAFRFHHISTDEVFGDGTDDFFTEQTPYAPSSPYSASKAGSDHLVRAWGRTYGLPVLVTNCSNNYGPH--------HFPEKLIPHVILNALHGKPLP--------VYGNGAQVRDWLHVEDHARALYTVLTR---GEVGQTYNIGGHNERRNLDVVQGICALLEELQPIEFVSDRPGHDLRYAIDASKIADELGWTPQETFETGLRKTVQWYLDN--------- 139143212 --------------IGSHAALSLLDAGHNVHIIDDLSTGNKSLIPKNAFFKCNINDEEVISELIKSNSFDILMHFAGFIQVEESVKYPQKYFDNNTENATKLFETCKNNGLNKIVFSSTAAAYGVSENKLIDENTNLNPQNPYAESKIKTENFL--FENKNDYKFIILRYFNVAGADKKLRSGQISKRSTHLIKILSEVVVGKRDHIEIYGNDYDTPDGTAIRDYIHVSDLADIHLEVAKYLFENSESNLFNCGYGNGFSVLDVIKTANKISEDKIDYKFSNRRDGDVEQLIADTSKILKHIDWRPEI------------------------- 297842071 MSDKKIFVAGHRGLVGSAIARKLQEQGFTNLVL-------------RTHAELDLTRQADVESFFAQEKPVYVILAAAVGGIHANNTYPADFIGVNLQIQTNVIHSAYEHGVKKLLFLGSSCIYPKFAPQPIPETASLEPTNEWYAAKIAGIKTCQAYRIQHGWDAISGMPTNLYGPNDNFHPENSHVLPALMRRFHEAKVNGAE-------EVVVWGTGSPLREFLHVDDLADACVFLLDRYS---GLEHVNIGSGQEVTIKELAELVKEVVGFEGKLGWDITKPDGTPRKLMDSSKLAS-LGWTPKVSLTDGLRQTYDWYLKN--------- 143133206 ----KILITGGAGFIGSHLVEGLLSNENEILIFDNCLTGKKENIEFTGNFKFDFGSESSLEKI-EKFDPDICFHLAAQSSVVVSVENPALDFEHNILQPIKLIQVLLRSNCKKLVFTSSGGTFGEPSVIPTAEEDYDEPESPYGVTKKRLNELIKIMTKNSNLKYSILNLSNVYGPRQD------PHGEAGVVSIFANKYLNDEQP-------IIFGDGEQTRDYIYVKDVVS----ALIKASTIDENHFLNIGTGVETSVNDLANSMKSQFNSEINPVYKPAREGELNRSVLNNTKAKKELDWKPEYSLNDGMRQVFKW------------- 296330056 ----KILVTGAAGFIGSHLCEELLDKTHDVIGIDDFIGPTPFSLKFKPEKRFTFIKENLLTADLSPEGVDIIFHLAAIPGVRSSWGDHFHYAAHNIQALQRLLEACREHPIQTFVFASTSSVYGE-KQGRVSENATLSPLSPYGVTKLTGEKLCHVYQQSFGIPIVILRFFTVYGPRQRP----------DMAFHRLIKQHLQQKPLTIFGNGQ------QSRDFTYIGDCVKGINAVLGKPHLIGETVNI--GGSERASVLKVVSLIEDISGKKATLHFSDKIVGEPSKTWADISKAKQLLHYNPATSLKDGLA------------------ 188582457 ----HALVTGSAGFIGHALSRRLLAAGHGVTGFDALSPYYDVALKRARHVEARLETPGALLDVMARVKPDLVFHLAAQAGVRYSLIDPGAYVEANLVGFANLLEAVRAHPVRHLLTASTSSAYGGNTSVPFRETDRVSPLTLYAASKLANEAMAHSYAHLFGVPTTAFRFFTVYGP----------WGRPDMALFLFTRKILAGEPIEVFGEGAAERDFTFIDDLVDPRPSAGGPVSPADTLSAVAPYRLVNIGGGRPVRLDAMIGALETALGRKAERVLKPLPPGDVIRTHASTDLLADLVGRLPETPLEIGIPAFVKWYLGYY-------- 141548561 ----KILVTGGAGFIGSAVVRLAIARGHSVVNVDALTYANVAIVADHPFEQVDIRDRIALDAVFAKHTPDAVMHLAAESHVDRSIDGPADFIETNVNGTFNMLEAARSYWQFRFHHISTDEVFGLGPTGMFTEDTPYDPRSPYSASKASSDHLVRAWHETYGLPVVLTNCSNNYGP--------YHFPEKLVPVVILNALAGKLLP--------IYGNGGNIRDWLYVEDHADALLLVLEK---GAVGRSYNIGGENERTNLELVKTLCEIIDR----------------------------------------------------------- 144162064 ------LITGGAGFVGSHLVDRLMQAGEEVICLDNYFTGRKANISQWIGHRHDVTDPIRLE-------VDRIWHLACPASPIHYQYNPIKTAKTSFLGTYNMLGLARRVGA-RLLLASTSEVYGDPEVHPQPESNTIGIRSCYDEGKRIAETLCFDYRRMHGTEIRVARIFNTYGPHM--------LPDDGRVVSNFIVQALRGESLTLYG------AGSQTRSFCYVDDLVEGLILLM----NGDHSGPINLGNPGEFTIRQLAELVRARINPELPLIEQPLPADDPLQRQPVIDLAQRELGWQPTVPLQEGLEPTIAWFRN---------- 143326436 -----ILVTGGAGYIGSHTARLLHELGRDVVVLDTLERGYRDAVGDIDLVVGDIADARLVGKTCRKYDVDSVIHFAAYKAVGESVSDPLRYYQNNVGGSIALVEALLEHDVKQVVFSSSAAVYGTPDASPVNEDAPLRPQSPYAQTKADIERFLAS-CDSLGMRSVSLRYFNAAGAHDSGDLGEDWTATENLVPVVMKVLFGAQRELEVFGDDYPTPDGTCVRDYVH---------------------------------------------------------------------------------------------------------- 143245424 ----KILITGVAGFLGSHLAEKLSVLGHEIVGIDNMTGGYKDNVPKNIFHNIDCCDFEKVKKVMKGIQI--VYHCAATAHEGLSVFSPYEITKNNFLASVSIFSAAINEKVKRIVFCSSMARYGS-QEYPFTESMKPNPVDPYAISKVAAEQVLMNLCELNKIEWVIAVPHNIIGPRQ------KYNDPFRNVVSIMINRMLQGKAPIIYGDGNQTRC------FSYIDDCLSCLLPMLDEKNLNK--QIINIGPDEEFVTINKVAELCSITGVNLPPIYKKDRPQEVKHAICSADKARKLLNYKTKVSLQEGIRKTFEYIKK---------- 153955092 ----KVLATGADGFIGSHLVEKLLNSGYSVKAFVHYNSFNSHMLKEIEIFSGDIRDQNGVREALKNV--DDVYHLAALIAIPFSYYSPDSYVDTNIKGTLNVLQAAKELNTKRIIITSTSEVYGSAKFVPITEEHPCQAQSPYSATKIAADKLAESFYRSFSLPITIVRPFNTYGPRQS---------ARAIIPTIITQLFSQKGQIKL-------GSLSATRDFNYVKDTADGFVEIAKSDKTVGEVVNI--ASQREISIKNLAAELIKQLNPKAKIICETERISEVSRLLGSNEKIKRLTEWVPKFTFEEGIKETIEWFKKNLEKYKTD-- 289556051 ME---ILVTGGAGFQGSHLTESLLANGHWVTVLDKSSRNAVRNMQGFRSHDGSVTDGQTIDRAVRDHH--VVFHLAAHVNVDQSLGDPESFLETNVMGTYRVLEAVRRYR-NRLIYVSTCEVYGDGHNERLDEHAELKPNSPYGASKAAADRLCYSYFRSYGLDVTIVRPFNIFGVRQKAGRFGALIPRLVRQGI-------NGEGLTIFGAGSAT------RDYLYVSDIVGAYNLVLRTPTLRGQA--INFASGKDTRVRDIVEYVADKFGA--RIEHRDARPGEVQRFPADISLAKSI-GFQPQVEIWDGIDRYINWAKDQPQ------- 291540645 MEKRTYLVTGGAGFIGSNYIHYMYDNEIRIINVDVLTYAGNENRENYTFVRADITDKEAIAKIFAENEIDRVVHFAAESHVDRSIKNPEVFVKTNVLGTAVMLNCAKAAWGKKFLHVSTDEVYGEDDGTYFYETTPYAPHSPYSASKASSDMLVKAYIDTYHFPANITNCSNNYGP----------YQFPEKLIPLIINNALHGKKLPVYGDGKNVRDWLYVDDHAKAIDMVQENGRLGETYNVGGHNEKQNIEIINIIILLEMLPADRRALVSKDLITYVKDRKGHDRRYAIAPDKIKAEIGWEPETMFKEGIKKTIAWYFEHEDWMKN--- 296169133 ----RVLITGGAGFVGAHLCDRLLGGGVEVVSVDDMSTGAADRLTGRPGYRFDICDPSVVDEVGTAF--DTVFHLASPASPVDYQRRPIETLRAGSAGTATALE-IAERAGARFVLASTSEVYGDPEVHPQPERYWVGPRSVYDEAKRYAEALTFAYRRLGRVDTGVARIFNTYGPGMRA--------DDGRMVPTFCRQALRNEPLTVSGTGLQT------RSLCYVDDTVAG----LIDLARGDFGGPVNIGNPAELTVLAAAELIRELAGSTSTIQFTPPAEDDPQRRCPDITVARERLGWQPRVDYRTGLATTVAWFR----------- 253744128 --GKTILITGGCGFIGSHFVEACHTLGMTIYVLDNMSSGSSDCSSPLIYVTGDIRDKTAFSRL--PQKIDFVIHLAAAISVAESITDPQKYMLTNVEGSRNVFQYAIDANASAVLSASTAAYYGDCGTSAITESFPYKGISPYAESKLGMERLGAEFQKNSRCRFIFCRFFNVYGPRQD------PSSPYTGVMSIFMDKCAVRKPITIFGTGEQTRDFVFVKDLVAVQQGDSKEIRCNAYTGKDAYPTVFNIGSGQSITVNELAELTKIVSGREVEVIHGEPRDGDILHSLSDCTRIRNATGWTASTMLRVGMSETWGW------------- 135343774 MKKKFSIVTGGAGLIGSHIVDLLIKKGHKVLVIDDLSGGHKKNLNHHKFKKINILN----KNLKFPKKVDYIFHMAGKGDLVPSVENPVKYIENNMIGTLKILELARKVNLKKFVYAASSSCYGI-SRYPTNEKEKINCEHPYALSKYLAEELVFHWAKVYGLKVNSIRIFNAYGPRVKT------TGVYGAVFGVFFKQKLENKPLTIVGD------GTQKRDFVNVKDVANAFFKAATSKIN---NEIFNVGYGKPTSVNQLAKLI------SNKRVFLPKRPGEPEVTQADIRKIKMKLKWKPRIKFNEGVKEMLK-------------- 76666635 ------LVTGGAGFIGCNFIRHVLDTDHDLVNLDALTYAGSKHDGRYVFEHLDIRDSAAMRDIVTRHAVDTIVHFAAESHVDRSIDGPLDFVDTNVRGTANLLEAARSVWGDRFHHISTDEVYGLGDEGLFTEDTPYDPSSPYSSSKAASDHLVRAWHRTYGLPVTISNCSNNYGP---------YQFPEKLIPLMIGNALNHKK-------LPVYGDGRNVRDWLFVTD----HCSAIDAVIRRGDGRTYNVGGHNEMANIDVVHLVCDIIDRRDLITFVTDRPGHDQRYAIDAGRIEAELGWTPDHTFAQGLKDTIIWYLEN--------- 219121781 ----NILVTGGAGFIASHVAILLCKKQYKIVVYDCLDYANLQELFDLPFVKGDIASPDLVSYVLREEKIDTILHFAAQTHVDNSFGNSFAFTQTNIYGTHVLLEAKCCDTLRRFVHVSTDEVYGEGEDDPMSEEHVLEPTNPYAATKAGAEFLVKSYFRSFQLPCLITRGNNVYGPH--------QFPEKLIPK--FTNQLLKNLPLTIHGDGSNT------RNFLYVTDVANAFDIIMHKGT---PGHVYNIGGKNEVPNLEVARALLKLFDKEKEIKFVPDRRFNDLRYTINSNKLH-ELGWTELMSWEEGLATTVDWYKKYTSRYGN--- 222081304 -ARRVILVAGGAGFLGSHLCERLLLEGHEVICVDDFSTGRMENMRHFSFIRHDIIGSIDLDEIYNLACPASPQHYQA---------DPIHTLKTCVFGSLNLLELAAHHQARIFQ-ASTSEIYGDPQVHPQPEGYWGHPRSCYDEGKRSAETLFYDFHKKYGVDIRIARIFNTYGPRMR--------PDDGRVVSNFIVQALKGQDITIYGD------GSQTRSFCYVDDLIEGFTRLM--RSQPAIHTPVNLGNPTEFSIRNLAEQVVAMTGSPSKIVYQPLPVDDPRQRRPDITVAKRELKWEPSVALADGLKSTVSYF------------ 77404642 ----KVLVIGGCGFIGSHVVDLLLQEGCRVRVFGRRPEAFRPPLAGVDYVMGDYSDPALVFRAL--HDVEAVLHLASATVPATANLNPGLDIEANLLATVRLLDVMRATGKRRLVFLSSGTVYGVPQADPVPEEHPLRPIGSYGIVKVAIESYIRMEQALYGLAPVVLRASNPYGPRQG-------HTGVQGIIGTHLWRAARGEPVEIWGDGQVT------RDFIHVRDLAALCVATL----RSDTCGFFNAGSGTGTSVAEIVAGIRTVQASGGPPVRALRQPGDVPRVVLDISRARAAFDWAPRIGLEEGLAETWRW------------- 268316693 MAIRRVLITGGAGFIGRWVVARCLEQGYQVAVYDNLTAGSVDHLLRIDFYEADILDTATLQAVMDETRPEIVFHLAALHFIPYCNAHPQETLRVNVEGTYNVLDAAARGGVRTAVVASSGAIYPSVE-GLIPETLAPAPVDVYGLSKWLTEQVAEQFARTTEMACVAARLFNTYGPYETNPHLIPHIIASLQQ-----------------GPAVELGNIHTKRDYIYVEDVARLLVALGERVTK--GYEVVNVGTGREYSAQEIIETLSELMGQPIEIRIDPARPVDKLHQRADTTRLQQLTGMLPEVTLREGLARLLQ-------------- 225164004 ----KLLVTGGCGFIGSNFIRRLTEDGRKLVNFDALTYAGNPANLRYVFVHGNIGDDTLVARLLAEHAIDAIINFAAESHVDRSIAAPQPFIETNVVGTLHLLNATLKHYTFRFLHVSTDEVYGGPQDPPFAEQTPYAPNSPYSASKAASDHLVRAYHRTYDLPVLTVNCSNNYGP----------YQHSEKLIPRTIINALQGKPITLYGDGL------QIRDWIHVEDNTTAIWLVLQKGHI---GETYNIGGSNEQTNIDMAKSICSILDRAQQITHVTDRPGHDRRYAINCEKIRSGLRWIPKKNFTTTLEQTASWYL----------- 137057930 ----KVLVTGGAGYIGSHVVIGLCESGHEVVVIDNLSSGEKGAVSRATFIEGSIQKKEDLNQCFEGV--DTVVHLAALKSVNGSMQFPQKYSENNITGTLSLLETMHENNVKHIIFSSTAAVYGEPEYLPLDEKHPLKPVNFYGYTKLSAENLLEWYKELIGISYISLRYFNAAGYDASGRIKYLEKNPQNLIPIIMEVAAGKREKVDVFGDDYDTPDGTGIRDYIHVSDLVKAHLNALE--------------------------------------------------------------------------------------------- 55378347 -DGKSVMITGGAGFLGSHLIDELERRSEDVNIFVPRSD------------EYDLRNRQEIKRALVDSNPDVVIHLAAVGGIGANRKNPGKYFYENAIMGIELIEQARQFGVEKFTILGTICSYPKHTPVPFSERDPEETNAPYGIAKKALLTQSRAYRKQYDFNSIYLMPVNLYGPRDDFDLETSHVIPAIIRKCVEANRRGE-------NAITAWGTGEPTREFLYVKDAADGILTATERYD---ESDPINLGSGIETSIQDLVEKIADLTGFEGEIEWDTSKPDGQPRRKLDTSQAKERFDWEATTSFEDGLERTIEWFEK---------- 115523120 ---QRILVTGGSGFLGSHLCERLLETGANVICVDNFFSGNVEHLLSHKRFELVRHDYIEVDQIFNLACPASPIHYQ---------RDPVQTTKTSVHGAINMLGLAKRVGAKILQ-ASTSEVYGDPAVHPQDETYWIGIRSCYDEGKRCAETLFFDYWRQHKLRIKVARIFNTYGPRM--------HPNDGRVVSNFVIQALLGRDITIYGDGLQT------RSFCYVDDLIDGFVRLMNSPDT--VTGPMNLGNPQEFTMLELAKMVIELTGSQSKLAYKPLPNDDPRQRRPDISKASDALNWKPTTVLSDGLARTI--------------- 143773079 ---RKILITGCAGFIGASLVKKLLKNNEFLIGIDNLNAYYDQYLDKWIFEKISLESKESLEKVFKKYEPDVVINLAAQAGVRYSLENPEAYVQSNLVGFFNLLELSKEYKVKNFIFASSSSVYGNNKFSPFSEDHFVDPISFYAATKKSNELMAYSYSHLYKIPITGLRFFTVYGP----------WGRPDMAPMIFAKAILNRKPIKVFNYGKMERDFTYIDDIVEGIYRCIFNEPYIDKNESQAPYKIFNIGNNKPIKILKFIEILEDCFGIKAEKIFEPIQKGDVKSTFANTTKLNEWIG------------------------------ 86747388 --SRRILVSGGAGFIGSHLCDKLLAEGHEVLCVDNYFTGNIEHLVGTPRFEVMRHDYVEVDDIYNLACPASPVHYQH---------DPVQTLKTSVHGAINMLGLAKRTRAKIFQ-ASTSEVYGDPTVHPQPESYWLGIRACYDEGKRAAETLFFDYHRQHKVRIKVARIFNTYGPRM--------HPNDGRVVSNFIVQALSGNDITIYGD------GSQTRSFCYVTDLLDGFGRLM--ASGDEFIGPVNLGNPVEFSIRQLAELVIEMTDSTSKIVARPLPADDPRQRQPDIALARSALGWEPKVALADGLKETISYFRK---------- 271967751 ----RILVPGGAGFIGSHYVRSLLSEDARVTVLDKLTYANLRPVAGHPFVRGDITDVRLLADVVPEH--DVIVNFAAETHVDRSITAAGDFVVTNVHGTQRLLQAALDAGVRTVVQISTDEVYGSIAAGSWTESEPLLPNSPYSAAKAGADLLCRAYHRTHGLDVRVTRCSNNYGP--------YQYPEKVIPLFVTNLIDGDRVPLYGDGQHV--------REWLHVDDHCRGIQLVLDK---GEGGEVYNIGGGVELTNRELTERLLAAFDVGWMVDHMPDRLGHDRRYSVDSGKIRAI-GYGPRTDFDEGLAEVVRWYRDHQDW------ 134467497 --------TGAAGFIGSAVAERLLAQGEMVVGVDNLNDYYDPFLKKARIARIDIASQSSIEHLFAKCNPRAVIHLAAQAGVRYSLENPAAYVQSNLVGFGHILEGCRHHQVEHLVYASSSSVYGGNRNLPFRETHSVHPVSLYAASKKANELMAHTYSHLYGLPATGLRFFTVYGP----------WGRPDMAPMLFAKSILAGEPIRVFNHGRMARDFTYIDDIVEGVVRVAFDPSRPDPATSWAPHRVFNIGNSAPTSLLDFIDALQKALGQEAIHQFEPMQPGDVEATAADTAALEA--------------------------------- 135365601 ----NILITGGSGFIGSHLSDKLLEKGHKLFLLDNLLTGRTDNIKHLDREDVVFLEKDVQDHIEIEEKIDYIFHFASAASPVAYTEHPVNTLKAGSLGTINTLGLAKKHKAEYF-LASTSEVYGDPLVTPQTEEYWGNERSMYDEAKRFAEAATATYARTYNIKTKIVRIFNTYGPRMQLNDGRVVTNLIAQALNNEDITI--------------YGDGSQTRSFSYVDDTVSGILALME----SDKYDVFNIGNPNEMSIKELSTVILKLTDSKSKLVYKDLPNDDPKQRKPDITKAKENLNWEPKVDLETGLTLTIDWIKK---------- 134792753 ------LITGGAGFIGTHLTRIAIARGWRVRVLDNLSTSAEPALLEGLGAEVRVGDVREKTVCFAAVDCDAVVHLAAQISVPRSMEYPEETFEVNIEGTANLLNACKAHGVNRFVMASSAAVYGNSEMSPLEEQHAGKFHSPYANSKWQNEQQVMDAKR-DGMEAVALRLFNVYGAGQS------HHGAYAAVIPKFIESTLKGRPVTVFGDGFQT------RDFVHVEDVARAFLMLATEPWSKNLEPVYNVCTETEISMLEVLNNIHSVLKHRFAPNHDVARVGDIDRSVGSNKRLTRDTGWRPNIEFRNGLRQ----------------- 144897568 LDGCKVLVTGGAGFVGSHIIDQLVDEGCADIVLDNLVRGRVDNLAPAMAVEGDIRDRALLDSLVAGC--DLVFHQAAL-RITHCAEDPRAGFEVMAGASFDLLECCVRHRVRRLVAASSASIYGLAERFPTDEDHHYDNRTFYGAAKMFLEGMLRSYHAMSGLDYVALRYFNVYGPRMD------IHGKYTEVLVRWMDRLKAGQSPLIFGD------GSQTMDFVHVVDVARANILAAKAEVSD---RVFNVARGEETSLCDLARTLAEVMGRELTPEFGPERVNPVPRRLAATALAHKELGFKAEIGLADGLRDLVGWWDAH--------- 262037152 ---KTYLVTGAAGFIGANYLKCILKKDIKVIVVDSLTYAGNLGTIRVKFEKVDIRDRKEIERVFAENNVDYVVNFAAESHVDRSIENPQIFLETNILGAQNLLENAKKAWTVKYLQVATDEVYGTYGDKFFTEKTPLDPRSPYSASKAGADHIVIAYGETYKMPISITRCSNNYGP--------YHFPEKLIPLMIKNVLEGKKLP--------VYGKGDNVRDWLYVEDHCKGIDLVLR---EGKEGEIYNIGGFNEEKNINIVKLVIDILKEEIEITYVQDRLGHDMRYAIDPSKIAKDLGWYPETDFETGIRKTIKWYLENQNWVNE--- 138631485 ------LVTG---WVGSYIFKLFYDKGYIPVTFDNLSLGNKWSVKWGPLEVGELCSENDLQKLFEKYNFIGVIHLAALSNVSESVNNPSIYYKNNVIGSYNLIEKMIKFNIKNIVFSSTAAVYGNPIHSPICENHPTQPINPYGDSKLTIERLICNYSKCNDLNFVILRYFNVSGSDFSNNLGEAHLPETHLIP-LALQAAHQNSIFKIYGDDYRTTDGTCIRDYIHVKDLGNVHLLSFKKLNSSKVNKIINVGGGKGYTVYEIIKYIKKITNLDLKIDVIKRRKGDPDIL------------------------------------------ 135476372 ------------------------------------SVASVENHQNYKFVQLDIRDNKKLDSVFTNYKPDVVMHLAAESHVDRSIDGPTDFIETNITGTFNILEASRKYWQFRFHHVSTDEVYGSLPSDPFKENTPYDPRSPYSASKASSDHLVRAWYETFGLPVILTNCSNNFGP--------YQFPEKLIPVIILNALFEKPLP--------IYGNGKNIRDWLYVEDHADALLLVLEKGKS---GRSYNIGDENEQTNLELVKTICSILDRLKPISFVTDRPGHDARYAIDPSRIRKELGWQPTTNFEQNLEKTIKWYLENQNW------ 299470637 -STKTVLVAGGAGYIGSHTVVLLLEAGYNVVVADNLCNSSEESLRR-------------VRVLTGCAPERLVFHN----------------VDLCDAAALGKVLEACPQFHSCIHFAGL---------------------------KFMIEEILKDFVKSDDWAIDCLRYFNPTGAHSSGDIGEDPNGPNNLMPYVAQVAVGKRECLTVFGDKYDTKDGTGVRDYIHVVDLASGHLKALDFLEKKGCGWYTHNGTGTGYSVLEMVEAMKKASGKDIPYKVGPPRVGDLACVYADPAKAAEDLGWKAQFGLDEMMRDMWAWQSKNPNGFS---- 296242301 ----RIAVLGGAGFIGSNFVRYLVSNHEDVLVYDKLTYAGRLENLHGKFIRGDICNEELLEHALREFQADAIVNFAAETHVDRSINNPAPFLQTNIYGVFTILEVSRRLDVNRLLHMSTDEVYGDGVEGEADEKWPLNPSSPYSASKASGDLLIKSYGRTYGLKYILARPSNNYGP----------YQHPEKLIPRTIIRLLHGKPATIYGD------GSQVRDWLYVEDTARALYTILTR---GGYQEVYNICASESATVREIVYRVVESMGRARDIVYVKGRPGEDRRYAMKCNKILG-LGWKPLVKLGEGLAKTIEWYTRNEWW------ 143999384 --DKKILVTGGAGFLGSHVCERL---GFSKDISLNFVAPRSK--------EYDLRNSTDTQVLFSDFEPNVVIHLAACGGIQANQRNPGRYFYDNMLMGMNIIEQSRLHNVDKFIFVSTVCSYPKHCKVPFMEYDPEETNAPYGIAKKSLQVMLDAYNKEYGLKSITLIPANLYGPN-DNFDEEKSHVIPALIKKIYSAKKNKENIIKVWGDGSAT------REFLYVKDAAEAIRLSIDIDY---DSDYVNLGTGTDISIKDLVLLLCELMEYDGEIVWDYSKPNGQPKRQLAVHKAKSLLGWRSKTTLEQGLKETIGWYERH--------- 134782106 ---KKIIVTGGLGFIGSNLIDLLLEKKYSVINIDKVTYSSNFYNTKNHIKNKNYIKDKKLKKILFKYKPSCIFNLAAETHVDRSIDNPENFIQSNIVGVYNLLECFKEFHKSKLVHISTDEVYGDILSGRTSESYPYQPSSPYAASKAASDHLVSSYVRTYKIPAMVTNCSNNYGP--------KQHPEKLIPKLIYNILNNRPLP--------IYGKGTNSREWIYVKDHCEAL---IKVFLKGKIGEFYNIGSNKNLNNLQVCSQLLNVLGSNVKINFVKDRPGHDIRYALNSNKIIKKLGWQPKVNFAEGIKLTFDWYKSYYKNLSKK-- 142376502 MTTKNILITGVAGFLGSHLSEKLLDLGHKVIGIDNMIGGYEDNIHKDIFHNLDCSEFSKVKKIMK--NIDVVYHCAATAHEGLSVFSPYEITKNNYLASVSIFSAAVNEKVKRIIFCSSMARYGE-QQTPFTEDMIPKPVDPYGISKVAAEDVLKNLCDLNNIEWVIAVPHNIIGPGQ------IYTDPYRNVVSIFLNRMLQGKPPIVYGDGD------QKRCFSYIDDCLSCLVPMLDQKNLNKQVINIGP-DEEFVTVNKVVEICSNITGSNLEPVYKEDRPREVKHATCSADKARKLLNYETKVSLSEGVKKTYDYIKK---------- 260438968 ---RTYLVTGGAGFIGSNYIHYMYDNEIRIINVDVLTYAGNENRDNYTFIKADICDREAIEKIFAENDIDRVVHFAAESHVDRSIRNPEVFVQTNVMGTAVMLNAAKKAWDKKFLHVSTDEVYGDDDNAFFYETSPIDPHSPYSASKASSDMLVKAYIDTYHFPANITNCSNNYGP--------YQFPEKLIPLIINNALHGKKLP--------VYGDGLNVRDWLYVDDHAKAIDMVQEKGRL---GERYNIGGHNEKRNIDIIKIIRKAHINEDLITYVEDRKGHDRRYAIAPDKIKSEIGWYPETMFKDGIRLTIAWFFEHEDWMKN--- 254468212 ---KTILVAGGSGFIGSHLCEYLLKKNNRVICVDNNCSSNKQNIIHLLREKFIFLKKNIINKITINEKIDEIYHLASPASPKKYQLDPIFTIKSNVHGSINLLDLAKKHDA-KILLASTSEVYGDPEVHPQVESYNGNVNTCYDESKRLAETIFFDYNRAHKTKIKVLRLFNTYGPKM--------LKDDGRVISNFITQTLDEKPLTVYGSGKQT------RSFCYIDDMVLGIVKFMQSAAKHTGPCNI--GNPSEFNLNEVISLLKKISRRKITTINIELPMDDPRKRKPDISKIQNIINWSPKVRLEDGLLKTYNYFLN---------- 212639920 ----NILVTGAAGFIGSHVCEALLPH-HHVIGIDSLIGPTPKAMKQKTVETLNTYDRFTLDDIVQ--QVDVIYHFAGMPGVRTSWTSFDLYTTNNILATQRLLEACKQHRPKQFIYTSTSSIYGE-TNGRVHENTPPTPLSPYGMTKLAGEHLCRIYESAFHVPITILRYFTVYGPRQRP----------DMAFHRFIRQMLFDEPITIFGD------GTQTRDFTYISDCVNGTLAVLGNEKAIGETFNI--GGKERASVNDVIAMLETFIGKQAKKQYVNQAIGEPKHTWADISKAENMLAYAPNVPLQEGLRREVEY------------- 143374280 MQSMRILVTGGAGFLGSHLADRLLELDHEVVVADNFYTGSRDHLLNNPKFELIRHDVT----FPLYVEVDGIFNLACPASPVQYQKNPVQTFKTSVHGAINMLGLAKRTGA-RFLQASTSEIYGDPTISPQAEDYWIGIRSCYDEGKRAAETLTFDYHRQFGLDIRVARIFNTYGPRM--------AKDDGRVVSNFIVQALQGNPLTVYGD------GSQTRSFCYVSDLIDGL---AKLFFAESVHEPMNLGNPTPINMKELAQEVISLTGSKSRIVYKELPKDDPRQREPNISKAKVLLGWSPIVERSDGLKKTVAYFQ----------- 238795491 ----KLLITGGCGFLGSNLASHAIQLGMEVVVFDNLSRGSYENLRWFTHVHGDIRNKNDVVKLIQQYQPHNIFHLAGQVAMTTSIDNPQKDFDINVGGTFNLLEAIRLFNPNCVIYSSTNKVYGDLEQFTYRETDQLTFHSPYGCSKGAADQYMLDYARIYGLKTAVFRHSSMYGGRQFATVD-------QGWVGWFCQQAIETSKNNVITPFTISGTGKQVRDLLHADDMIKLYFSGMRKIDKSGEVFNIGGGIVNSLSLLELFKILENNTSTKLIYKNLPARSSDQRVFVANIRKASEAFNWVPTVSSEEGVKKMLEWCKN---------- 209963862 ------LITGGAGFVGTNLADRLAREGRRVIVLDDLSRPGVERNLAWLPELADIRDGERLGRAVA--RSAAVFHLAAQVAVTTSLRDPVHDFEVNACGTLRVLEAVRQPEPPPLVFSSTNKVYGAGHAGGVREDRPLDFHSPYGCSKGAADQYVLDYARSYGLPATVFRMSCIYGPHQFGT-------EDQGWVAHFLIAAAEGRTITLFGTG------RQVRDILHADDLVDAFLLALNRDAVSGRAFNIGGGPANAVSLREVLEQIAGLDGRLPAVEYGPWRTGDQPWYVADISRFRQATGWTPGIAAADGIARLHRW------------- 217424220 -ERKRILVTGGAGFLGSHLCERLVAEGHDVLCVDNFYTGNIAHLLDAPNFELMRHDYVEVDEIYNLACPASPVHYQ---------RDPVQTTKTSVHGAINMLGLAKRLKA-RILQASTSEVYGDPASHPQRESYWVGIRACYDEGKRCAETLFVDYHRQYGIDIRIARIFNTYGPRM--------HPADGRVVSNFIMQALADAPLTVYGDGRQTRA------FCYVDDLIDALIRLMAAPGPMPEP--MNLGNAEEVSMLQIAREVVRVTGASVAIEFRPLPADDPRQRCPDLGFARERLGWRATTTLADGLAATVRYFIQ---------- 143609434 MVTMNIVITGGSGFVGSYLCEKLINDGHKIIVIDNLLTGSTENINDFLFIEQDVQDHIEIED-----KVDYVLHFASAASPKAYTEHPVNTLKAGSVGTINTLGLAKKHSAEYL-LASTSEVYGDPLISPQNEEYWGNERSMYDEAKRFAEAAVATYSRSYGLKTKIVRIFNTYGPKMQLNDGRV--------VTNFIVQALKNENITIYGDG------TQTRSFSYVEDTVAGIISLM----NSTEYDVFNIGNPNEMTVGQLAEKIIKLTNSTSEIKYLELPNDDPKQRKPDITKAKTKLNWEPKVNLDEGLAKTIAW------------- 77165006 MGNKKILVTGGRGFIGVNLIQPLLQS-RDVRVLDNLQRASPWQNQAADFFQGDVLEPGSLVPAFT--DVPKVIHLAAYGSVVESVADPTSNFAVNVHGTLNVMNAAVEAGVERLIFASTGGALIGDATPPVDERSLPKPISPYGASKLCGEAYCHAFAKSYHLETVCLRFGNVYGP---------HSAHKKGAVTTFIKALMKDEPIVIYGD------GSASRDYIHVEDLGSGIAAALEVPVE--GSETFHLASGRETTVLELADILRQVAGKPHHIHFKAARRGEVSRNFATYEKARCAFGFKPKWRLEDGLAATWEWFI----------- 280960912 ----RVAVTGGSGFIGAHVVDRLLDAGHEVRALDLAVNGAD---TRADHRVVDVLDLEAVAGAFDGC--DAVFHIAGMSNVDLAFADPVGTVRLNVEGTGNVCEAARRTGVRRVLFASTVWVYGAVPDESLTEDSVIEAGHIYTSTKLAAELLLHSYRETYGLPFTILRYGIPYGPGMR-----------------DELVLARFVARAVAGEPLVAGDGRQFRRYVYVRDLADAHVRALTAPA--AENETIALEGAERISVLDMAEAVRAHF-PGVEIEHVPARPGDYRGREVSAERAARLLDWRPTTTFHDGVRQYVEWYRAN--------- 136035433 ---KKIIVTGGLGFIGSNLIDLLIKKNFSVINIDKISYSSNFYNLKYKFIKCNIGDK-NFKKILFKYKPICIFNLAAETHVDRSIDNPEHFIQSNIVAVYKLLEYFKDYFKTKLIHISTDEVYGDILKGRTSEKYPYKPSSPYAASKAASDHLVQSYVRTYKIPAIITNCSNNYGP--------KQHPEKLIPKLIYNILNNKPLP--------IYGKGLNSREWIFVKD----HCEALIQIYKKGRGEFYNIGSNKNLNNLEVCNKLISELGKNIKINFVKDRPGHDIRYALNSNKIKKKLKWYPKTSFNEGIRLTFNWYKTYYNSLSK--- 157736917 LENARVLVIGAAGFIGGFVVSELLKEQVEVIIYDNFTRGKMENIEDSFPYGGDVREIDVLDK--AMEGVDYVFHLAAMW-LLHCKDFPRTAFDVNISGTFNVLEACVKHKVKKLIYSSSASVYGDAVQVPMTEDHPFNNKNFYGATKIAGEAMCTAYNDRYGLEIVGLRYMNVYGPGQD------QHAVYSGVVPIVLNKIDRNEAPSVNGD------GSQAYDFIYVEDIARCNIAAAKSDVKLG---YYNVGTEIQTSIKQLCDTMLKLKNSDLKINYVPYSADDARQLVGSRERAEKELGFKYKYSLEDGLKKLIAW------------- 37523342 ----RVLITGGAGFIGSHLCDRLVKAGDEVICLDNYFTGHLRDCANFEFIRHDVTEPIRLE-------VDRVYHLACPASPIHYQYNPVKTVKTSVLGTLNMLGLAKRVKA-RILLASTSEVYGDPLVHPQNEDYWVGIRSCYDESKRLAETLMMDYHRQNHVDIRIIRIFNTYGPRMN--------EGDGRVVSNFLFQALRGEALTIYGEGKQT------RSFCYIDDLVEGMIRLMD----SNYIGPMNVGNPDEFTILELANQVRSLVDPQLPVLFNPLPSDDPRQRCPDIGRARRILGWQPTVALGEGLART---------------- 288795102 ------LITGGAGFIGANFAHHVRRCGYRIILLDALTYAGNRILDHIEFVHGDVRDAEVLDRLVAAS--DTVVHFAAESHVDNSLLDPAPFVQTNLVGTFNLLEAVRRYD-RRLHHISTDEVFGDDSDERFTELSRYDPSSPYAATKAGSDMLVRAWVRSYGVRATISNCANNYGP---------YQHVEKFIPRQITNVLAGDRP-KVYGRGDNVREWT----------HVDDHNTAIDAILRSGRGETYLVGSGQECDNRQIVRLILELMGRADDFDLVTDRPGHDARYANDSDKLRTELGWKPRYDLSAGLAGTIDWYRRNGWWWKPQKD 139978106 -------------FIGSHVSEILLKQGNIVLGIDNLNDYYDDILNKYDNFEFDICDTNCISEW----KPDKICHLASMAGVRYSIQNPKIYVKVNIEGFIHILEEAIKNNIKDIVYASSSSVYGLNTKIPFSETDVIETNSPYASSKMAMELFAKTYTQLYDISCIGLRFFTVYGPR--------GRPDMAPYKFMKAISEGK--------EFYKYGDGTSSRDYTYIDDIVDGVISALDNN-KKVKCEVYNLGNSSPISLNDFIALCEKVVGKKAIYKQIDNQLGDVPHTYADISKAKRDLGYDPKISLKEGL------------------- 303233406 ----TYLVTGGAGFIGSNFILYMLSHDCAIVNVDALTYAGNENDDRYHFEHADIRDAQAITAILQRYNPDIVINFAAESHVDRSIENPTLFVDTNVLGTVTMLNCCRAAWNHRFLHVSTDEVYGAEETDYFYEDTPLAGHSPYSASKASADLFARAYYTTYKFPSVVTRCSNNYGP---------YQFPEKLIPLMIHNAL-HHKELPVYGDGLNVRDWLYVDDHCKAIDMVSKNGRLGEAYNVGGHNER-----SNIYIVKTIIQQLHDITGDAAIIRYVTDRKGHDRRYGIEPAKIKRELGWEPETPYEDGIRATITWYLEHQQWVEN--- 255076685 ------LVTGGAGFIGSHLADELLRLGYRVRVLDNLSTGKAEYVSRVEFIEGDVRDADAVERAMRPAAVAVVFHLAAMSKVGPSLHDPDMCVENNVVGTENVLRSALRAGTVRKVVYAASSTFYGNQPTPFAESLPMKISSPYAVTKHQGELLMRVYDEVHGLPTVNLRFFMVYGERQ---------PESGAYAVMTGIFLAQRERGEAL---TVEGDGTHFRDFVHVDDVVRSMILAWERAELRGVTVNV--GSGRAVTVNEVAEMI------GGEVKRVPPRAGDLEGTLADTCAAKRTLGFEARKNFEREIREMVR-------------- 209551572 ----TALVNGGAGFLGSHLCERLLQRGHRVICLDNFSTGRRVNVARFQLVEHDVRQPFDIEASL-------IFNFASPASPPDYQRDPVGTLLTNVLGAVNTLDCARKTGAVVVQ-SSTSEVYGDPNQSPQQESNPIGPRACYDEGKRSAETLFFDYHRTYGVDIKVGRIFNTYGPRMRL--------DDGRVVSNFIVQALRNADLTIYGDGQQT------RSFCYVDDLVEGFLRFSAA--GDACHGPINLGNPAEITVRRLAEIVRDLTNSRSQIVHLPAVTDDPRQRRPDISRAMADLGWQPCIGLETGLARTVDYF------------ 139616449 ----KILITGGAGYIGSHVCHLLIEKGYSVTCIDNLITGNKKLLPKEVNEEIDISEKEKVSKIIKLNQFDLVMHFAGLIRVDESVKQPDRYREYNYLKAKIFLETCFENNLKKIIFSSTAAIYGDPKTESVTEDHPVNPLNPYASSKYELENYIKQTCEKNNFKYIILRYFNVAGADQQLRTGLISKVSTHLIKIASEVATNKRRHLTINGDDYKTPDGTPIRDYIHVSDLADIHIVTAKYLIDG---------------------------------------------------------------------------------------- 258404507 ---KTTLVTGGAGFLGSHLCERLLNYGHEVICMDNCFTGNKENIYHLMNNRHDITFYVEVDEIYNLACPASPIHYQ---------LDPVQTTKTSVHGAINMLGLAKRVKA-KIMQASTSEVYGDPTIHPQPESYWIGRRACYDEGKRCAETLCFDYYRQHNLPIKVARIFNTYGPRMY--------MHDGRVVSNFIVQALQNEPITIYGQ------GEQTRSFCYVDDMIEGFIRLMDTEDE--FTGPVNLGNSGEFTIRELAEKVLELTGSKSELIFEPLPEDDPKQRKPETKLAQEKLGWEPKIGLEEGLPRTIEYFDAYLKG------ 296329242 --KKTYLVTGAAGFIGANFLKYILKKDIKVIVVDSLTYAGNLGTIRVKFEKVDIRDRKEIERVFSENKVDYVVNFAAESHVDRSIENPQIFLETNILGTQNLLDNAKKAWTIKYLQVSTDEVYGTYGNNFFTEESPVDPRSPYSASKTGADHIVIAYGETYKLPINITRCSNNYGP--------YHFPEKLIPLMIKNILEGKKLP--------VYGKGGNVRDWLYVEDHCKGIDLVLR---EAKSGEIYNIGGFNEEKNINIVKLVIDVLKEEITITYVQDRLGHDMRYAINPSRIAKDLGWYPETDFETGIRKTVKWYLENQDWVDE--- 256392429 ---RRAVVTGGAGFVGSHLCDRLRDSGASVVCVDNFLTGSAENVAHLASDPGFELLEHDVTEPFDVEGPDAVFHLASPASPHDYARHPLATLKAGAHGTLHALELAGRKNAC-FLLASTSEVYGDPLVHPQVESYWIGPRSQYDEAKRFAEALTATHRAHLGFDTTIVRLFNTYGPRMR--------PRDGRAVPTFVTQALAGREITVAGDGRQT------RSLCYVDDTVAGIL----AAARSGHPGPVNIGNPAELSILELADRVRELCGSSAPTVFVPRPGDDPDLRRPDITRAVSELGWAPVVDLDKGLTATIDWF------------ 139679586 ----KVLVTGSAGFIGSALTLRLLDRGDEVIGIDNHNDYYDPSLKEARHIKIDIEDKGAVDKLFQDYKPQRVVNLAAQAGVRYSIENPLAYINTNLVGFGNILEGCRHNNIDHLVYASSSSVYGSNTKMPFSHDNVDHPLSLYAASKKANELMAHTYSHLYGLPTTGLRFFTVYGP--------WGRPDMALFKFTKAILSGEKIQVFNYGKH--------RRDFTYIDDIVEGVIRVLDRPATPNPNRIYNIGNNSPVELMDYIEAIESALGMRADKELLPLQQGDVPDTYAD--------------------------------------- 142909807 LMKKTVLITGAAGFLGSHLCDYFLSKNYKVLGIDNLITGHLESINDFEFRKIDITHNFAIDDSL-----DYILHFASPASPIDYLKIPLQTLRVGSLGTENILKIALKNNCCIL-IASTSEVYGDPLEHPQSEEDPVGPRGVYDEAKRFQEALATAYHTYHGLDIRIARIFNTYGSRM-------RVNDGRAIPAFMGQVL-RGESLTVFGD------GSQTRSFCYINDMVEGIYKLLYSNYNKP----LNLGNPEEIALIDIAKEIIDMGNFNNQIEFKTLPINDPLKRKPDITKAMKILNWEPLVSRKKGLEMTFNYFKNLP-------- 256773760 -----VAVTGAEGFIGSHLVEALVATGQRVRAMVQYNSGWLETLPQDVLSEVDVRDPGSVTGLVKGA--EAVYHLAALIAIPYSYRAPHSYVDTNVTGTLNVLEAVRHLEIPRLVHTSTSETYGTAQTVPITEDHPINTQSPYAASKAGGDRLADSYHASFGTPVVTLRPFNTFGPRQS---------MRAVIPTVIGQVAAGERTVTL-------GDLRPTRDFTYVKDTAAAFLAVGTAPAADVVGRTFNAGTGGEISVGDLVALVGKLMDTDLDVCEDTQRVSEVMRLVCDASRLRAATGWAPAHSLEEGLEHTIAFFRD---------- 291386153 -DRKRILITGGAGFVGSHLTDKLMMDGHEVTVVDNFFTGRKRNVHWIGHENFELINHDVVEPLY--IEVDQIYHLASPASPPNYMYNPIKTLKTNTIGTLNMLGLAKRVGA-RLLLASTSEVYGDPEVHPQSEEYWIGPRACYDEGKRVAETMCYAYMKQEGVEVRVARIFNTFGPRM-------HMNDGRVVSNFILQAL-QGEPLTVYGSGSQTRAFQYVSDLV----------NGLVALMNSNVSSPVNLGNPEEHTILEFAQLIKNLVGSGSEIQFLSEAQDDPQKRKPDIKRAKLMLGWEP--------------------------- 141331917 ----HIFITGIAGFIGANAAEQLLKAGHRVTGIDNLNDYYDPQLKQARFHHAAIEDPDVLKNLFEANGFDAVIHLAAQAGVRYSIENPRSYLNSNLIGTFELLEAARAHPPKHMLLASTSSAYGANEAMPYLETQRADHMSFYAATKKSTEAMAHSYAHLYQLPITMFRFFTVYGP----------WGRPDMAPFKFTDAILNDRPIDVYNHGNMRRDFTYVDDLVQEIPPSDTPVTAEDSLSPVAPHRVLNIGNNAPVALEEFIAAIETATGRSATRNLMDMQAGDVPATWADTSLLQALTGYQPQ-------------------------- 281490699 ITKSNILITGGAGFIGSSLANELLPQN-KITVIDNLSMGDFNNLHETSNILGDVTDKNLLVKVLEENDFDYIFHLAAIASVADSVARPYETHQVNFDSTMTLLEILRQNKKKRFVFSSSAAVYGDEPTLPKQEEGTIRPLTPYAIDKFASEKMTMIYNNLYDVPTSATRFFNVYGPNQN------PSSPYSGFISILVDRLRENTELTIFGD------GEQSRDFVYIEDVI--QALLLIATSEQSFGEVYNVGTGVKNSINDLTKFAQKFTNKELSIKFDDVRQGDIKDSVSDISKLK-DIGYSPKFDLSNGMKK----YLNY--------- 225620655 ---KRIIVTGGAGFLGSHLCERLLNEGNYVISIDNFFTGSIENIKHFESIRHDITEHIECDEIYNFACPASPIHYQ---------RNPIHTFKTSVFGILNMLNLARNCNA-RILQASTSEVYGDPLEHPQRESYWGHIRSCYDEGKRSAETLMMDYHREYNTDIKIIRIFNTYGPRMNEFDGR--------VVSNFIIQALKNMPITVYGD------GSQTRSFCYCDDLIEGAVKMMNSENFIGP---VNLGNTAEMTVLEFAQKIIEMTNSKSEIVYKDLPKDDPIKRQPNITLAKEKLNWHPEYKLEDGLKNTIEYFDNY--------- 258591672 LQGKRILVTGGAGFLGSQVVQKLRTRGAGELCI-------------PRSTQYDLTSEQKIIEVLRSFAPDIIIHLAAVVGIGANRERPGEFFYKNLMMGVQLMEQARLHRVKKFVATGTICAYPKFAPIPFKEEDPEETNAPYGLAKKMLLVQAQAYRDQYGFNAIYLLPVNLYGP-MDNFDPASSHVIPALIKKCCDAISAGQQEIIVWGTGKAT------REFLYVEDAAEGIVLATERY---NKPDPVNLGVGFEISIYDLVHLIAKLTGFTGTVTWDTTKPDGQPRRCLDTSRAEQAFGFRATTAFEEGLRRTIDWYRQ---------- 220920483 MTNRKVLVAGGAGFLGSHLCEALLARGDRVICLDNFLTGRRRNLRHLERERFELIEHDVVRPLPASLRFERIYNLACAASPPHYQADPEHTLLTSVLGARHLLMLAEAAGAS-LLQASTSEIYGDPEVHPQAEAYWTGPRACYDEGKRAAETLCYDYARAGRVAVRVARIFNTYGPRMRA--------DDGRVVSNVVCQALAGDDITVYGDG------SQTRSFCYVADLIDGLVRLMAHEAPGFAVPPVNLGNPVELTVSDLVQRVLAMTGSPSAIVTRPLPTDDPRRRRPDIARAQELLGWAPKVPLDQGLKATILWF------------ 136309148 ---KKIVVTGGLGFIGSNLIELLIKKNYFIINIDKVTYSSNFYNIKYKFIKCNIGNK-KIHKILNKYKPTCIFNLAAETHVDRSIDNPENFIKSNILDVYKLLESFKKFHKCKLIHISTDEVYGDILKGRTTEKFPYNPSSPYAASKAASDHLVNSYIRTYKIPAIVTNCSNNYGP--------KQHPEKLIPKLIFNILNNKYLP--------IYGKGINSREWIYVKDHCEALYKI---YLNGKIGSFYNIGSNKNLTNIQVSKELLKVVGKKVKIKRIADRPGHDKRYALNSKKIMKELKWKPKTNFSKGIKLTFEWYNKYYKSLNRK-- 94263406 ----NLLITGGAGFIGTNYVYHRLAANPENVVLDALNYAGAEQSPGLRFVQGSITDQPLLEKLLREEEIDTIVHFAAESHVDRSISGPDPFIETNVVGTHILLKAARKAWPHRFHHISTDEVYGGPDDPPFRETTPYAPNSPYAASKAASDHLVRSYHHTYGLQTTISNCSNNYGP--------YQFPEKLIPLVTLNILHGRPLP--------VYGDGGNIRDWLHVDDHCRGIDLILDRGRI---GEVYNLGGDNEWNNLDLVRQLCRLLDEKFALTFVDDRPGHDHRYAIDFNKSRQELGYRPRQDFIEGLNKTVQWYID---------- 135461548 -----IVVTGGLGFIGSNLIDLLIKKNFFVINVDKVSYSSNKNSKKYKFIKCDIKDK-KFKNIIFKYKPICIFNLAAETHVDRSIDNPKNFIQSNIVGVYNLLEYFKEYFKSKLIHISTDEVYGDILKGRTTEKYPYQPSSPYAASKAASDHLVSSYVRTYKIPAIITNCSNNYGP--------KQHPEKLIPKLIYNII--NNKPLPIYGKGI------NSREWIYVQDHCEAL---IKVFKKGKVGQFYNIGSNKNLNNLQVCKNLLKILGNKVKINFVIDRPGHDIRYALNSNKIRKELNWKPKINFKKGIKLTFDWYNDN--------- 291615704 ------------------------------VVVDKLSYAGNTENPRFKFERVDICDRAALDSVFSQHQPDCVMHLAAETHVDRSIDGPIAFIETNIVGTYQLLEAARHYWNFLFHHISTDEVFGDLDDTFFTEDTPYAPSSPYSATKASSDHLVRAWLRTYGLPVIVTNCSNNYGP--------YHFPEKLIPLTIINALAGKSLP--------VYGNGQQVRDWLYVEDHARALYTVVTRGKT---GETYNIGGHNERQNIEVVETICCLLEYKDLISYVTDRPGHDQRYAIDARKIERELGWTPLETFESGMRKTVSWFLDN--------- 242281084 MNNARVLVTGGAGAIGLNLIERMLAAGVKVMVLDDLSSGYKNYLPNDEFVKADIGQIETYRQEMEEFLPEYVFHLAAHFANQNSVDHPFKDVQANIIGTMNLLEICKANKELKKFVYTSSSCVYGNAEMMNEKDYIYPHETPYAINKYTAELYVKYYASMFQIPAVSIRVFNTYGP------YEPHGAYRNVIPNFIVRAIKGEP-------LFITGDGTETRDFTFVGNTAQLLTLAALSEITDGD--IFNGGTGKPTKIIDLAKMIIEYTGSSSEIVFKERRNWDVKDRLSDISKSWKVLGYDPEVPLEEGLRKTVDWYMN---------- 138114354 ---------GGAGYIGSHMVNYLKKTNAEITIIDNLSTGHLYNINECEFINIDLRDKDRLLKKLDKRKFDGVFHFAGKSIVSDSIKNPDYYYDNNIQGTKNLIDLIIKNDLNNLIFSSSAAVYGLPQKKIIDEQHEKKPINPYGKSKFEAENIIKAASLDYNLNTTSLRYFNACGADPSGIYGEEHSCETHLIPNILISVLKKDIELSIYGNNFPTKDGTCIRDYVHVNDIVEAHYLAINNFNKKTINDNFNIGLGQGFQC------------------------------------------------------------------------ 142053716 ---KKILVTGAAGFVGSHLCERLLDQGHKVYCVDNFYTGNLENVIRHPFYEHDIT-SSMFRDFFSTRALDVIYNLACPASPVHYQRDPIGTTMTCVLGSYHVLEIARKTKA-RVVQASTSEVYGDPLVHPQPETYWTGPRACYDEGKRAAETLFFDYRRKHDVNTGVFRIFNTYGPRM--------------AKNDGRVVSNFIVAALADANLTIHGMGQQTRSFCYVDDLVNGIM----KFANSSEIGPINLGNPGEFTVDELATMIIQKVGKGY-KQYAERTVDDPQQRKPDITLAKERLNWEPTIALTEGLDKTIEYFR----------- 136548669 --GKPWLVTGGAGFIGGHVIQVLTEARVPLVLLDDANSADQKFADKVKFENCDIRDKKALTKVFAGQSFQGVIHLAALKSVEDSQKMKKEYFETNVTGTQNILEVMEEFDTREIIFSSSAAVYEAKVGTLVDETSPLRPVSYYGQTKVDAEDLILKFTNRSLGNSLIFRYFNVAG----SINSKLKDKSVQNLIPITINKLKAGLAPEIFGDDYETPDGTAIRDYVDVRDIANAHLKAIEYLSKNTNSHILNIGTGHGASVKQVVALTQEILGTRLLPLIKDRRSGDISAIAADCKKAKEVIGFTSQFKLREMIETSI--------------- 310638724 ----RCLVTGGAGFIGGYLVEYLLSQGHEVTVIDNLSTGRSSNLDALSDHKGSITDPVLLAEVMPGH--DQVYHLAAAVGVKLVADDPVRTIETNIYPTELLLRLAAQGG-QKFFLASTSEAYGKNEKDSWVEEDDLHPRWAYGCSKAIDEFLALAYHQKYGLPVVIGRFFNVVGPRQVGNY-------GMVIPRFVEAALRGEK-------LTVYDDGEQVRCFAHVSEVVRCITGLMEK--DELPHRLFNIGSDTPISIRGLAEQVIEQVDPSLEIEYIAYKDADVRRRVPDTSRLEQTLGFKPTMPLKDILAETIEWKRK---------- 143632337 ----NVLITGGAGFVGSHLVERFISKKYNVTVIDNLLTGSKKNLPFLENENFTFIDFDVQNHIEISQDLDYVLHLASAASPKAYTQHPINTLKAGSIGTINTLGLAKAKS-SKYLLTSTSEVYGDPQISPQPETYWGNVRSMYDEAKRFAEAAVASYNRIYNLDTRIVRLFNTYGP---------------KMKINDGRVVTNFISQAIKGEDIIYGNGNQTRSFCFIDDTVLGIIKAME----SDSSEVFNIGNPNEITILQLAEKVISLTNSKSAIKFVKLPEDDPMQRKPDITKANKKLNWFPEVSLEDGLLKTIEW------------- 140182358 -EQKTILVTGGSGFIGSVTCKLLVDSGFNVINIDKV----KRKLEGVTQYPFDISN-SQVKGILDLTKPDAIIHLAAHHSVPKSIAEAKEYYLNNVANSITLINNAINSGVKHFVFSGSSSVYGDSEFLLNSETDPTAPKTPYGRSKVMVEDILNDVSNVHDFTFASLRYFCAAG---SYEGLGYQINPKEHILPILVERAYTGDTFTINGDDYDTVDGTNVRDYTHVLDIAMAHIAALNYLFDGGDSDIFNIGGGSPQSIKQVISEVEKQSDKKINVEIGPRREGDAVRTDANISKA----------------------------------- 288918195 ----RVVVAGGAGFLGSHLCDRLLDDGEEVVCVDNYLTGRKEHLLGRPGFE--LLEQDVSEQMTVPGTVDAILEFASPASPLDYARYPIETLKAGSHGTLHALDLARSKGA-RFLLASTSEVYGDPLVHPQEESYWIGPRSMYDEAKRFAEALTTAYRNRHGIDTAIIRIFNTYGPRMRT--------DDGRAIPAFVSQALRGEPVTVAGDGMQTRSVCYVDDLVEG----------IVRMLRSGLPGPVNLGNPHEMSIIDTARLVVELIGADVPIKFIPRPGDDPMVRRPDITLARRQLDWEPVIDVRDGLLRTIEWF------------ 68536982 ----TVLVTGGAGFIGANFVHRTLETDVKVLIFDALTYAANPLNLRVEFIEGDVADASAFREALERADRVAIVHFAAESHNDNSLATPAIFARSNVEGTLNVAQAAADLGV-RLHHISTDEVFGDDDPNRFTVDTPYNPSSPYSASKAAADHFVRAFVRSRGLKATISNCSNNYGPR--------QHPEKFIPRQITGLIEGHRPRL--------YGSGENVRDWIHVDDHNDAVWAILD---SGKLGETYLIGAEGERSNLQVVRDLLELFGRADDFVHVTDRPGHDRRYAIDPSSI-AELGWQPRTDFASGLAETVEWYRRNEKW------ 225376961 ---RTYLVTGGAGFIGSNYIHYMYDNEIRIINVDALTYAGNENRDNYTFIKADITDKDAIMKIFEENDIDRVVHFAAESHVDRSIKNPEVFVKTNVLGTAVMLNCAKKAWGKKFLHVSTDEVYGDDGKSYFYETTPYQPHSPYSASKASSDMLVKAYIDTYHFPANVTNTSNNYGP----------YQFPEKLIPLIINNALHGKKLPVYGDGLNIRDWLYVDDHAKGIDMVQEHGKLGESYNIGGHNEEIINIIIETLQEILPDTDPRKANVSKDLITYVEDRKGHDRRYAIAPDKIKAEIGWEPETMFKDGIKKTIAWYLEHEDWMNN--- 143350404 ----TVFVTGGLGFIGSNFVFAHLKKHDTVVILDNYSYAANSTNVLGLHERCDIRNINRLDQFYYDYDPKITYHFAAESHVDNSIAGDDHFISTNVEGTHNILKCIKKFG-GKLVHVSTDEVYGGHDDPAFTETTPYDPRNPYSATKAASDHLVRAYVNTHGIEAVVTNCSNNYGPRQHS----------EKFIPTIIRHIKHKTPIPVYGNGQ------NVRDWIFVEDHCDALLTIGQNFKS---GERYNIGGGHEMSNLELITLILDLMGEPTWINFVTDRKGHDFRYAMNADKIRQELGWSATTKINEGLIKTLEWYK----------- 146304571 ----KYLVIGGAGFLGSHLIESLSG---DITVVDDLSTAKYFQGNAKLI-------REKIENFSTDEKYDYVIHLAARPSPEDYINHPVETALSNSVGTYKALEIARRSDA-VFLYTSSSEIYGHAEVIPTPESYWTGVRSCYDESKRFSEALIMSFYREYGLDVRIQRPFNVYGPRLR------EDGSYGRVISRFVYQALRGEDLTIFGDG------NQTRAFLYVSDWVEATKRMLGPGL---KGVILNVGSDKETKIIDLAKTIISMTGSKSGLKFLPPRQDDPPRRAADITKAKEVLGWEPKVSLFEGLKMTIEWFR----------- 222824235 ---KKILITGADGFIGSHLVEMLYMQGYSIKALSQYNSFNYECLKDIEVVCGDIRDPHFCKNITK--DVEILFHLAALIAIPFSYVAPDSYVDVNVKGTLNICQSALENNVKRIIHTSTSEVYGTALYVPIDEKHPLQAQSPYSASKIGADTMAMSFYNAFNLPLTIARPFNTYGPRQS---------ARAVIPTIITQIANGARQIKL-------GDVSPTRDFNYVKDTCMGFLELAKCQKAIGEVVNI--GSNHEISIKDTLELIKKLMKSDVEFIIDTKRISEVFRLLCDNSKIKNLTNFTPQYDLEKGLAQTIKWFSN---------- 298207177 ---KRVLITGAAGFVGSHLCDKFINEGCHVIGMDNLITGDLKNIEHFALENFEFYHHDVSKFVHVAGSLDYIMHFASPASPIDYLKIPIQTLKVGSLGTHNLLGLAKEKGA-RILIASTSEVYGDPKVHPQAETNTIGPRGVYDEAKRFQESITMAYHRFHGLETRIARIFNTYGPRMRLNDGR--------VIPAFIGQALRGENLTVFGDGLQT------RSFCYIDDQVEGLYSLLM----SDYTDPVNIGNPEEITILDFAEEIIKLTNSDQKIIFKPLPTDDPLQRKPDITVAKRELSWSPKVSREDGMQKTYA----YFKGLSEEE- 136422862 ------------------------------TVIDNLVTGTFNLIKNADFYNTDIADEIKVNDILQNKEFDIVMHFAGLIRVDESVKEPEKYNEYNYVKAKLFLDNCIKNNLKKIIFSSTASVYGNPKNLKVSESDEINPLNPYAETKLNFENYLKNQSKTNNIQYVILRYFNVAGADEKLRSGLISKYSTHLIKIASEVAVGKKSELIINGNDYDTPDGTPVRDYIHVSDLADIHLVSAEYLFRNNQSDIFNCGYGKGFSVKEVIETYNNFLEKKIKYKIGPKRPGDSKLVVANPDKFNKTMNWQPKFDLEYILKTAYEWEKK---------- 86142447 ----KVLVTGAAGFIGSHCAERLKKLNFDVVGIDNFSPYYSPDLKGIPMEMVDLREPKDFEKL--PTDFNYIFHFAAQPGIAA-TSSFEDYLMNNLVATKNLIDFALQCEGLKFTNISTSSIYGLRAT--LTEDAAPEPASFYGVTKLAAEQIVLSKTREQKMKTCSLRLFSVYGPRER--------PEKLYTKLIGCGFFHHEFPLFEGSEAHV-------RSFTFVDDIIDGVVSVIGK-EAIVDGEVINLGTEQEHTTGEGIAIVEELLDTQIRKDIVPRRGGDQMFTKANIDKARRLLDYNPSTTLKEGLQKQIDWYKK---------- 302884681 -----VLVVGGLGYIGSHTVWELVKDGRNVVVVDNLSNAFMGVLPDLHFYEADFRDDIKMGQILPLYKIKRVIHFAAYKSVSESIEKPLKYYDNNVGGLIRFCSMLSSFNIKYLVFSSSATVYGADQGGRLVEEACTHTTNPYGRSKWICEAILADAVADPEWTIVALRYFNPIGCDESGRLGEDPRTPTNLMPVVLKVMLGEMDRLHVFGTDWDTRDGTAIRDFIHVSDLARGHLAALTVKEKQG-FQVFNVGTGTGHSVYEVIDAVQAAFGKDVPMIKAGRRDGDVGVCVADPQRAMAELGWKPQKTLLDSCRDLCRFLQAN--------- 142214199 ----TVLVTGAAGFVGSRLVEKLSSLGHTVTGVDCLPDLYSSQMKKDRYEEKDLR----TDDLSDLGEAEIVIHQAAMPGLTKSWEDLKLYMDNNVLALDRVIQHTSTGALQKFVHISTSSVYGRTADG--SEDAPTNPFSPYGVSKLAAEKLGFAHRDNFGLPFTVLRYFSVYGPGQRP----------DMAYHRFLKAARDRSPITVYGDGEQRRTNTYVDDIVDATILA---------MERGTPGEIYNVSGAESYSINEALEMISEISGVELDIRYEPARAGDQRETKGAIDKARRELGYDPKWSLRDGLSAEWEWLSNLP-------- 269794222 ----RLLVTGGAGFIGSNFVHTTVRDDVEVTVLDALTYAGDATSLRVTLVQGDITDGALVDRLVG--ESDLVVHFAAESHNDNSLHDPWPFVQTNLIGTYTLLEAVRKHGV-RYHHISTDEVYGDDDPAKFTADTPYNPSSPYSSTKAGSDLLVRAWARSFGVQATISNCSNNYGP---------YQHIEKFIPRQVTNLIDGVRPRL-------YGAGENVRDWIHVEDHNTAVWAIIDRGQI---GETYLIGADGEKNNLEVVQTLLEVFGRPDDFDHVTDRAGHDMRYAIDATRLRTELGWEPTTDFGAGLRATVEWYRANEAW------ 136744309 MKGKRVLVTGGAGFLGSHLCERLVIAGHDVICLDNYFTGSKTNVNHRDFNNFEIARHDVTEPV--MFEVDHIYNLACPASPVHYQYNPVKTIKTSVMGAINMLGLAKRVRA-RIMQASTSEVYGDPLIHPQPESYWGNVRSCYDEGKRVAETLFMDYHRQNKVDIRIARIFNTYGPRMD-------HHDGRVVSNFIVQALEGKD-------ITIYGDGSQTRSFCYVDDLIDGFIRFMNQDECIGP---MNMGNPGEFTIKELAEKVIEITETKSKIIYEELPSDDPTQRKPDITLAHKTINWNPKIQLEEGLIKTIEYFRN---------- 14521797 ----KVLVTGGAGFIGSHLVDRLMEDGYEVRVLDDLSAGSLENLNRWEFIRGDMRDYKIVKEAVD--DVEVVFHLAANPEVRISSQSPELLYETNVLITYNLLQAIRESNVKFLVFTSSSTVYGDANVLPTPESGPLEPISVYGGAKLAAEALISGYAHIFGFRALIFRLANIIGKRSNHGVIYDFINKLKRNP----------------NELEILGDGKQRKSYLHVSDTINGILHIFEYFKRQNKFDVYNLGNEDWITVKEIAEIVSEEMGLSPKFKFTGGWKGDVKFMLLSIEKAKK-TGWRPKMNSYEAVRRTVR-------------- 220928521 ---KTYLITGGAGFIGSNFIRYMLKNYNDIINVDKLTYAGNLDNLTGAPINCDICDKDKIEEIFKLHKIDYVVNFAAESHVDRSMTNTKEFIETNITGTVNLMNAAKKAWEIRFLQISTDEVYGSCTE-CCSEESPLNPHNPYSCSKAAADFYVKFYWDAYKFPVSITRSSNNYGP--------YQYPEKLIPLMIHNTIENLMLP--------VYGDGMQMRDWIYVEDNCRAIDLVLHKGEQGEIYNIATEKKYHNRFVVDKILTYIKGEVREDMILHVRDRKASDLCYSISTKKIREKLGWSPSVNFDNGLDKTIQWYLDNKDWMDR--- 134874266 MKTK-LFITGSSGFIGFHVAKRYLDKGFKVRGFDSMNNYYDVKLKKSRFIKGNLENQKILNNSIINFKPSIIIHLAAQAGVRYSIDNPDIYLNSNINGTFNIIKIANKIKVKHLIIGSSSSVYGANKKIPFQETDKTDHVSFYAATKKSTENLAHSYSSLWKMPITILRFFTVYGP--------WGRPDMAYFKFTKNILNGKK--------IDVYNKGKMYRDYTYIDDIVDGIFRLTNKIPKLNSSKKYNIGNTKKVLLSNFISTIEKILGKKANKKFLPMQKGDVYSTLSNTKLLRRITGYNPKTKYQDGIKKFLNWYLDYYN------- 15897713 ----KILISGGAGFLGSHLTEALLEKGEEITIVDDLSTAKYFNIRKDVEFI-----KKKVEEFETEKKYDVVIHLAARPSPEDYIEHPVDTALSNSLGTYKMLEIARKSNA-RFIYTSSSEVYGSASIIPTPETYWIGIRSCYDESKRFSEALIMAYHRQYKLDTRIQRPFNVYGPGLR------EDGTYGRVVSRFIYQALKGEDVTVFGDG------NQTRAFLYISDWVDATIKLIYKDGLEGEVFNI--GSDKEIKIIELANMIIKLTGSKSRIKYLPPRPDDPPRRAADITKAKEKLGWYPKISLEEGLKLTINWFK----------- 213421875 ---KRILVTGGAGFIGSAVVRHIIHETADVVVVDKLTYAGNLMSLRFAFEKVDICDRASLEQVFQQYQPDSVMHLAAESHVDRSIDGPAAFIETNIVGTYTLLEAARAYWAFRFHHISTDEVYGDLHDDFFTETTPYAPSSPYSASKASSDHLVRAWLRTYGLPTLVTNCSNNYGP--------YHFPEKLIPLMILNALAGKPLP--------VYGNGQQIRDWLYVEDHARALYHVV---TNGAVGETYNIGGHNERKNLDVVRTICALLE------------------------------------------------------------ 237797499 MSDAPVLITGGAGFIGSHLTDALLASGHSVRILDNLSAGKRSNLPLDNPREGDVADAALVKRAAQGCR--AVVHLAAVASVQASVDDPVRTHQSNFIGTLNVCEAMREAGIKRVIFASSAAVYGNNGEGDITEDTVKAPLTPYASDKLASEFYLDFYRRQHGLEPVIFRFFNIFGPRQD------PSSPYSGVISIFAERIQNGLPIVVFGD------GEQTRDFFYVGDLLKLLLQALSR--ESAIEGAINVGLNNTTSLNELLSALSQVVGKQPEVSYQAPRSGDIKHSRASNRRLLE--------------------------------- 143748135 MKKKKVLITGVAGFLGSHLAEKLSKLGHDIIGVDNMVGGYRDNVKDIEFHKVDCCDFKKIKDIMKNVDI--VYHCAATAHEGLSVFSPYEISKNNYLASISIFSAAINERVKRIIFCSSMARYGD-QKTPFTEDMQTKPIDPYAISKVAAEQVLQNLCDLNDIEWVIAVPHNIIGP------KQIYDDPYRNVVSIFLNRMLQGKAPIIYGDGEQKRCFSYIDDCLDCMIPMLDQENLNRQIINIGPDEEF-------VTINEVAKICANISGSNLNPVYKKDRPREVKHATCSSNKARELLKYKTKINLNEGISRTYNY------------- 94972478 -KNACIYIAGHRGLVGSALVQTLQSAGYT-------------NLITRTKEELDLRNQAAVESFFATERPEYVFFSAAVGGIQANNIYPATFISDNLQIQTNVIQTAYTYGVKKFLFLGSSCIYPRDCPQPIKEEGPLEPTNEWYAAKIAGIKLCQAYRKQYGFDAISIMPTNLYGPR-----DNFHLTESHVLPALLRRFHEAMVNNLPYVTIWGTG--TPRREFLYVEDVASACLLLMEKYS---ELEPINVGYGEDCTIYSLSKTIADVVGFKGEIVTDPSKPDGTPQKWLDISKIKS-LGWKPTISLYEGIKKTYNWYLQH--------- 158343227 ----------GSGY-GTDHCRQLMQMPK----VDVLSRIEALTGKQPTFYQGDIRDEAFLDSVFAQHDIQAVIHFAGLKAVGESVAKPLEYYDNNVNGSLVLARCMRKAGVKSIVFSSSATVYGDPEIVPITEDSPTGTTNPYGRSKYMVEQCLSDFLAENDWSITLLRYFNPVGAHPSGSMGEDPQGPNNLMPFIAQVAVGRREKLSVFGNDYPTPDGTGVRDYIHVMDLADGHIAALKSVGATSGLHIYNLGTGKGSSVLEMVEAFAAACGKPVPYELCPRRPGDIAECWARAEEAERELGWKAST------------------------- 254776506 ----RVLITGGAGFLGAHLCARLLDDGVEVVSVDDLSTSGPAVRFGDRFVQRDVCDPGLIDEVGSGF--DAVFHLASAASPVDYQRRPIQTLRTGSAGTATALE-IAERAGARFVLASTSEVYGDPESHPQRESYWVGPRSVYDEAKRYAEALTFAYHRLGRADVGVARIFNTYGPGMRA--------DDGRMVPTFCLQALRGDPLTVSGAGLQTRSLCYVDDTI----------TGLIALAHSDFAGPVNIGNPTELTVLSAAELIRELAGSTSTIQFTPPATDDPQRRCPDIRLARQRLGWRPRVDYRTGLSTTLAWF------------ 32472000 ---QRLLITGGAGFIGSNLVRIALSAGHQVLNVDALTYAGNESSPNYRFAHVDITDAAAIDATIADYQPDAIMHLAAESHVDRSIDGPGQFIQTNVIGTFNLLQSSLKHYRFRFLHVSTDEVYGLGDTGLFTETTPYAPHSPYSASKASSDHLARAWQDTYGLPVLVTNCSNNYGPYQFPVYGKGENIRDWLYVEDHCRALLTVIEKGTPGETYNIGGNNEQRDLVHLICNLMDELCPKVPSPPEMGERARVRGQKNNTPRSTPDGASRGESQSASLITFVTDRPGHDLRYAIDASKIQRELGWEPQENLQSGFRKTVQWYLNNQ-------- 168187551 ----NILVTGGAGFIGRWVVKTLLKEGHNVLALDNLSNGRLENIKNFKFIQGDIKDTKLLDDIFEKQQFDIIYHLGASINVQDSIDDPTTTFYNDTVGTFNILEKAKIQMFCKVVFMSTCMVYDKAEEKGIDELHPVKPVSPYGGAKIAAENMVLSYYNAYRLPTVVIRPFNTYGP------FQKTGGEGGVVAIFIKNALDKKD-------LNIYGTGKQTRDLLYVKDCARFV--IMAGFNSNVDGQIVNAGTGRDVTINELADIISNGRVKINHVKHIHPQ-SEIMKLLCNYSKAEKLMEWKPEYTLEEGIVETEGW------------- 254881615 ---KRILVTGGAGFIGSHLCTRLIEEGNIVICLDNFFTGSKENISYLIGHEHDIINPTDVNEIYNLACPASPIHYQHDAIKTA---KTAVFGTFNMLGLAKRNKA-------KILQASTSEVYGDPLSHPQREGDWIGYRSCYDEGKRCAETLCMDYYRQHGVLVKIIRIFNTYGPNMLT--------DDGRVISNFVVQALLDKDITIYGDGKQT------RSFQYIDDLVEGMIRMMATEDH--FTGPVNIGNPCEFSIFELAQKILELTCSHSNIIFEPLPHDDPRQRRPDITLAREKLDWEPHIHLEEGLMKVIDYFK----------- 254427355 -SNPKILITGVAGFIGSNLLEALLKLDQTVVGLDNFATGHQHNLLRFKFIEGDIRNLDDCNKAVSGV--DYVLHQAALGSVPRSIYDPITTNQANIDGFLNMLVASKDEEVKSFTYAASSSTYGDHPALPKQEDVIGNPLSPYAVTKYVNELYASVFSRTYNFHSTGLRYFNVFGPRQDPNGAYAAVIPKWTAAMIQNETL------------YINGDGETSRDFCFV-DNAVQANILSAVNGELKSSEIINVACNDRTTLNQLFELIRQALNYKKNPEYRDFRAGDVRHSQADIGKAGRLVGYEVLYRVGDGIEKAMSWY------------ 140922633 ----KVLVTGAAGFIGSAVSIRLLDRGDEVVGLDNHSDYYDPDLKEARHFRVDIENKEAIETLFKEQQFDAVVHLAAQAGVRYSIENPLAYIHTNLVGFGHILEGCRHNDISHLVYASSSSVYGSNTKMPFSHDNVNHPLSLYAASKKSNELMSHTYSHLYNIPTTGLRFFTVYGP--------WGRPDMALFKFTKAILSGEKIPVFNYGNH--------RRDFTYIDDIVNWSGDNPDSGTSHAPWRLYNIGNNSPIELMDYIKAIEDALGVEADKELLPLQPGDVPDTYADVE------------------------------------- 155371491 ---KSVLVTGGAGFIGSHVVMKLVQRECKVVVLDKMDYATVRDAPNFKFVKGDIQSTDLLAHVLKQEKIDTIMHFAAQTHVDNSFGNSLAFTMNNVYGTHVLLECARYGGVQRFINVSTDEVYGLGKKEGLDEHSSLEPTNPYAAAKAGAEMMARAYHTSYKLPVIVTRGNNVYGPH--------QFPEKMIPKFILRATRGLDLPIHGDG--------GALRSYLYVDDVAEAYITIL---LKGNVGETYNIGTQKERSVVDVAHDICKIFDSDTAIWHVKDRAFNDRRYFISDKKLL-DLGWQEKTTWEDGLKQTVGWYLQH--------- 143686541 ----KIFITGSSGFIGFHTAKKFLDKGYIVQGFDSMNNYYDVKLKKDRFTKGNLENKKELNKSVLNFKPNIIIHLAAQAGVRYSIENPEIYLNSNIVGTFNIIEISKKINVKHLIIGSSSSIYGANKKLPFKEIDKTDHISFYAATKKATESLAHSYSSLWKLPTTMLRFFTVYGP----------WGRPDMAYFKFTKKILNKKKIDIYNRGKMYRDYTYVDDIVDGIFKISKKKYRNDSLSHTAPFRILNIGNTKKIFLLNFINALEKELGEKAIKNYLPMQKGDVQSTLSDTSLLKRITGYNPKTKYQAGIKKFVKWYIDYY-------- 167043216 -------VTGGAGFVGSHLVKLLVEEGHEITVIDNLHKGKKENINKIKFQKMDIQDYESMRKILKNV--DGVFHQAALTVVQDSFTRPEEYFDVNVHGTENIFKL-ANENKFKVVYASSSSVYGHKLETPITEDAERNPISPYGKTKLEAEHLAEKYSKLN-TEIIGLRYFNIFGKGQTLDYAG--------VITKFLERINEGKAPIVFGK------GSQIRDFIHVNDVAKAN---LMAMNSNCSNLIVNIATGNVTSILELADMMINASGLKLEPIFVEALEGDIEKSHADISQAKKYFNWEPKIELQD--------------------- 136167022 -KRRRVLITGVAGFMGSHIAERFLERGDEVIGIDNLLGGYQSNIPNGVDFLADLGNLEIIQPYFKGV--DLVVHSACTAYEGLSVFSPTLVTRNTSHITVAALTASVINKVDKFIFMSSMARYGRQETLPFTEDMQPRPQDPYGIAKYSSEVLVENICETHGMKYAIVVPHNIIGPRQ------KYDDPFRNVASIMANRMLQGKQPIIYGD------GTQMRCFSFMEDVIDPLMKVCDTDIVDGQVTNIGP-DEEFISINELAERISTILKFDLDPIYYPDRPKEVKYANCSAQKARRLLGYKTSTSLDTGLTKLVEW------------- 237725319 ----RYLITGGCGFVGSNLAAEVLRRGEELYIIDNLFRGSADNLTWFKYYPFDVRNINDVETVIKEVKPDYIFHLAGQVAMTTSIANPRLDYETNALGTFNLLDSVRKYSPDVILYSSTNKVYGDFEYLHFKEESTLDFHSPYGCSKGCADQYLLDFHRIYGLKTIVFRHSSMYGTNQHATYDQGWIGWFCQKALEIKNGVSKEP-------FTISGTGKQVRDVLHGEDIVNLYFTAKDVKEAYGQVFNIGGGIENSLSLLELFGILEEKLSIKMSYKQLPWRESDQKVFVADYTKVQSIVGWKPKISKEDGLNRMLDW------------- 142538295 ------IVTGGSGFIGSNLISPLLKKKYFVINVDKLSYSNFKKNRNYIFYKTDINKTSKILQILNKHKPIGIFNLAAETHVDRSIDKAHHFIRSNIVGVYSLLEAIRKFENNKLLQISTDEVYGDPNNKKADENHNYNPSSPYSASKAAADQFIKSYSRTYGLKIMIAHPCNNYGPN--------QHPEKFIPKMIFNILNNKPLP--------VYGDGKNVREWIYVKDNCEAL---LKIFLKGKNNQNYNIGTGVRLKNTEIAKKLLDIAKKKTKIVFVKDRPGHDERYALNSKLIKKEIKWKHKISISNGLLKTLAWYSKNYNYFKQ--- 134941727 ----KILVTGSAGFIGSHLTLRLLARGDEVIGIDNHNDYYDSSLKEARHIRMNIENGDAVKLLFDENQFESVVNLAAQAGVRYSIENPLAYINTNIVGFANILEGCRHNNIGHLVYASSSSVYGANITMPFSHDNVDHPLSLYAASKKANELMAHTYSHLYNLPTTGLRFFTVYGP--------WGRPDMALFMFTKAILEGKKIPVYNYGNH--------KRDFTYIDDIVEWNGINPDPGSSMSPWRIYNIGNNSPVELLDYIEAIEEALGLKADKELLPLQPGDVPDTYADVNDLVRDL------------------------------- 126433700 ----RLLVTGGAGFIGANFVHATVREDYAVTVLDAFTYAGSRESLRIRLVEGDVADAGLVGGLVDDLDPDAVVHFAAETHVDNALADPGPFVQTNVVGTYTVLEAVRRAGV-RLHHVSTDEVYGDDDPRRFTESTPYNPSSPYSSTKAAADLLVRAWVRSYGVRATISNCSNNYGP---------YQHVEKFIPRQITNILTGRRP-KLYGTGA------NVRDWIHVDDHNSAVWRILR---DGVVGRTYLIGAEGERDNLSVLRTLLRIMDRDPDFDHVTDRAGHDLRYAIDPSVLYDELGWKPAHDFEEGLRATVQWYRDNEAW------ 136706451 --GETVLVTGGAGYIGSHAVLELLNAGYKVVVLDNFINSVAESLKEFDFYETDILDRQGLKKIFSEHQIDSVIHFAGLKAVGESSEMPLNYYENNVNGSVVLFQEMEAAGVRKLVFSSSATVYGEPETVPINETAALGETNPYGRSKYMIEEIIKDVQKAFDWNVVLLRYFNPVGAHESGEIGESPDFPNNLLPFITQVAIGRREELGIFGDDYNTPDGTGVRDYI----------------------------------------------------------------------------------------------------------- 136168539 ----RALVTGGAGYIGSHLVDRLLGSGHRVTVLDDLSTGNAANLAAARDHHDTILDETVVRRLVG--EADLVFHLAAAVGVGNIVAQPLSSLVTNTRGAENVIDACVAVD-KKLLLASTSEIYGKTSKMPMSEDDDTIARWGYSTAKAIDEHLALAHAE-HGLRVSIVRYFNSYGPRLDSRGYG-------SVVANMMRQALDGEPLTVHGDGTQTRCFTYVDDTVEGTLRA--------ALDARGEGRVFNIGNDHETSINELARTIIEMTGSASQIRHVEERYGDTKRRVPDVRRAHDVLGFRAGVTLRDGLERTLRWWRD---------- 57641643 ----KVLVTGGAGFIGSHLVDGLMESGYEVRVLDNLSAGSLDNVKHWEFIKGDMVDLETVKKAIEGV--DVVFHLAANPEVRISAQSPETLYESNVTITYNLLEAMRNSDVEYLVFTSSSTVYGDAEVIPTPESGPLKPISVYGGAKLAAEAIISGYAHIFGFKALSFRLANIIGKRSNHGVIYDFINKLRKNP----------------NELEILGDGTQRKSYLHVSDTVEGMLHIFEHFKKSGETYDVYNGNDDWITVKEIAEIVSEEMGLSPKFRFTGGWKGDVKLMLLDIGKAKS-TGWRPKMNSYEAVRRTVK-------------- 229584492 ----KIAVLGGAGFIGSAFVRELNKRGIRPIVIDLLTYGRVENLKDTDHEKADIRDQ-KLHDILREHKVEVVINFAAESHVDRSIYKPQDFVTTNVLGTINVLEAARRFN-FNYVHISTDEVYGEECG---DEDSPLNPSSPYSASKASADLFVKSYVRTYDVKAIIVRPSNNFGPR--------QFPEKLIPKAIIRTFLGLHVP--------IYGDGKQERDWIFVEDTARIIADLIDKAEWRREVYNIPGK--QRVSNLELVKLLSEVMGKEVRIKFVSNRPGHDRRYCMNTKLSYEV------TPLKEGLRKTYEWYISNEWW------ 304394335 -SRKTILVAGGAGFLGSHLCKRLLDEGHTVICADNFQTGRSANVLETTNSSFSVIRHDVIKPLKLAGPLDEIYNLACAASPPKYQQDPIHTMQTCVNGTLNLLNMARDKGA-RFFQASTSEVYGDPVVHPQSEGNPYGPRSCYDEGKRAAEALCHDFAERYDVTVKVARIFNTYGP--------QMLADDGRVVSNFIVQALRGEPITIYGS------GSQTRSFCYVDDLVDGIVKLI--RSDGSVTTPVNLGNPVEFTIRQLAELVIEQTGTGSHLKMCTLPVDDPKQRRPDISKAKQTLNWEPSIMLAEGVRRTTAYF------------ 292656169 MDGKRVLVTGGAGFIGSNLANHLAEDN-DVIAIDDLYLGTPENLDDA----VEFHDTTVLDDDLPTEGVDVVFHLAALSSYKMHEENPTKGARVNIEGFVNTVEQARKDGCDTVVYATTSSIYGS-RTEPSPEDMPVESRTGYEASKLGRERYAEYFHHHYDMQLAGMRFFSVY---QGFGGAEEHKGEFANTVAQFTDKIANGESPELFGD------GTQTRDFTHVDDIVR----GLELAADERLQGIYNLGTGESYSFNEMVEMINEVLGTDVGPVYVENPFEYVHDTKADYSKIHDATGWEPQVSFEAGVERVCQPYLD---------- 260551673 -----ILVTGGLGFIGSHIALSLLAQGQEVVIVDNLANSTLQTLMYVPFVKLDVRNTPALNKVFEQYSIDAVIHTAGFKSIEESNLKPLEYYNDNVSCIMSLLRAMQRTGVRHFIHLSSLAVYGKSGQLSETEDFNYAYPNPYIKSQQMIEEIIRDHKIDHEWKIAILRLSNIVGAFEHGVLGEYVAQLPKNIVPLALQVAAMQRDLIELQDQVSTEDHTVERSFLHVLDVCEAVSLTLHWLRDQTHCCEAFNIAHEQVQIRQLLDEISQVTQAEIPTQSAIYKHEELAQLGANIGKAKTLLQWNPKRTLKQMIEDEWRFYQN---------- 136195962 --KKRVIVTGGAGFLGSHLCEKLLAQGHEVICVDNFFTGNIKHLLTNPFFEVVRHDVTEADEIYNLACPASPVHYQ---------FDPVQTTKTCVLGAVNMLGLANRLKIKILQ-ASTSEVYGDPQVHPQPESNPIGPRACYDEGKRCAESLFFDYQRQRGVNIKVARIFNTYGPRM--------HPNDGRVVSNFIMAALQNKPIPIYGE------GTQTRSFCYVDDLIKGLMLLMNSGDEVSGPINI-GNPNTELTMLALAQLIIKLSNSSSKLTYMPLPKDDPLQRQPDISLARKVLGWDVSVDVETGIMRTIEYF------------ 142078472 MKS---LVTGGAGFIGSHIVDRLLDMGHEVVVIDNSDNDHFNWRDEAQNYKYDICDYEKTRPLYDGV--DYVFHLAAEARIGPAIANPVNAMNINVVGTCTVLQCAREAGVKKVMYSSTSSAYGLNESPNI-ETQRNDCLNPYSVSKVAGEEVCKMYTNLYGLPTVIFRYFNVYGERA------PRKGQYAPVTGIFLRQKEAGETLTIVGDGH------QRRDFIYVGDIVNANIIAISNPDYEAYGQVYNVGTGTNYSIRQIARQI-----SDDVINIAP-RPGEARTSLANINKIKKTFGWEPKMKLED--------------------- 229819685 ----HVLVTGGAGFIGTNFVRSTLEDDADVTVLDAFTYAGNAASLDDLGERVDVADAEVVEPLVAAS--DVVVHFAAESHNDNSLADPWPFVRTNVVGTYTLLEAVRRHDV-RYHHVSTDEVYGDDDVERFTEATPYNPSSPYSSTKASSDLLVRAWVRSFGVRATISNCSNNYGP---------YQHVEKFIPRQITNVIDGVRPRL-------YGEGRNVRDWIHVRDHNDAVWAIID---GGRLGETYLIGADGELDNRRVVELVLTEMGSDPTYDLVTDRAGHDLRYAIDAHKLRTELGWSPRFDFRAGLADTIAWYREHEDWWRPQK- 157415665 ---KNILITGGTGFLGSNLCKRLLGEGNKIICVDNNYTGRMENIKELENENFTFIEHDICEPLKITQKLDQIYNFACPASPPAYQGKHAKTTKTSVYGAINMLELAKEHNATILQ-ASTSEVYGDPLIHPQNEEYRGNVNSCYDEGKRCAESLFFDYHRHEGVDIKIIRIFNTYGENMD--------PNDGRVVSNFICQALSGKDITIYGDG------SQTRSFCYVDDLIDIIIKVM--NSSKDFQGPINTGNPSEFTIKELAQKVIEKTGSKSKIIYKDLPLDDPTQRRPDISLAKAKFNWEPKINLDEGLEKTIKYFKE---------- 135118449 ----KILITGGAGFQGSHLTESLLEKGHDVTILDIISPNIAGFVDDVTLVYGSITDRTLIDKTVK--GKDVVFHLAANINVDQSLEDPYSFIECNVIGTHNVVEAVRQNNI-RMIHASTCEVYGDGHDELLTESSALMANSPYAASKAAADRWCHAYYRSFNTDITIVRPFNIFGERQKS-------GAFGAVIAIMVRRALAGQKLLVFGDGSAT------RDFMHVSDLVRAYNLVLEHPELSGKAINFASGVDTSV--KDIAEYIAKKLNVE--IENGPARPGEVMRFPADISLAKSI-GFEPQVSIWAGIDRYIEW------------- 206891133 -EGRNILVTGGAGAIGSNLIIALSKRG-KVIVLDNLSAWNVTPLPNIMFVFGDVRNDIDLKRVFK-EDISIVFHLAAFFANQNSVDYPEVSAEVDVIGQIKLLEYSRLAKVEKFIYASSCAIYGSYPKLPLKEDFIMHLTTPYQINKMTGEMYCNFYHHHYGLSIVNCRFFNSYGPGEVPGQYRNVIPNFIYWSM-------KGLPLPITGTGEET------RDFTYVLDLVQGLIKAGYYKKAIGEN--FNLAAGREVSIKEVASMVLEATGNKAGLIFKERRKWDTPRLLASIEKAKELIGYKPIVDFREGFDANIEWFKDNWEKIE---- 142294707 ----KCIVTGGKGFIGSNLVDALIDQGHEVLVIDNYSDAHEQFYVNDKAEYADISNYELTRTFY--HGVDVVFHLAAEARIQPAIKNPLNAVRINTLGTATVLQCAREAGVDRVIYSSTSSAYGFN-SAPNDETQADDCLNPYSVSKVAGEKLCSMYTDLFDLKTFIFRYFNVYGERQ------PLKGQYAPVIGIFLRQLAAGEELTIVGD------GEQRRDFTHVSDVVNANILAATKDLKEEDYGQYNVGNGQNYSVNEIADMI------STEQKFIDARPGEARITLADNTKLRTTLGWEPTIHLKDWVAKAVD-------------- 159040500 ----RILVTGGAGFVPSHLVEALLARGCTVVAVDNFVTGSKENVAHFTLVEADISDGLPGHHPAFAERFDAILHMASPASPTDFEKLPVEILRVGSVATLHLLDRAVADGA-RFLLASTSEAYGDPLEHPQRETYWIGVRSVYDESKRFAEAATMAYHRSRGADVAIVRIFNTYGPRMR--------PDDGRAIPTFIAQALRSEPITVHGTGDQT------RSICYVDDLVRGILLLLD----STETGPVNCGTEHEMSMRRLAESIVSLCGSPSEVTYVTRAADDPKMRRPDLTRARELLDFEPEITPEEGLHRTIAYFRE---------- 135498736 MAIMNILITGGSGFIGSHLSEKLLNNKNKLFLIDNLLTGRTKNIEHLDQDRVIFMEHDVQDHIEIDEKIDYIFHFASAASPVAYTEHPVNTLKAGSIGTINTLGLAKKHNAEYF-LASTSEVYGDPLVTPQTEEYWGNERSMYDEAKRFAEAATATYARTYDIKTKIVRIFNTYGPRMQLNDGRVVTNLIVQALNNEDITI--------------YGDGSQTRSFSYVDDTVAGILALM----KSDKYDVFNIGNPNEMSIKELSSVILKLTDSKSNLVYKDLPNDDPKQRRPDITKAKENLNWEPKVDLETGLTSTIEWIKK---------- 15807711 ---KSLLVTGGCGFIGSNFVRYWLGQHPEVVVYDKLTYAGRKENLHDLWDNGDIGDMDLVRRTCQENDVDLIVNFAAETHVDQSILGPLVFTETNVRGTHVLLEVARELGIRLHHISTDEVYGHIKDGHQSVETDELAPRSPYAASKAAADQLVQAYAITYGIPVTITRGANNVGP--------YQYPEKAVPLFSTNAILGEPLP--------VYGDGQQMRDYAHVYDHCTGIETVL---LRGNIGEVYNVGTGREMTNLEMVDIVLETLGKDHSVKHVTDRPGHDRRYSMNVDKLRS-LGWQPKYDPKQAVAEAARWYEGNRWWWE---- 257055179 -EREHVLVVGGAGFIGSHVCQRLLRSGHRVTCVDSLVTGSVTNVARFRFVELDVTLP--LPRWPDLGTPDSVLNLASPASPKDYQRLPIETLRTGSRGTEHTLELAVRHGA-RFVLTSTSEVYGDPLEHPQRETYWIGPRSVYDEAKRYAEALTMAYHRELGADVAIARVFNTYGPRMRT--------DDGRMIPNFITQALSGAPLTVAGS------GTQTRSVCYVDDTV----TGLLALWRSGLTGPVNIGNPHELTVGQVAEEIRRITRTTSPVISIPGAVDDPRRRCPDITVARTQLGWSPEVGLHEGLRRTIAWF------------ 17539532 -TRKRILITGGAGFVGSHLVDKLMLDGHEVIALDNYFTGRKKNVHWIGHPNFEMVHHDVVNPYF--VEVDQIYHLASPASPPHYMYNPVKTIKTNTLGTINMLGLAKRVKATVL-LASTSEVYGDPEVHPQPETYWIGPRACYDEGKRVAESLMVAYNKQENIKIRIARIFNTFGPRM-------HMNDGRVVSNFIIQAL-QDKPITIYGN------GTQTRSFQYVTDLVD----GLIKLMNSNYSLPVNIGNPEEHTIGQFATIIRDLVGSTSEIVNLESQQDDPQQRRPDIRRAAEQISWAPQVHMKDGLLKTVDYFRRNKRG------ 227882768 MHDKRVLVTGGAGFIGSNLANHLADSN-EVIAVDDLHLGTPENLSSA----VEFVDASVLDDDLPTEGIDVLFHLAAYSSYTMAEENKRAATRVNVEGFVNAVEQAREDGCETVVYASTSSIYGS-RTEPSPEDMPVEARTCYEASKLAREQYGEYFHHHYDMTLAGLRFFSVY---QGYGGAEEHKGEYANTVAQFAHKIANGERPELFGD------GSQTRDFTHVNDIVRGIELAADHRLQ----GIYNLGTGESYDFNTMVRLINEELGTDVEPKYIENPLDYVHDTMADTTKIREATGWEPKISFEEGVQRVCEPYLD---------- 254281596 ------MVTGAAGFIGANFVHYWAEKGDTLIAYDALTYAGNRNSLKLVFVQGDICDPDCVSQTLRDHSVDTLVHFAAESHVDRSITGPDAFIRTNLVGTHTLLAAAREFWPHRFHHVSTDEVFGTAEAPAFREDLRYEPNSPYSASKAGSDHLVRAYHHTYGLEVTTSNCSNNYGP--------YHFPEKLIPLCLTNILDGKPLP--------VYGDGSNIRDWLYVVDHARGVDAVL---SSGEVGETYNIGGINEWRNIDIVELLCEQMDAASLITFVEDRAGHDWRYAIDAGKIGRELGFVPEETFATGLKKTLHWYVEN--------- 138681325 ----RVLVVGGAGYIGSVCAAHLTEHGLDVEVFDDLSTGHREAV-RGPLHVGDIRDRARLTEVLRRGRFDAVMHFAAKSLVGESVAHPLRYFDVNVGGTATLVQAMLDAGVRSLVFSSSCAVYGVPDTLPIPEDHPHRPVSPYGESKAMVERMLAACREREGLRVTSLRYFNAAGATLDGALGEAHSPETHLIPLALQAAMGQRPPLKL---------------------------------------------------------------------------------------------------------------------------- 219849037 LSGARVLITGGLGFIGSNLAHRLVELGAQVTLVDSLEYGGNLYNIAGIEDRVDVRDEYSMNYLVQGHDI--LFNLAGQTSHLDSMRNPYTDLDINCRAQLSILEACRKHNPRIVVYASTRQIYGKPDYLPVDERHLLHPVDVNGINKMAGEWYHILYNNVYGIRACALRLTNTYGPRMR-------------VKDARQTFLGVWIRNVIEGKPIVWGDGKQLRDFTYIDDCVDALLLAALHPAATG--QIFNLGGLEVINLRDLAALTVEVAGGGSFIPYPPDRPIDIGDYYADDRRIRQMLGWQPRIDLRTGLARTIAFYREHHQHY----- 146093480 ----RVLVCGGVGYIGTHFVRELLRYSHDVIIADSLEATHGSDVHFAKLEVGDVRDVNFLEHVFTTHAPDAVVHMCAHIVVPESVRDPLRYYDNNVVGMLRILQTMLKYKCDKLILSSTAALFGNPPMKPIQSNAKCMPESPYGTTKLVDEYMLKDCAAAYGIKSVCLRYFNACGADAEGDIGETHEPESHLIPVHHPDRQKVNDYISIFGTDYPTPDGTCIRDYVHVKDLSSAHVRALDYDDKDKFFSTFNLGTSKGYSVREVIEAARRVTGHPIPEREEKRRDGDPPVLVASGEEAAAALGWNLEYSIDKIIDSAWKFHSKHPVGYE---- 242008342 -TRKRILVTGGAGFVGSHLVDSLMTLGHEVIVVDNFFTGSKRNVHWIGHRNFELIHHDIVNPLF--IEIDEIYHLASPASPPHYMFNPVKTIKTNTVGTINVLGLAKRVGAKVL-IASTSEIYGDPEVHPQSETYWIGPRACYDEGKRVAETLSYAYAKQEKLDVRVARIFNTYGPRM-------HMNDGRVVSNFILQALKNE-------TITIYGTGKQTRSFQYVSDLVD----GLLALMASNFTEPVNLGNPVERTIQDFALIIKKLVGGSSKIKQTMAVEDDPQRRKPDITRAKKFLNWEPKVNF----------------------- 148238528 ------LITGGAGFLGSHLTDRLMNAGEEVICLDNYFTGRKSNIQWIGHPRFELIRHDVTEPI--RLEVDRIWHLACPASPVHYQFNPIKTAKTSFLGTYNMLGLARRVGA-RLLLASTSEVYGDPEVHPQPESNTIGIRSCYDEGKRIAETLCFDYQRMHGTEIRVMRIFNTYGPRM--------LPDDGRVVSNFIVQALQGQPLTLYGDG------SQTRSFCYVDDLIEGMIRLM----NGNHTGPINIGNPGEFTIRQLAELVRDRINPKLELITKPLPQDDPLQRQPIIDLARKELGWEPKIALQDGLQPTIDWFKQ---------- 144162262 -----VLVTGAAGFVGSRLVEKLSSLGHTVTGVDCLPDLYSSQMKKDRYEKLDLR----TDDLSDLGEAEIVIHQAAMPGLIKSWEDLKLYMDNNVLALDRVIQHTSTGALQNFVQISTSSVYGRTADG--SEDAPTHPISPYGVSKLAAEKLGFAHRENFGLPFTVLRYFSVYGPGQRP----------DMAYHRFLKAARDRSPITVYGDGEQRRTNTYVDDIVDA---------TISAMDRGTPGEIYNVSGAESYSINEALGMICEISGVGLDIRFEPARAGDQRETKGAIDKARRELGYDPKWSLRDGLAAEWEWLSNLP-------- 143704902 MSKGKILITGATGFIGSHLCELALKKGFKVVAFDRYNINNDPGWLSKKEVKGDIRDYDSVIKAMKGCK--SVMHLAALIGIPYSYSSPLAYLKTNVEGTYNILEAAKNLNINNIITTSTSEVYGSAQYTPIDESHPINAQSPYAASKASADQLALSYYRSFKLPVKILRPFNTYGPRQSN---------RAIIPSIINQCLKNKDQKIILGN------TSPKRDFSYVSDTCESFFKV--YYNKKLFGQIINSGTKSNISINDLAKKIFKIMKVNFKIVDEKMRPSEVNNLLCENKKMKKLTKWKPSVSLDEGLKKTIEW-VKFNQSKYGDH- 254425034 LANKKILVTGGAGFLGKQVVDQLQQAG-----------ANPDDILVIRSRDYDLTEMDACKR--AVIGQDVVIHLAAHVGIGLNREKPAELFYDNLMMGAQLIHAAYQAGVEKFVCVGTICAYPKFTPVPFKEDDPEETNAPYGIAKKALLVQLEAYRQQYGFDGIYLLPVNLYGPEDNFDPRSSHVIPALIHKIHEAQINGDK-------TLPVWGDGSPTREFLYSTDAARGIVMATQHYS---DAAAVNLGTNSEISIKDLAELICEVMDFEGKLIWQTDKPNGQPRRCLDVERAKQAFGFEAQTDFREGLRKTVEWYRQHAQTL----- 140191606 -----------------------------------------------------IRDRNALDTIFKKHQPDAVMNLAAESHVDRSIDGPADFIATNVNGTLNMLEASRSYWTFRFHHISTDEVFGSLPSDPFTEETPYDPRSPYSASKASSDHLVRAWHETYGLPVVLTNCSNNYGP--------YHFPEKLIPVIILNALAGKPLP--------IYGNGSNIRDWLYVEDHADALLLVLEK---GKIGRSYNIGGENERTNLELVQTLCKILDRADLITFVQDRPGHDARYAIDPSRIRDELGWRPSVTVEEGLEKTVQWYLDNQDW------ 136201196 ---KKIIVTGGLGFIGSNLIKLLIKKKYKVINIDKVTYASNFYNKNYKFIKCDLNNKKKLKLAIKRFKPNIIFNLAAETHVDRSIDGPKNFIDSNIIGTFNLLEIIKTNNKPKLIHISTDEVYGDVLKGRSKEDDTYKPSSPYSASKASSDHLVYSYIRTFNIPAIVTNCSNNYGPR--------QHPEKLIPKLIYNIINNKELP--------IYGKGKNSREWIYVDDHCEALIKVSEV---GKLGEFYNIGSNYNLDNITVVKKLLQVTGSKVKIVFVKDRPGHDMRYAIDSSKIKNKLKWKPKINFLKGLEKTFKWYFNNQKYYSK--- 212218311 IRGKKFVVIGGAGLIGSHTVDRLLQEDAEVIIYDNFVRGTRENLLRDPRTKIDIGGDINQTDILNTAGVDGVFHFAALWLLQCYEYPRSAF-QTNIQGTFNVLETCVAQGVKRLVFSSSASVYGDALEEPMTEAHPFNNRTFYGATKIAGEAMATAYHHRYGLPFVGLRYMNVYGPRQD------YRGAYIAVIMKMLDALDKGQPMTLYGD------GSQAYDFVYVEDCAAANICAMKADTVD---EYYNVGTGKRTSILELAKEIQKITGTSDNIQFLPQGTTFVKNRIGCPKKAAEQIGFKAEVGLTEGLQRLIGWRRSHIAEVEQRRE 282862605 ---RRALVTGGAGFLGSHLCERLLEGGVGVDCADNLSSGSARNVAHFRFLNCDVSDPACAQELTGPY--DLVLHFACPASPADYLRMPLETLDVGSLGTRNLL-AVAERDDARLLLASTSEVYGDPLVHPQREDYWVGPRSVYDESKRFSEALVTAHAGARGTNAGIVRLFNTYGPRMRA-------HDGRAVPTFICQALAG-EPLTIAGD------GSQTRSLCYVDDTLDGVM----RVAASRSVRPVNIGGSDETTVREIARRVVKLTNSASRIVSIDRPVDDPERRRPDTTLVREMFGWEPSVSWEEGLERTIAYFSE---------- 291520140 ----KVLVTGSDGFIGSHLVEELVKKGYEVVYYNSFNNGWLDTLDKSIMDHVDVRDPNGVREAMKGC--DAVFHLAALIAIPFSYHSPDAYVDTNIKGTLNILQAARDLGTKRVLVTSTSEVYGTAQYVPIDEKHPYQGQSPYSATKIGADRLAESFYRSFDLPVTIVRPFNTFGPRQS---------ARAVIPTIITQLLAGKEEIKL-------GSLTPTRDFNYVKDTAAGFIAMYESDKTIGQEINI--ATQKEISIGQLAEELIHQINPNAKIICDEDRKSEVNRLLGSNQKILQLTDWKPQYTFEQGLAETIE-FLRH--------- 143469452 ------------------------DAGMSPVVIDDLSHGLAHRVSEDPLVQARIQNRRALSQAIDDYSITGVIHLAALKAAGASVDMPLEYYAENVGGMVDLLSVMKEKDVRNFVYSSSAAVYGTPATNPVAEDAALIPENPYGQTKVVGEWLARDAGVAWNLSWVGLRYFNVAGAGDDSL----GDNSVNNLIPMVFAALDAGRHPQIFGDDYPTPDGTCIRDYIHVVDLADAHVAAASRCEGGNAADVFNVGRGVGSSVREVMDVISSVTGADLGAEVAPRRPGDPPATFAATDSIRDEMGWNAARDLEDMVSSAWR-------------- 302189337 MSDAPVLITGGAGFIGSHLTDALLASGHAVRILDNLSAGKRSNLPLDNPREGDVADADLVKRAAQGCK--AVVHLAAVASVQASVDDPVRTHQSNFIGTLNVCEAMREAGIKRVIFASSAAVYGNNEGEAITEDTTKAPLTPYASDKLASEYYLDFYRRQHGLEPVIFRFFNIFGPRQD------PSSPYSGVISIFAERIRKGLPISVFGD------GEQTRDFYYVGDLLKLLLQALSR--EGAIESAINVGLNKTTSLNELLAALSQVVGKQPDVSYQAPRSGDIKHSRASNQRLLE--------------------------------- 135162390 --GMKIAVIGGAGYIGSHCVLALKDAGHDVVVMDNLERGDTRNIDATACYTGDIRSREDCEQFFKQHQVDAVILTAALKAVGESMEKPGLYIDNNINGSINVVNAMLAAGVKTLVYSSSAAVYGEPERVPVREDDPTIPTSVYGFTKLECERILDWYQQTTDLKVANLRYFNVVGYDPQGRLTTREPQAMNLLPIIFEALIEEREQVEIFGTDYDTPDGTCIRDYIHVSDLAHAHVKALEKLQDVGE-------------------------------------------------------------------------------------- 134919865 ---KNLLVTGGAGFIGSNFIEYLLNKYDEIMILDSLTYAGNEYNKRYKFIHGNICDELLLNKIFKYYKIDGVINFAAESHVDNSIKNPEIFIDTNVKGVYKLLNTCFENWMNRFHQISTDEVYGSIAHGSFKEEDVCKPNSPYSASKAASDMIVRSYSKTYGLNTVISRCSNNYGLNQHN----------EKFIPMVINSIKNNKPIKVYGD------GNNIRDWIYVLDHCKAV--DLIYNLAEAGSV-YNVGAKNEMTNLELIDLIYSLMKNKKSLNFVTDRYGHDERYSVDISKIKNDLCWIPEYDMTSSLKK----------------- 134600516 MKS---LVTGGAGFIGSNLVDRLIDMGHEVIVIDNYSDAHDQWNDKAQNYKYDIRDYEKTRPLYDGV--DYVFHLAAEARIQPSLLNPIEAISINSVGTVTVLQCAREAKVKRVMYSSTSSGYGTNQ-TPNIETQPDDCLNPYSVSKVNGEKLCKMYTDLFGLPTIVFRYFNVYGERQ------PLRGQYAPVIGIFLRQRAAGEPLTIVGD------GNQRRDFTYVGDVVNANVM---AAISNPDSEAFGEVYNVGTGTNYSINQIARMFNQP--KVNIAPRPGESRISLANNVKIKKTFGWQPTMKLED--------------------- 142591260 ----NILITGGSGFIGSHLSEKLLNEGNNKLLIDNLLTGRTKNIEHLDSKKVNFIEHDVQDHIEIEEKIDYVFHFASAASPVAYTEHPVNTLKAGSVGTINTLGLAKKHGAEYF-LASTSEVYGDPLVTPQTEEYWGNERSMYDEAKRFAEAATATYARTYNIKTKIVRIFNTYGPRMQLNDGRVVTNLIVQALNNDDITI--------------YGDGSQTRSFSYVDDTVNGILALM----KSDKYDVFNIGNPNEMSITELSSVILRLTDSKSKLVYEDLPNDDPKQRRPDITKAKEHLNWEPKVDLEEGLTMTIDWIKK---------- 150019522 IKSKKIFLTGGAGFIGTKLCEKL-SSNNELLIYDNLKRNSIKNTKLLNKVKGDILNFNFLKHVIDEFKPNIVIHLAAIAGIDTVIKNPVSTMKVNMIGTYNILEALKNQKIEIFIDFSTSEIFGSAYKVDEAHTTNLAPVGEARWSKLAGEHLAYSFYKEYGLPIVTIRPFNIYGDGQVGEGAIHQFVVRAIKNEQIQIH----------------GEGNQIRSWCYIDDFITGVMLCLDNEKAIGQAFNIGNPRGT-ITIAMLAKLIKRIAKSKSEIVYVPKNYVDIELRSPSIEKAKEILKFVPKYDLDEGLERTIKWYR----------- 257418263 ---KKLLITGGAGFIGSNLAN-FYSQQYQVFVIDDLSMGRVSNLQQTEFIKGSVTDQQLLDEVLSKHSFEYIFHLAAVASVASSVAQPLETHEVNFLSVLKILESIKKYQKKRFVFASSAAVYGAEPTLPKRETSVICPLSPYAIDKFAAERYVLNEYHLHGVPTSAVRFFNVYGPNQ-NPASPYSGVLSILMDRYIQLEQGQASQFQIFGDGQQT------RDFIYIDDVLTAL--NLVATKSEALGHVYNVGTEVAISLNQLIEEMNQLVGLSLPVSYQKERDGDIKYSLSDSSALKS-LGFSPVYSIQEGLQK----YLKFTHNTQNR-- 48477382 ------LVTGGAGFIGSNFINYLNDNNCNIINIDKLSYGSNLENLKNKFIKRDIND--DLSDVIN--DADYIINFAAESHVDRSIANPDSFIKSNINGVVNILENIRKKNDPVMIQIGTDEEYGDIINGSFDERSVLKPSSPYSASKASSSLIALSYYRTYGIKVIVTRTSNNFG--------RYQFPEKLIPKTIIRNILDMDIPL--------YGSGENKRDWIYVEDNIKAILKVL---FNGKYGEIYNISSNNEYSNIEIVNKIFDIMNKKGRIKYVSDRPGHDVRYSINADKIKK-LGWKPEHSFDDALKMTVEWYLNNRSWWS---- 301015843 FSPKTWLITGVAGFIGSNLLEKLLKLNQVVIGLDNFSTGHQEQWSRFCFIEGDIRDLTTCEQVMKGV--DHVLHQAALGSVPRSIVDPITTNATNITGFLNILHAAKNAQVQSFTYAASSSTYGDHPALPKVEENIGNPLSPYAVTKYVNEIYAQVYARTYGFKTIGLRYFNVFGRRQDPN-----GAYAAVIPKWTAAMLKGDD-------VYINGDGETSRDFCY-IDNVIQMNILSALAKDSAKDNIYNVAVGDRTTLNELSGYIYDELNLIHHIKYREFRSGDVRHSQADVTKAIDLLKYRPNIKIREGLRLSMPWYVRFLKG------ 162454969 -ASKRILVTGGAGFLGSHLCARLLADGHHVICVDSMVTGRDENLLKNPRFELHRCDVSEPLR----FEVDQIYNMACAASPVKYRADPVHTLNTNVFGAINVLRLAQELGA-RVLQASTSEVYGDALVHPQHEDYWIGPRACYDESKRVAETYFWEYRQTRNVDTVIVRIFNTYGPNMDRNDGR--------VIANFVVKALRGEALELYGGGHRTRSFCFVSDLIEGLVRV---------MNAKDLTGPVNLGNPAETRMQDLAELIIRKTGGRAKLTMSDALIDDPQRRRPDISRATELLGWRPVVDLDSGLDRTIDWFR--PR------- 42523349 --KQTVLLTGCAGFIGSNFIKTIIKAQYDFVILDALTYGRLENIKHLTFVKGDIRDTKLVHELFQKYNFSGVLNFAAESHVDRSIENPNIFVETNVLGTLNSLNLFEENPAFKYLQVSTDEVYGTMEDPAFTEETPISPNSPYSASKASADLMCRAFFETYKMPVVITRCSNNYGP---------YQVEEKFIPLMIKRALANEK-------LPIYGTGMNIRDWIYVDDHNEGVWL---AFTKGKAGEVYNLGGNSERQNLDVAKIILKHLGKPESLSFVTDRKGHDFRYAINYSKAQKELGWNPTVRFEEGLGKTIE----HFKSL----- 194016028 --GKNVFVTGCTGLLGSYLVKELIDQGANVTGLVNLYQGS--QFEKMNVVQGALEDMQTIERALGEYEIDTVFHLAAQAIVGVANRHPVSTFEANILGTWNVLEACRRQPIKRVIVASSDKAYGDQEQLPYDEDMPLNAKHPYDVSKSCADLISHTYYNTYGLPVCITRCGNLYGGGDLNF-------NRIIPQTIQLVLEGEAPEIRSDG--------TFIRDYFYIEDAVKAYLLLAEKMEEKGAGEAFNFSNEIQLTVLELVDKILKAMGSELKPRILNQGTHEIKHQYLSAEKARKLLDWKPDYSIDEGLEKTIEWYREFFQ------- 189426414 MENKRVLITGGLGFIGSNLAIRLVQLGAQVTLVDSLEYGGNLWNIEPVKEQVDVRDEHAMKYLIQGQDF--LFNLAGQTSHLDSMQNPYPDLEINARAQLSILEACRHHNPIKLVFASTRQMYGAPRYLPVDEKHPLAPVDVNGINKMAGEWYHLVYNNVYGIRASVLRLTNTYGPRMR-------------VKDARQTFLGIWIRNILTGQPVVFGDGRQVRDFNYVDDVVE--AMLLCAASDAANGEIFNLGADDPANLQDTAQLLVQAAGQGSFVPFPPDRKADIGDYYADYGKIKATLGWQPAVALQEGLQRTLAYYR----------- 255073829 -EAKNVLITGGAGFIASHVAILFAKKQYKVVVVDKLDYCSIAGLLNFKFVKGDVGSADLMTYVMRSEEIDTVMHFAAQTHVDNSFGNSFEFTENNIRGTHVLLETVKTGTIKRFLHVSTDEVYGEKDEANVEAASLLEPTNPYSATKAGAEMLVMAYGRSYNLPYIITRGNNVYGPH--------QYPEKAIPKFIMLAQSG--------GTIPIHGDGMATRSYMHVYDAASAFDTILHKGAIKG---VYNIGAHEERTVLSVAQDIGKSLGKDITIVHVSDRKFNDRRYFIDCSKLLA-LGWTQQVSWEEGLKETIDWYTNN--------- 114566164 ---RKYLLTGGTGFIGTALAKRLLSAGHELKIYDNNSRGRRERLQGIAGEEGDIRDRERL--IAAARGMDSLIHLAYINGTELFYHQPELVLDVAIRGMLNVIDACRTEGIGELILASSSEVYQNPPQIPASEPDPLNPRFSYGGGKIACELMAINYGRKDFERVLIFRPHNVYGPDMG--------WEHVLPQFILRAIKAIESKPQGPVPFPIQGDGSQTRAFIYIDDFIDALISLIQFGQHLN---IYHIGNPEEISIKSVASQLFQYLGREIEIIRGPLPPGSPLRRCPDISKLL-ELGFSPRISFEQGLAATIEWYTR---------- 140764394 -----------------------------------------------------------------------VLHFAAKSLVGESKNQPAMYYQNNVGGTANLVRAMQAADIQRLVFSSTAAIFGNPVSDLIDETHPKTPINVYGQTKLVVEQMLEAVTAGSDFSATCLRYFNAAGANNTANLGEWHEPETHLIPNALRAAAGTGNPLTLFGDDYATSDGTCVRDYIHVDDLASAHVAAIDAMTEPGVFGTYNLGNGNGYSVKEVIAACEKAVGSDIPFTIGPRREGDPATLVASSKKARDELGWAPAHSIEEIAQSAWNWYRR---------- 171677788 ------LVIGGLGYIGSHTVLELLREGHNVIIVDNLSNSYQRRMPLIHFHRLDYRDGSGRQRMTYQSRIEGVIHFAAYKSVEESIRRPLQYYQNNVCGLVSLLQQLDKYNIHNFIFSSSATVYGSKANTPLRESDLIHHACPYARTKYFSEAILADVAAANPWRIVALRYFNPVGCDPSGLLGENPRGEATNYPVLTQVLTGQRERLNVFGTDWATRDGTAVRDYIHVLDVARGHISALGWNGDRGGTGFFNLGSGTGTTVLEAVRSLEAASKRTVSLDWAGRRPGDVGVCVASTERASKELGWSPRESVAQCASDLWNF------------- 137288340 ----KVLLTGGAGYIGSNVLLALRDSGYETLILDNFCNSSEERLRAFNYVKSDIRSIEELRKVFSQHEIESVIHLAGLKSVSESIDNPNLYYENNVIGSKNLLSIMSEFAVNKLIFSSSATVYGNPNILPIPEEHQLKPINNYGQTKLEVESLISEFVNNNNFQAISLRYFNPVGSSMNNLGEFIFGIPSNLMPIINLNAFGHMNEFNIYGDDYATHDGSAMRDFIHISDLAQAHVSALNFILDGNSHEAINIGTGVGVTVKEIISCFEDV-------------------------------------------------------------- 308157691 --GKSILITGGCGFIGSHFVEACHSLGMTVYVLDNLSSGKNDCSSPLTYTIGDIKDKAIFSRL--PQKIDFVIHLAAAISVAESITDPQKYMLTNVEGSRNVFQYAVDAKASAVLSASTAAYYGDCGKSAITEAFPYGGISPYAESKMEMERLGAEFQKTSRCRFIFCRFFNVYGPRQD------PSSPYTGVMSIFMDRCAARKPITIFGTGEQTRDFVFVKDLVAVQQNDSKEIRCSAYTGEGVYPTVFNIGSGISISVNELAELAKIVSGREIEVIHGEPRSGDILHSLSDCTRIRNVTGWSASTTLRIGMSETWGW------------- 137306974 ------------------------------------------------QINGDIRDRAALSDVFSKYPIKAVIHFAALKAVGESVQNPLSYYEVNVAGTVTLCQVMGEFNVKRLVFSSSATVYGASEFVPIPEAASRSATNPYGQTKLMIEHVLADYQSDKDWDIAVLRYFNPVGADESGLIGEDPNGPNNLMPFITQVAVGKREVLNVFGADYDTHDGTGVRDFIHVVDLASGHLAALKYLYRKPGIGYFNLGTGIGYSVLDIVKSFSKVNQINIPYVITQRRPGDIGCCYADPKQALEILGWKTEKTLEDMVRD----------------- 143813472 ---KNILITGGAGYIGSHVTEALIKKKKKIFILDNLSTGYKKLIKKAKFYKINILEKSKINLILRKENIDTVIHLAGSLIIQEGEKYPKKYFNNNVLGTKSVISACENTKVRNFIFSSTAAVYKDGL-FKVTEKSKVKPKSIYGKTKLKAEKVIVNFCKKKRINYGILRYFNIVGSSPSGKIGLINKGD-HLFKNFSKQTLLKKPIFKIYGSNYKTKDGSCVRDFIHVSDIAEIHIKVLNKIDMLNKSKILNCGYGRGISVKQVANEFARYANKNLRIINSSKRKGDLVKIIALTNSLNKFIKWKPKYSLKLMVKSSLKWEK----------- 157164630 LQDSRVLVIGAAGFIGGFVVSELLKEPVEVIIYDNFTRGKLDNIRHIFSYGGDVREVDVLDK--AMEGIDYVFHLAAMW-LLHCKDFPRTAFDVNIAGTFNVLEACVKHKVKKLIYSSSASVYGDAVEVPMKETHPFNNKNFYGATKIAGEAMCTAYNDRYGLEVIGLRYMNVYGPGQD-----QHAVYSGVVPIVLNKIDRNEEPS-------VNGDGSQAYDFIYVEDIARCNISALKSDVKFG---FYNVGTEVQTTIKTLCETMLRLKNSNLKIKFIPYSADDARQLVGSREKAERELGFKYKYSLEEGLKKLIAWREAN--------- 142946350 --NKNILITGCAGFIGFHLAKKLLINKKKVIGIDSINNYYPRSKKYQRLKKVDLNDYNKVSKLLKKYKIDVVIHLAAQPGVRISIQKPHNTLKQNLIPFLNIIEISRLKKVKKFIYASSSSVYGDSKIYPFNEKDYKNPVSVYGATKFANEIIAASYSKNFNIKCVGLRFFTVYGP----------YGRPDMAYYSFLDNLRTNKSIKVFNKGKM------MRDFTFIDDVINGIMRVI-KIKFKKNHDVLNIGKGKPDKLMDLITLLEKYYKKKFIIKYTNNVPGDIKKTYSNVRKAKETIDWDPKTNLKNGIKKFVDWFKA---------- 295690128 MHTQRILVTGGAGFVGSHLCDRLLESGAEVLCVDNYYTGSRLNVLSNPRFELLRHDYVEVDQIYNLACPASPVHYQ---------FDPVQTTKTSVHGAINMLGLAKRVKAKILQ-ASTSEVYGDPTIHPQVESYWIGIRSCYDEGKRCAETLFFDYWRQHKLRIKVARIFNTYGPRM--------HPNDGRVVSNFIVQALKGEDITLYGD------GTQTRSFCYVDDLVDGLIRLMNTGDD--VTGPINLGNPVEFTMKQLAELVLELTGSKSALVHRPLPSDDPRQRQPDITLAKQHLDWTPTAPLKVGLMKTIEYF------------ 269121008 ---KTYLITGAAGFIGSNYLKYVLRKNIKVIVLDELTYAGNLGTIRVNFEKGNIKDPVLVKELISKYNIDYIVNFAAESHVDRSIENPQIFLETNILGTQNLMECAKAAWRTKFLQISTDEVYGTYGKEFFTEKTSLDPRSPYSASKAGADFIVLAYAETYKMPVNITRCSNNYGP--------FHFPEKLIPLMIKNVLEGKALP--------VYGDGKNVRDWLYVEDHCKAIDIVLR---NGKAGEIYNVGGFNEEQNIRIIDIIKELTGKNNLITYVQDRLGHDRRYAIDPTKIVNELGWYPETKFEDGIRKTIIWYLDNQKWVEE--- 289805612 ----RVLVTGGSGYIGSHTCVQLLQNGHDVVILDNLCNSKRSVLKHPTFVEGDIRNEALITEILHDHAIDTVIHFAGLKAVGESVAKPLEYYDNNVNGTLRLVSAMRAANVKNLIFSSSATVYGDQPKIPYVESFPTGPQSPYGKSKLMVEQILTDLQKQPEWSIALLRYFNPVGAHPSGDMGEDPQGPNNLMPYIAQVAVGRRESLAVFGNDYPTEDGTGVRDYIHVMDLADGH-------------------------------------------------------------------------------------------------- 143683300 ---KKILVSGGAGFLGSHLCEKLLDDNNYVLCVDNLFTGNKKHLLKNPYFEFLRHDVT----FPLYVEVDEIYNLACPASPIYYQNDPVQTIKTNVHGSINMLGLAKRTNAKILQ-ASTSEVYGDPTQHPQKESYWIGPRSCYDEGKRCTETLFFDYHRQHNLNIKVARIFNTFGPQMQLNDGR--------VVSNFIIQALNNNPITIYGD------GSQTRSFCYVDDLINGIIKLME--SDNTITGPINLGNPTEITILELAERIIKLTNSNSILENNELPIDDPIKRKPDISLARSLLGWNPKIHLEEGLIKTIKYFK----------- 300123918 ------MVCGGAGFIGCHLCTRLVEMGHDVICVDNLLTGSKDNIRSFALINASPTSIS----FFTTFVMDEIYNLACPAAPIHYQTYPIKTFECSVLGIMNLLQLARDTGC-RLLHASTSEVYGDPSVHPQREDNPIGPRSCYDEGKRAAESIMFDYYRMYNLDIRVIRIFNTYGP--------FMHPYDGRVVTNFIRQALRGEDITIYGDG------SQTRSFQYIDDLIDGMILMMEK---DGITGPFNLGNPVEFTVKELAEKVVAKTGSKSRIVYMSLPKDDPCKRRPDITRAKEELGWEPKVQLDQGLDKVIDYMRK---------- 138170858 ---KNILITGGAGYIGSHVCHLLIDKGLKVTCIDNLITGNKELIKEVELKEYDIEDEEKVSKLILSNKFDLVMHFAGLIRVDESMKDPEKYQNYNYFKAKKFLETCFNNNLKKIIFSSTAAVYGDPREPYVNENDKTKPLNPYASSKLDLENFLIKSSSKYNSQYLILRYFNVAGADQKLRTGLISNFSTHLIKIVSEVAVGKREHMFINGDDYDTPDGTTIRDFIHVSDLADIHYLGARYIMEKKISDIFNC-------------------------------------------------------------------------------- 33594840 LEGAKILVIGGAGFIGSFVVAELLKHPAEVVIYDNFARGKDEYLSDPRCRIGDIRDIDLLNDAMQGM--DGVVHLAAMW-LLHCKDFPRTAFHVNIEGTFNVLEACVRNNVKRLVYSSSASVYGDAVEVPMTEEHPFNNRNFYGASKIAGEAMCHAFHDRYGLSYVGLRYMNVYGPHQD------QTAAYTGVIPIMLNKIDANEAPVINGD------GTQAYDFVYVEDVARCNVLAL---TSEATDQFYNVGTGVQTSIKELCDTILDMKDSGLQVQYRPYSEDDARRMVQNPVKASRDLGFTYQYALTEGLQKLIDWRAKN--------- 86738942 ----KIAVTGGSGFIGSHVVDRLLDAGHDVLSLDV---EHRPVDPRASYQHLDVLDLPAVTAALRGV--EAVFHIAGMSNVDFAFADPVRTVRLNVEGTGNICEAARQVGVRRVLFASTVWVYGAVGDAPLTEDAEIRAGHVYTSTKLAAELLLHSYQQTYGLPFTILRYGIPYGPGMR----------DELVLARFVRKALNGESLTVAGDGL------QFRNYVFVRDLAEAHVLAL---TPDAANTTLALEGSESVSVLEMARAVQEYF-PGTAIEHMPARPGDFRGREISAQRAAEVLGWRPTTPFSEGVRQYIEWYLANRR------- 307109042 -EKNRVLVTGGAGFVGSHLCDYLVARGDHVICMDNFFTGSKDNIAHLDRENFELIRHDVVEPIL--LEVDQIFHLACPASPVHYKYNPIKTIKTSFIGTMNMLGLAKRCRA-RFLISSTSEVYGDPLQHPQTEEYWIGERSCYDEGKRAAECLTMDYHREHGQEVRIVRIFNTYGPRMALD-------DGRVVSNFVSQQALKNEPLTLFGDGKQTRSFQYVSDLIEG-----------EAEGGAGFATLPPQPRHTRHTHHHPLQLI-----------WVENTADDPSRRRPDITKAKTLLGWEPKIPLQEGLLKMVDDFKR---------- 115522303 -ASRRILISGGAGFIGSHLCDLLLAEGHEVLCVDNYFTGNIEHLVGAPRFELMRHDYVEVDDIYNLACPASPVHYQH---------DPVQTLKTSVHGAINMLGLAKRTRARIFQ-ASTSEVYGDPNVHPQPESYWLGIRACYDEGKRAAETLFFDYHRQHKVRIKVARIFNTYGPRM-------HPSDGRVVSNFIVQALQNQD-------ISIYGDGSQTRSFCYVTDLLDGIVKLM--NTPEGFIGPVNLGNPFEFSVRQLAEMVIELTDSKSKLIFLPLPSDDPRQRQPDITLARNTLQWEPKVALADGLQETIGYFR----------- 310657676 ---KKLLITGADGFIGSHLTEELIRQGHKVKAFAYYNSGWLDTLPADIMKEVDIRDSNGVREAMKGM--EEVYHLAALIAIPFSYHSPDTYVDTNIKGTLNVLQAARDIDISRLLITSTSEVYGTAQYVPIDELHPFQGQSPYSATKIGADRLAESFYRSFNMPITIVRPFNTYGPRQS---------ARAVIPTIITQLLAGKEEIEL-------GSLTPTRDFNFVKDTANGFIEIAKSDKTIGEEINI--ATQQEISIGELAQELIRQINPNAKIICDNQRKSEVNRLLGSNEKIKKLTNWKPNYTFEQGIAETVEFFKGNLDKYKTD-- 258591693 ----RYFVTGAAGFIGSNLIDRLLLDGHEVVGYDNFSTGQPEFLAGAQQVSGDTLDQEYLIRAMKGADF--VVHLAANADVRFGMDHPRKDLEHNTIATFNVLEAMRANDIRRIAFSSTGSIYGEPDIFPTPEDAPFPQTSLYGASKLAAEGLIAAYCEGFGFQGYIFRFVSILGER----YTHGHVFDFYKQLRDHPDTL------------HVLGNGKQRKSYLYVRDCIDAMLLAIE--QAQGKINIFNLGTDEYCQVNDSIGWICEYLGISPHLIYERGWVGDSPFIFLDCSRIRA-LGWRPQLTIREGIIRTLQYLEQNPSTLERRH- 143316192 ----NILITGGAGYIGRQLIYDLLRKKYRLYVIDNFSTGNKKFLPKKVELNCCISNDKKVYNFFKKIKIDCVIHLAAYISVEESEKKPKKYLNNNYYKSVKFNNCIKTLKVKNFIVASTGSVYGDRVENKLKESFATNPINFYAKSKIKLENYFLNLNINFAL----LRFFNVAGADPEN-YTGQINKKSTHLIKIICENTIKNKLLKVYGNSYPTKDGTAIRDYIHVKDLSLIIIKIIDYITKKKFKEIINCGYGKGRSVLDIIKNANLVLPKKINYKIVNKRKGDAAKVVADISKLKKILKFKPKFSLKKIIKHSYNWEKK---------- 304321009 ----RVLVTGAAGFIGSYTCHALLDRGWTVTGVDCLNAYYDPALKQDRLRKVDLSDAAAVANIGSAFDI--VIHLAAQAGVRYSLENPLSYVDSNVRAHLNVLELVRHAETPPFIYASSSSVYGDTTPAPFQETARADPVSLYAATKRSCELLSESYTAVFDLAQVGLRFFTVYGP----------WGRPDMAYWSFAERMAKGEPIDVFNNGKLGRDFTWIDDVIDG--IVRIAEGGPTPGEARGRHRVYNIGNSRPEPLMHFIEVLERAMGMTATKVMLPMQPGDVHQTAADTGHLAEDYGFAATTSIETGLPIFADWF------------ 282867771 ----RVLVTGGAGFIGSHVVAALTAAGHESVVLDALPSAHPGPTPPKPPGDGDVRDREAVADALAGV--DAVCHQAAMVGLGKDFADAPLYVGCNDLGTAVLLAEMATAGVRDLVLAGSMVVYGEGTPGLVGEDAPADPRNVYASTKLAQEHLAAAWARATGGRAVSLRYHNVYGPGM------PRDTPYAGVASFFRSALARGEAPRVYEDGA------QRRDFVHVHDVASANAVALEAVRERRPASFYNTGSGEPHTIGEMASALARAHGGPDPVVTGEYRLGDVRHVTADSRALREELGWRPRVSFAEGMRDF---------------- 126179972 ----TILITGGAGFIGSHLATELLQHGYQVRILDNLERRRPDYLRRAEVIVGDIRDTHRMKEALDGA--DAVIHLAAVVGERSSMYRLEKYMSVNTAGTAVLLEALLDQPVERLIVASSSAVYGEGYPLPTPETKEASPLSVYAISKHDQEEMCRLIGEVYGIPTTILRLFPVYGPHQG------HLNPYSGMLTEYASRLLQDLPVLLFEDGY------QQRDFVSVYDAVRAFRLALESPGAAGGTFNI--ASGRPCTFRTVAGLLAAITGRQHPEITGTSRTGDIRHCFADIGRAREVLGYEPEVTLEAGLLDLVAW------------- 136782301 ----KILVTGGAGYIGSHTCLALLKASHEVVVIDNFSNSSPQSLKEPILVEGDILNSSVIRSAFASHDLSAVIHFAALKAVGESTEQPLRYYENNVAGSVALLQEMDRAGVNNLVFSSSCTVYGEPKQVPIDEQHPIGTVSPYGRTKSMMEEIIKDAFANENLSAALLRYFNPVGADKSGEIGEDPNGPDNLVPFVCQVATGKLAKLRVFGTDYPTQDGTAIRDYLHVSDLADAHLKALEALLNRKGILTCNLGTGMGSSVLDVIRAFEKISG------------------------------------------------------------ 146280481 --------------------------------LDKLTYAGNEGNPRYQFLQADIADRERVSEALLDFQPDAIMHLAAESHVDRSIDGPAEFIQTNIVGTYQLLEAARAYWAFRFHHISTDEVYGDLHDDLFTETTPYAPSSPYSASKASSDHLVRAWQRTYGLPVLITNCSNNYGP--------FHFPEKLIPLVILNALDGKPLP--------VYGDGSQIRDWLFVEDHARALFKVV---SEGKVGETYNIGGHNEQKNIEVVRGICALLEYEDLITFVKDRPGHDLRYAIDASKIERELGWVPQETFQSGLRKTVQWYLNNLEW------ 144153225 MKTRTFWVTGGAGFIGSNLLIHLHKHDAKLVNVDKLSYASNESSDRYEFLQLDITDRDAVRTHLHSMKPDGIFHLAAESHVDNSISGPEPFVMSNVVGTFNLLEECRQLWTKRFLHVSTDEVFGLGDEGAFSETTPYAPNSPYSASKAGSDHLVRAYHHTYGMNTVMTNCSNNYGP---------HQHDEKLIPTVIRNAMAH-------NPIPVYGQGTNVRDWLFVEDHCDAIRTVFECGDA---GESYNIGGNNEWKNIDLVHSLCRLLDYANLISFVTDRLGHDFRYAIDASKIKDSLGWEPSTD------------------------ 142224746 ----KVLVTGGAGFIGFFLTQQLLNRGDEVVGIDNLNDYYDPQLKHDRLEELDLADRQGIEQLFKDQQFDVVVNLGAQAGVRYSIDNPHAYVDSNLVGFVNILEGCRHSKVKHLVYASSSSVYGMNTKQPFSTDDRVDPISLYAATKKSNELMAHTYSHLYGIPTTGLRFFTVYGP--------MGRPDMAYFKFTKAILEGK--------TIDVYNNGEMKRDFTYIDDIVEGVIRVMDRIPDVTQGGHYNIGNNQPVTLRRFITAIEDACGVKAKENLMPMQAGDVPITYA---------------------------------------- 116247586 -----VLVTGAAGFIGSHLVTELRNSGRNVVAVDDLESTSPPFTGSLREIRGDLNSLNLVDCLKN---ISTVFHLAALPGVRPSWTQFPEYLRCNVLATQRLMEACVQAGVERVVVASSSSVYG-GADGVMSEDDLPRPLSPYGVTKLAAERLALAFAARGDLSVGALRFFTVYGPG--------QRPDMFISRLIRATLRGE--------PVEIYGDGTQLRDFTHVSDVVRALM--LTASVRDRGSAVLNIGTGSAVSVNEVVSMTAELTGLRPCTAYGSARIGDVRSTTADVRQAQSVLGFTARTGLREGLATQIEWTR---RSLSGAEQ 162449307 -KDRRIIVTGGAGAIGGSLTAALADAGAEVIVVDDLSSSSRWGVPARRFVEGSVVDDGLLAAVFR-ERPSHVFHLAALFANQNSVDNPERDLEVNGLGTLRVLQHARRSGAERVVFASSCAPYGSDPPRPVHEEHALDVHTPYQATKLLGELYCNYFCGHDALSTVRVRPFNSYGPGEVPGRYRNVIPNFVY-------WALRGEPLPITGTGEETRSFTYVGDIVDG--------FLRAGAAAAASGEAFNLASGEEIAILDVARAINELTGNRAGVRFVGRRAWDQRRRVASTDKARRVLGFEAQTGLHEGLARTVAWFRE---------- 162457224 -SGMRVLVAGGAGFIGSHLCERLLADGHEVIALDDFSTGHLMRNSRFWLVEHDV-------ALPFDYEVDRVYHLASPASPARWRGDPVRSTLTNVMGTLHAL-LCAERHGARLLLASSSDVYGDPEVDPQPESDPVGVRACYDEGKRCAESLVMAFHRQGRASGRIARIFDTYGPRM--------AIDDGRAVSSFIAQALRGEELTVYGN------GSQARSFCHVDDLVEGCLRLMEHPSEVGP---VNLGNPVEVTVLELAQEIVRLTGSASRIAYRPLPEGDPMRRRPAIDLARRELGFEPRVPLRQGLYATIQSFRR---------- 149925863 -QKQTVLVTGHAGFIGFHAAKALLERGDKVIGFDSLNSHYDTRLKRYHTVRADLCDSTQLHECLETYKVQRVIHLAGQTDKFLSQDKPAECVQNNINSFVSLLEACRHFKIHHLTYASSHAVYGAGFQKPMSEDSANHPQRLDAATQRACELIAHSYSHQFNLPTTGLRFFSVYGP----------WGRPDSVLFAFTKRILEGKPIQIYNDGSNTRDYTYVADLVESDTPAEPNPVWLVEAPDQATSNAPWRGNSLPVSIEELIAALEHALDKTAIKEYITGPILEKSQSCADVSALQLATQFRPATPLAQGIAEFAAWYRMYHQ------- 141367041 ---------------------LLIDKNYKVTVIDNLETGNKFLIKRAKFELCDIGNTKKIEQILKKENFDIIMHFAGLIRVDESVKKPKKYILYNYEKSKKFLEICFKHGLNKVIFSSTAAVYGNLKNKKAKETDKLSPLNPYALSKMKLENYLIKKSKIDKINYVILRYFNVAGAEKKLRTGLVSKHASHLIKIICEVATNKRKLLTINGENYNTKDGTPIRDYIHISDLSDAHLISAEYLLNKGNSQIFNCGYSKGYSVKQVIFEMEKILKRKLPSKIGKRRKGDSERVVANISKFKKYFSWQPKYNLNLILKSALAWEKK---------- 171185252 ----KVLVTGGAGFIGSHLVDRLVEEGYEVIVVDNLSTGRRENVNPQAWLHVDLKDPDWAVGVSA----DVVFHFAA---NPEVRAEPRVHFEENVVATFNVLEWARVSGVKTVVFASSSTVYGDARVMPTPEDYPLEPVSVYGAAKAAGEVMCATYARLYGVRCLALRYANVVGPRLRHGALYDFLMKLRKKPE----------------ELEVLGDGTQRKSYLHVEEAVEATLRAWRKFEEVGEPYALNVGNVDSLTVLDVAGLVAEVMGVAPVIKAGGA-SSDCRSSYLSVEKISKLAGWRPRLSSADSVRRAVR-------------- 124004199 LKGKKVLVIGGAGFIGSFVVAELLKEQDQVVVYDNFARGKKSYLTEQLEDSGDIREIDILNDAMKGMDFVVCLAAMWLLH---CKDFPRTAFDVNIAGTFNVLEACVNNNIKKLVWSSSASVYGDAVELPMTEAHPFNNKNFYGASKIAGEAMATAFNDRYGLNVIGLRYMNVYGPHQD------QTAAYTGVVPIMLNKIEANEAPSINGD------GSQAYDFIYVEDVAHCNVQALKSNVEFG---MYNVGTEVQTSINELCELILELKESSLKVKYNPYSEDDARALVGSREKAEKELNFKYRYDLREGLQKLIEW------------- 143228549 -----------------------------------------------------------------------------------------------------------------FIFSSTAAVYGEPESIPISEDQKINPVNPYGQTKAIIENVLKDYDKAYGLKSISLRYFNACGAHLDGTIGERHNPETHLIPLILQAASGRKDKIKVFGNDYPTKDGTCIRDYIHVMDLAEAHLLALEELYKNQTSETYNIGNNQGFSVSEIIKSAEEITQKKINIEIADRRKGDPGQLVADNKRIKEKLNWTAKYSLATIISSAWQWEKK---------- 135080754 ------LITGGAGFLGSHLTDRLMEAGEEVICLDNYFTGRKVNIQWIGHPRFELIRHDVTEPI--RLEVDRIWHLACPASPVHYQFNPIKTAKTSFLGTYNMLGLARRVGA-RLLLASTSEVYGDPEVHPQPESNTIGIRSCYDEGKRIAETLCFDYRRMHGTEIRVMRIFNTYGPRM--------LPDDGRVVSNFIVQALKGQPLTLYGNG------SQTRSFCYVDDLIEGMIRLM----NGNHAGPINIGNPGEFTIRQLAELVRERINPVLELIEQPLPQDDPMQRQPIIDLARKELGWEPKIALQDGLQPTIDWFKQ---------- 142183388 ---KRVLVTGGSGFLGSHLCEALLARHNDVLCVDNLYSGTKDHLLGHPHFEFIRHDVTEVDEIYNLACPASPIHYQ---------RDPVQTTKTCVHGAINMLGLAKRTGAKILQ-ASTSEVYGDPIEHPQQEHHWVGIRSCYDEGKRCAETLFMDYHRQHGTRVKIARIFNTYGPRM--------HANDGRVVSNFIVQALSEQPLTVFGSGKQT------RSFCFVSDLIRGLMSLMETSDE--VTGPVNLGNPVELTVGGLAQLVIDMTKSASQVIYAEAPLDDPIKRKPDISLANRILSWEPRVAIEDGLQTTVDYFK----------- 162457220 ----HVLITGGAGFIGSHLADELLRNGEPVLVLDNLSRGFVERNRHGAGVEVRVCDVRDEQAVRDAVRRASVFHLAAQVAIARSVADPAHDFEVNARGTLNVLEARRLDRPPPLLFASTSKVYGALPDLPIEESQPLDLRSPYGCSRGAADQYVLDYARTFGLHAVVLRVSCVYGPPQFGN-------EDQGWIAHFVARAIRRDPITLYGDGLQVRDALFIEDLVDALLRARR-----ALKPASGRAFNIGGGPGTAVSLVDLLDLLEELDGRRPVVSLAAWRRDDPRYYVSDCRAFQALTGWAPRVALRDGLRALYQWRL----------- 254393379 ----RILVTGGVGFIGSHFVRRVHE--DTVTVLDRLTYAGNPANLRFTFVHGDICDAALLKEVVPGH--DLVVNFAAESHVDRSIAGAGEFVRTNVLGVQTLLQACLDAGIPRVVQVSTDEVYGSIDTGSWDETAPLSPNSPYAASKAAGDLIALAYARTHRLPVSITRCGNNYGP--------YQYPEKLVPLFVTHLLDGRNVPL--------YGDGGHVRDWIHVDDHCRAIRLVAER---GAPGEVYHVAGTAELTNIETLRLLDALHAPGQRVEPVPDRKAHDRRYSLTDAKLRA-LGYAPRIAFERGLAETVAWYAAH--------- 310789479 ---KNIMITGGAGFIACWLVRHLYPNNYNIISFDKLDYCSSLNNTRVLNEHGDITNPTEVVNCLRRYNIDTIFHFAAQSHVDLSFGNSYGFTHTNVYGTHVLLESAKSVDIKRFIHISTDEVYGNDDDDDLLETSILAPTNPYAASKAAAEMLVQSYQKSFKLPVIIVRSNNVYGPH--------QFPEKIIPKFTC--LLNRGQPVVLHGDGSPTRRYLFAGDAADAFDTI-LHKGQMGQIYNVGSYDEISNIDLCSHLLKEMNIPYSTTDEFKKWVKYTHDRPFNDHRYAVDGTKLRQ-LGWDQKTSFADGLRMTVNWYRQF--------- 136412331 -------------FIGFHLAKLLLNEGFIIQGYDGMTDYYDVSLKQDRHKEGMLEDNDKLSSLSIEFKPDIIIHLAAQAGVRYSLENPRAFVNSNIIGTFNVMEVARKQKVKHLLMSSSSSVYGANSKVPFTEIEKADTLTIYAATKKANESMAHSYSHLWKIPTTMFRFFTVYGP----------WGRPDMAYFKFVSSILNDKPIDIYNNGEMYRDFTFVEDLVRGIRTNNNKISEIDNLSSVAPYRVVNIGNSETINLLDFIEAIEQTLGKKAIRNYMSMQKGDVSVTWANASLLKHLTGYSPKTNFKDGIARFVKWYREYYN------- 302348460 ----RVAVLGGAGFIGSAVVRELNRRGMRPLVFDLLTYAGRPENLQGTDHEFVRGDIRELHEALSRFRPEVIINLAAETHVDRSIYSPQDFVTTNVIGAVNVLEAARRLGVRLLHVSTDEVFGDASVYGCADESSPLRPSSPYSASKASAEHFVLAYVRTYGLEALVARPSNNYGPR--------QHPEKLIPKAIIRTLLGLEVP--------VYGSGLQRRDWMYVEDTARLL---VDLALKGEAGRGYNLPGGHVATNLEILGLIGRALGREVKIKHVEDRPGHDVEYCMRPSFSY----W--TTPLEDGIGRTVRWYIENQEW------ 125975127 ME--KILVTGADGFIGSHLTEELVKQGYKVRAFVYYNSFNSWGWLDTFPKEGDIRDSNGVLEAMK--GIDKVFHLAALVSIPFSYHSPEAYVDTNIKGTLNVLQAARILDTSRVFITSTSEVYGTAQYVPIDEHHPYQGQSPYSATKIGADRLAESFYRSFNIPITIVRPFNTYGPRQS---------ARAVIPTIITQLLSGKEEIRL-------GSLTPTRDFNYVKDTVNGFIEISKTDKTIGEEINI--ASQQEISIGKLAGELIRQINPKAKIVCDEQRISEVNRLLGSNEKLKKLTNWKQNYTLEQGLAETIEFIRHNLDRYKTD-- 110669371 -RDETVLITGGASFIGSHLAEDLVAEGASVCVADDFSSG-TKANLEQITDDIEILSGNLKRRAFADEDIDTVFHLAADHGGRGYISNYPANCATNMALDNIVYEAAAENGVERICFASSACTYPTDEMVSFDERGGAYADEVYGWAKLMGERSLQAYNEQYDIDTSAVRIFTAYGPR---------ENETHAIIAFMAKAMARQDPFQIWGDGEQTRNFTYVKDITRALRLAAEHIT---------DGTPVNAGISRYVTMNEAVEYIESMDWRPEEIQYLTDKPQGVRHRAADTTRAEKRLGWEPQYSVSDGIEQTVQWYQNNRQ------- 190889705 ------LVNGGAGFLGSHLCERLLLRGYSVICLDNFSTGHLQSNPRFRIIEHDVRQPFDIAASL-------IFNFASPASPPDYQRDPVGTLLTNVLGAVNTLDCGRKTGAIVVQ-SSTSEVYGDPSQSPQRETNPIGPRACYDEGKRSAETLFFDYHRTYGVDIKIGRIFNTYGPRMRL--------DDGRVVSNFIVQALRNADLTIYGDGQQT------RSFCYVDDLVEGFLRLSAA--GSACHGPINLGNPGEFTVRRLAEIIRDLTNSRSRIVHLPAVVDDPRQRRPDITRAMTELGWQPQIALEAGLARTVEYF------------ 143771977 -KNINVLVTGGAGYIGSHTCLELSRNGYNPVTYDNLSTGRKSFVKWGPFVKGDLLDKSKIIKTIKKFKIESVIHLAAKSQVEESEIKKNLYFENNVLGTITLLDAMVECNLDKIIFSSSAAVYGSSSNKSLTEADVISPINMYGLTKYLCETVMFNYSKLSRINFIALRYFNASGSAYKSKIGELHFPETHLMPIVCKKLINNEI-INIYGNNYETKDGTCIRDFVHVRDIALAHIVSLKAVMRK---------------------------------------------------------------------------------------- 255634670 -KSAKVFVAGHRGLVGSAIVRKLTQLGFTNLVL-------------HSHAELDLTRQSDVEAFFASEKPEFVIVAAAVGGIHANNTYPADFIAINLQIQTNVIDSAYRNGAKKLLFLGSSCIYPKYASQPIPEDAPLEPTNEWYAAKIAGIKMCQAYRIQHKWDAISGMPTNLYGP-----YDNFHPENSHVLPALMRRFHEAKVNGAKEVVVWGTG--SPLREFLHVDDLADAVVFMMEKYS---GLEHLNVGSGKEVTIKELAELMKEVVGFEGDLVWDSTKPDGTPRKLMDSSKLAS-LGWTPKVSLKDGLADTYKWYLEN--------- 136333236 ---KKILVTGGAGFIGSHVIDLLLKNKFKVKAIDNLSTGRINNLKNCFFKKFDITNLNLNNMYFKDVK--YIFHFAGIADLVPSINDPEKYINVNFNGTLKVLQLAKKLKVKKFVYAASASCYGKTPNRPIKETDKISLEHPYALSKYLGEKACLHWARVYDLPVISLRIFNAYGPRSRT------TGAYGAVMGVFFKQKLKKKPLTIVGD------GKQSRDFIYVSDVAK---AFVKAATSSKKMEIYNLGTGKSSTINYLAKLI---GGKKTKI---PNRPGEAKTSIANINKIKKELNWRPLIKFSTGIYKLIE-------------- 288802206 ---KTYLVTGAAGFIGANYIKYLLHKKYKVIVLDALTYADDIDNERCIFVKGDIRDRELADKLFAEYDIDYLVNFAAESHVDRSIEDPQLFLSVNILGTQNLMDAARRAWVKRYHQVSTDEVYGLGAEGYFTEETPLCPHSPYSASKTSADHFVMAYHDTYHMPISITRCSNNYGT--------YHFPEKLIP--LIINNILEGKKLPVYGEGLNVRDWLYVEDHCKAIDMVVREGRVGEVYNVGGHNEMIHDMMAEDKNLRTILKKVKDANGDEELITHVPDRLGHDARYAIDPTKIKNELGWYPETMFADGIVKTIRWNLEHQDWIQE--- 142106065 ---KQALVTGAAGFLGSHLCDKLLAVGMSVIGMDNFITGHLEDNSNFSFINHDVCQHIDIKG-----DLDYILHFASPASPIDYLKIPIQTLKVGALGTHNALGLARAKNA-RILVASTSEIYGDPLVHPQTEDNTIGPRGVYDEAKRYLESITMAYHRYHGVDTRIIRIFNTYGPRM-------RLNDGRVIPAFMGQALRGED-LTVFGDG------SQTRSFCFVEDEIEGIYRLLM----SEYHLPVNIGNPDEITILHFAQEIIALTGTDQKIVYKELPKNDPLQRKPDITKAKEILGWEPKVGRAEGMQRTYN----YFKSLSQEE- 142972885 ----KYIITGGAGFIGSSIVRKLANSKNEVLVIDALTYASNIDSISDDFKNINICNREPLEKVFNSFKPQRLVHLAAETHVDNSIINPDKFLKTNVLGTFELLRASLIYNDFVFHHVSTDEVYGDNAGLLFTENTSYQPSSPYSASKAASDHLVRAWHKTYNLPITISNCSNNYGP---------FQHKEKLIPTVIINALNK-------NNIPVYGDGKQIRDWLYVDDHTEAILKILEF---GKIGETYNIGGRCEIRNIDLINIICDSLDKKLKIKHVEDRKGHDKRYAIDPHKIESELNWKVKETIKSGLDKTIEFYLQ---------- 138615367 ---------------------------------------------------------------------------CGLEAVGESTEQPLKYYKNNVSGSVLLLEAMEQFGVKNLVFSSSCTVYGEPEKVPISESFPVGGVSPYGRTKYIMEEIISDYSQKRGFKCGVLRYFNPVGAHPSGEIGEDPNGPDNLVPFVCQVATGKLPKLRVFGNDYPTKDGTAVRDYLHVVDLADAHLKALIKLENSEEGFICNLGTGHGSSVLDVINAFEKANGIKIPYEFAGRRSGDVTEAWADPSYAQTLLGWKSKHDLIDMLRDAWHWQSKNPNGYSQ--- 266631460 ----NILVTGGAGFIGSHYVRTLLADCGRLTVLDKLTYAGNTANLATVWVRGDVCDAGLVDKLVADH--DTVVHFAAESHVDRSIAGASDFIMSNVVGTQTLLDAALHHQDSFFVLISTDEVYGSIDTGSWTESHPVAPNSPYAAAKASSDLITLAYGRTHGLDVRVTRCSNNFG---------HHHFPEKLIPLFVTNLLDGK-------QVPLYGDGLHIRDWLHIDDHVQGIELV---RTKGRPGEVYNIGGGTELSNTALTGRLLEACGAGWRVDHVADRKGHDRRYSVDWTKIRTELGYAPHRDFDSALAETIDWYRTHREWWE---- 51243898 ---KTWLITGVAGFIGSNLLETLLGLGQKVVGLDNFVTGYQHNLQNFRFIEGDICSLDACQE--ACQGVDYVLQQAALGSVPRSIVDPITTNNTNISGFLNMLVAARDAEVKSFTYAASSSTYGDHPALPKVEENIGNPLSPYAVTKYVNELYASVFARTYSFKTIGLRYFNILGKRQD--------PNGAYAAVIPLWTAAMINDETVF----INGDGETSRDFCFIENAVQANILAATATEEARDNV-YNVAVGDRTTLNELFNLIKHNLADHGIVSYRDFRAGDVRHSQADTTKIKRLLGYSPQYKIGAGIEKAMGWYVQ---------- 283798007 ---QTVLITGAAGFIGFHLTAMLREKGKAVVGIDNLNDYYDPALKRERFIQADVADEKAVAQIFEDYKPSLVLHLAAQAGVRYSVDHPKEYIRTNIAGFFNILEACRKGEPLHLVFASSSSVYGDNQKIPYSVDDKTDPASLYAATKKSGELLARAYSRLYKIPATGLRFFTVYGP--------FGRPDMAYFKFTERMVKGIPITLYNYG--------DMRRDFTYVDDVVGCILKISGHPPKSENGRIFNIGNSHPEKLEDFVCLLEEVIKKDTERVYLPMQPGDVYQTYADMSEYEKEFGAVSFTRLREGLDRFAGWYAEYQR------- 269929115 ----RVLVTGGGGFIGSHLVDALLARGDEPVVLDDWSGGSRDNLPPGVETDMDVADPRTVSAI-AALRPDGIIHGAAQVSVPRSMADPDRDRAVNVVGTAHVLAGAREAGSPRVVFLSTGGGIYGESDGA-DEMTLPQPKSYYSAHKYLAERYL----EYSGLPYAIARLANVYGPRQRSDLEG-------GVVAIFTERLSAGQPITIYGS------GEQYRDFVYVADVVDAVLTMLDSSVD----GMWNVATGEATTVNALLAALQERLGSASAIVHEPPRPGDVFASRLSIDRIKADLGWSPRYDLAAGLDAMLK-------------- 297618770 ------MITGGAGFIGSHIVEEILKNECDISILDNLSSGNINNLKDVNFIKNSILD-ENLDKIFSEKEYSTVFHTAAQISVSNSLKNPLNDANINIIGILNLLEAMRKNDVNKIVFSSSCAIYGNPQYLPIDENHPLKALSPYGLSKITGEEYIKLYSELYGIDYTILRYANVYGERQD------PYGEAGVISIFIDNMLNNKIS-------KIYGNGEQTRDFVNVKDVAKANLMAVNW-----KNQILNVGTGSKTTINELYAIISNILEYGDSPEYCSEREGDIIDSYVNIDKIKS-LGWNPSITLKEGLKNTVESFKK---------- 197105947 -------VTGGAGFIGSHLCETLLASGARVVCIDNFQTGSRDNVPNFSVVYHDILDLPKFDEIFNFACPASPVHYQA---------DRVRTALVCAVGARNVLERAARDGARALQ-ASTSEVYGDPDIHPQPESNPIGPRACYDEGKRFAESLFTDFGAQSGVTVKIVRIFNTYGPRM--------QPHDGRVISNFVVQALAGEDLTLYGDG------SQTRSFCYVDDLVDGCLRLMASPSDL--SQPVNLGNPVETTVAEVAELILELTGSRSRIVRRPLPVDDPRRRKPDITLAETRLGWRPQVPLREGLERTIA-------------- 282165384 LKDKNILITGGAGFLGSFVVEKLKQRGV-----------RDEQLKIPRSRDTDLTRMDNC--INAVKDADIVIHLAAVGGIGYNRANPATLFYDNAIMGIQMMEAARREGVEKFVAVGTVCAYPKFTPVPFHEEDPEETNAPYGLAKKMLLVQSQAYRMQYGFNSIYLLPVNLYGPR-DNFDPESSHVIPALIKKFAEATRDDKKTVEVWGTGKAS------REFLYVEDAAEGIILATERYDR---PEPINLGAGFEISIRDLASLISELTGYGGRIVWDDTKPDGQPRRCLVVSRAKKEFGFEARVNFREGLRRTIEWYKKYP-------- 134588605 MKNKNVLITGVAGFLGSHLSEKLLDLGHKVVGIDNMIGGYKDNIPKKEFYNLDCSNFSEIKRIMK--DIDVVYHCAATPHEGLSVFSPFEITKNNFLASVSIFSAAINEKVKRIIFCSSMARYGD-QQTPFKEEMKTKPVDPYGISKVAAEDVLKNLCDLNNIEWIIAVPHNIIGPRQ------IYTDPYRNVVSIFLNRMLQGKPPIVYGD------GEQKRCFSYIDDCLSCLIPMLDQKNLNKQIINIGP-DEEFVTVNKIVEICSNVTGSNLEAIYKEDRPREVKHATCSADKARKLLNYKTKVSLIEGVKK----------------- 168334404 ME-KTI-VTGADGFIGSHLCEKLVKEGKKVKAFVYYNSFNSWGWLDTIDEKVDIRDSNGVRVALTGM--DXVYHLAALIAIPFSYHSPESYVDTNIKGTLNILQAAKNLDLSRVLITSTSEVYGTADYVPIDEKHPFKAQSPYSATKIAADRLAESFYRSFNLPVTIVRPFNAYGPRQS---------ARAVIPTIITQLLAGKEVIKL-------GALSPTRDFNYVKDIADGFVAIANSDQTIGEEINI--ATEREISIEGLAKELISQINPTATIICESERKSEVERLLGSNEKIKALTDWEPQYTFAQGLHETIEWFKQNTAGYKTD-- 135354987 ---KKIIVTGGLGFIGSNLIDLLLKKKFFVINIDKATYSSNQYNVKKYKFIKLDIKDKKLKNILFKYKPHGIFNLAAETHVDRSIDNPDSFIQSNIVGVYNLLESFKNFHKSKLIHISTDEVYGDILTGRSHEKYPYEPSSPYAASKASSDHLVSSYVKTYNIPAIVTNCSNNYGP--------KQHPEKLIPKLIYNILNNRPLP--------IYGKGTNSREWIYVKDHCEAL---IKVFLKGKIGEFYNIGSNKNMNNIQVTKELLNKLGNNVKINFVKDRPGHDIRYALNSNKIKKKLGWKPKTNFSKGIKLTLDWYKSYYKSLSKK-- 61806141 ------LVTGAAGFIGSNLTDYLLDLDHQVICVDNKSADNDKWNDKAWNVDCDITDYKAMKNVFN--KVDYVFHLAAESRIQSAIDNPIQAVQRNCVGTATVLQCAREAGVKRFVYSSTSSGYGNNP-FPNVETQPDDCLNPYSATKVAGEKLCKMYTNLYGLETVVLRYFNVFGQRSPT------KGQYAPVIGIFRRQLDSKEPLTIVGD------GSQRRDFVHVNDVARANYLASILPLQGHEGEVFNVGSGKNYSVQEIADVISD------NQVYLPKREGEMDTTLANIDKIGSIIGWKPEVDVMDWIK------------------ 238576965 -KRKRVLVTGGAGFVGSHLVDRLMLLGHEVTVLDNFFTGSKTTVHWVGHPNFELVRHDVVEPF--MIECDQIYHLACPASPPHYQYNAVKTVKTSFMGTLNMLGLAKRTKA-RFLISSTSEVYGDPEVHPQPEDYWIGPRACYDEGKRVAETLTYGYHRQDGVDVRVARIFNTYGPRMN--------PYDGRVVSNFIVQALKGEDLTVYGDGKQTRSFQYVHDLIDG----------LIALMNSNETRPVNIGNQEEFTIGEFAELVREIVEKVQDIVYKPMPTDDPQKRRPDTTRAKESLEWQPRWT------------------------ 110635112 -----VVISGGSGFIGCNLAESFLRDGHDVVVLDNLSRPKAEFGERVHPVIADIRDLEAIRPVIAKAR--AVFHLAAQTAVTTSLDDPLGDFAVNAEGTLNVLEAVRASGRKIVIFASTNKVYGALGDLEISEDRRLDFCTPYGCSKGVADQYVLDYAKSFGIPTAVLRMSCIYGPHQFGT-------EDQGWVAHFLIRALQGQPISIYGNG------KQVRDVLHVSDAVAAYRRVLDNIDASGRTFNLGGGVRNAVSLRLVLQEIRRITGTEPVVGWGDWRAGDQYYFVADTTRLQSELGWSATIGWREGLKDLADWLRK---------- 139742908 ------------------------------------------------FINLDIRDYFKLESLLGFYQMVGVIHFAACKSVGESMKEPSKYYDNNVTGTNNLLKAMKRYNADNLVFSSSCTVYGQADDLPVTEQTPQKPASVYGRTKQICEQMIKDFHAEHNYNSVLLRYFNPIGAHESALIGELPNGPDNLLPYVAETAIGKRKLFTVFGEDYDTEDGTCIRDYLHVVDLAKAHVVAMEKSTEKNQAEPVNLGTGTGYSVKQVLEAFETENDIKINTHYGNRRKGDVEAIYADPSYAFELLGWKATLGLKDMVTSVWKWQKA---------- 119775370 -------------------CKRLLSQGHQVHGIDNLNDYYDVSLKQARFQRMELADRGAIATLFSTIKPDWVLHLGAQAGVRYSIDNPHAYADANLVGHLNILEGCRQTGVKHLVYASSSSVYGLNKKLPFTSDSVDHPVSLYAATKKANELMSHSYAHLYGVPCTGLRFFTVYGP----------WGRPDMAPMLFARAIMAGEPIKVFNQGDMSRDFTFIDDIIEGVIGVQWSVESGSSSESSAPYRVLNIGHGSPVSLMHFIETLENALGRKAIKQFLPMQDGDVKATWADTEDLFAITGVRPKVGIEQGVKAFADWYLNYY-------- 142632879 ----KILITGVAGFIGSNLAELLIKNNFEVIGIDNLSYGVSEQIPKGVFHKEDIRN-NEIYHLFS--DVEYVFHLAAKNSIIDCENDPEETFQINVNGTINVFNAAIKNNVKKVIYAESSAVYEGSKNLPSIETE-VSPKSVYAESKMTTNRIAEEFSKSKGLVTTGLRYFNVYGPKQDYRRTIPP------VFSAFIINLLKGHQPIIFGDGSK------KRDFIYVDDVNDFHLMCM--KDNKTDNEVFNIGSGKNYSIKHIFNSIKNILNVDINPIFGKNLDFEAQENLANIDKANSI-GWSPKIDLNTGLKKSIDYIKEH--------- 182419742 --NKNVFVTGGTGFLGSYLVKKLVNSGANVTVLVRDSIPKSNMYIGEEYKSINVVDYDIFERILGEYEIDTIFHLAAQAIVGVANKNPLGTFSSNIQGTWNVLEAARRSPVKQIIVASSDKAYGDQEKLPYDENMPLQGKHPYDVSKSCTDLIAQTYYETYKLPVCITRCGNLYGGGDLN----------------FNRIIPQTIQLVLNGEAPVIRSDSFIRDYFYVEDAVDAYIALAEKVQEYNGGQAFNFSNEIQLTVLELVDKILNIMGSDLKSVILNQGSNEIKHQYLSAKKARDILGWSPKYTIDEGLRKTVEWYKNFFE------- 143360321 ----RILVTGGAGFLGSHLSDRLLELGHEVVVADNFYTGNKENIAHLLDNKAFELIRHDVTFPL-YIEVDGIFNLACPASPVQYQKNPVQTFKTSVHGAINMLGLAKRTGA-RFLQASTSEIYGDPHISPQEESYWIGIRSCYDEGKRGAETLTFDYHRQFGLDIRVARIFNTYGPRMDANDGR--------VVSNFIVQALKGEPITIYGD------GSQTRSFCYVSDLIDGL---IKLFFTEKILEPINLGNPNPINMKELAEEVLKLTDSQSKVSFKDLPGDDPKQREPNISKAKQLLDWSPKVDRGVGLERTVAYFRR---------- 135161068 ------LITGGAGFVGSHLVDRLMDVGEEVICLDNYFTGRKANIQWIGHPRFELIRHDVTQPI--QLEVDRIWHLACPASPVHYQFNPIKTAKTSFLGTYNMLGLARRVGA-RLLLASTSEVYGDPEVHPQPESNTIGIRSCYDEGKRIAETLCFDYRRMHGTEIRVARIFNTYGPRM--------LPDDGRVVSNLIVQALNGEPLTIYGDG------SQTRSFCYVDDLVEGMFRLM----NGMHTGPINLGNPGEFTIRQLAELVRARINPELQLLEKPLPADDPTQRQPLIELAQRELGWQPTVPLEHGLETTIKWFQ----------- 307700817 ----HVLVTGGAGFIGANFVHTTVEEDATVTVIDKIYAGNPKSIEGLDRVKLVVGDKDLMDALVK--ESDLVVNFAAESHNDNSLVDPSPFIYSNLVGMFNTLEACRRHRV-RLHHISTDEVYGDDDPHKFEPDSPYRPSSPYSASKAGSDMLVRAWIRSFGIEATISNCSNNYGP--------YQHVEKFIPRMITNRMDGVRPRL--------YGDGLNVRDWIHVLD----HNTAVWAIINKGRGETYLIGANGEKNNLQVVQALNKMMGPEDDFDQVKDRPGHDRRYAIDATKLREETGWEPKYDFEEGLRHTIEWYQSNEAWWRPTKE 141613524 ---KKVLVTGGLGFIGSNLIKLLLKKNYYVINIDKVSYSSNDNNKNYKFIKLDINNK-KLTKIFKRYKPIGVFNLAAETHVDRSIDDPSNFIKSNILGTFNLLESFRRFYNTKLIHISTDEVFGDVLTGRSNENFKYKPSSPYAASKASSDHLVFSYVRTFKIPAIITNCSNNYGP--------CQHPEKLIPKLIYNIINNRELP--------IYGNGKNSREWIYVMDHCEGL---LKVFLRGKKGEFYNIGSNKNLNNLQITKFLINIIGKNVKIKFVKDRPGHDLRYALNSNKIKSKLNWNSKTKIFDGLNKT---------------- 143018333 ----KVVITGSSGFIGFHAAKKFLDNGFEVTGIDNENDYYRKILLRNENFKFECTDKNTIIEKLNEISPDYIVNLAAQAGVRHSLKFPHDYTRSNIDSFLNILEAKTSDSLQHVVYASTSSVYGANTDLPFQESHVDHPLQYYAVTKRANELMAHSYSNLYNIRTTGLRFFTVYGP----------WGRPDMALFLFTDAILKGEPIKVFNNGNHKRDFTYVDDIVQGIFLSTTDTKFINPEDHRFKNPSINIGRGKPENLEDFITEIEENLGKKANKEYLPMQPGDVPTSHADISEIMK-LGYKPETSISKGVKNFIKWYLDYYN------- 116495515 ------LITGGAGFIGSNFVHYQRQMHDLIVNLDLLTYAGNKNNLTHIFVQGNINNRELVAYLIEQYHIDAIVNFAAESHVDRSILHPEIFVETNVKGTLALLHEAHRHDIKLLQISTDEVYGSLGPTGYFTEDSPLQPNSPYAASKASADMLVRSYFETYGTHVNITRSTNNFGP--------YQFPEKLIPLMITRGLDGEKLP--------IYGDGKNIRDWLYVRDNCRGIDLVLR---EGKTGQIYNIGAHNEYDNNTIVQLIVKQLGPESQITYVKDRPGHDRRYAIDPTKILDELGWRPESNFRTGLDETFGWYAVHQDW------ 146277376 -RRKVVLVAGGAGFVGSHLCETLISEGHSVVCLDNLQTGRIQNISALQAHQFRFIEQDILDRLNWQGPLDEIYNLACPASPPLYQRDPIHTFRTCTEGVLNLLGLAKATGA-RILQASTSEVYGDPEITLQHEGNTVGPRACYDEGKRAAETLFWEFGTHMGVEVRIARIFNTYGPRMS--------PEDGRVVSNFIVQALTGADITIYGDGMQT------RSFCYVDDLVA----GLKALMASETSDPVNLGNPGEFTMRELADMVLRQTDSRSRLVQCPLPVDDPRQRRPDISRAAARLGWAPTVALEEGIARTIR-------------- 116071755 ----KALVTGADGFIGSHLVEKLLSSGKDVKALDSLPETSKEAIE---VILGDIRDPICVREAMRGC--DQVFHLAALIAIPYSYVAPASYIDTNIHGTLNVVQAARDLGVTNLVHTSTSETYGTAQFVPITEDHPLVGQSPYAASKIGADQIALSYWRSFETPVTVLRPFNTYGPRQS---------ARAVIPTIITQIAAGHRKIKL-------GALSPTRDFNHVSDTCA--AFQALANCNSALGQIVNAASNFEISIGDTASLIAKAMNVELDIITDENRLSEVNRLFGDNSLLRQLTNWQPRYGFERGITETAEWFS-NPANLA---- 239629873 ----NYLVTGGAGFIGSNLTELLLTDKNTVTIVDDLSMGLRENIPDSDFYEHSITDHDFMSRLLIDGKFDYIVLLAAIASVADSVERPYATHLVNQEANLSMLETLRTHQIKKLYFSSSAAVYGDSPALPKAETMAVKPLTQYAVDKFATEREVLNYGRLYNMPVVCTRFFNVYGP------KQNPKSPYSGVLSIMMDALKADKPFTFFGD------GEQTRDFIYVGDVVRAIRGLLETPSARDD--VFNVANGQQTSLNQVAKELEKLTGKTLHATYQEPRLGDIRDSYAQVDKL-DQFDFMPHTPLAEGLAKYVA-------------- 116621368 -QDRPVLVTGATGLVGSWLTRRLLDAGADVVCLVRDWVPQSELVRSGKVVRGDIRDLDALERTIGEYETGTVIHLAAQTIVGIANRNPISTFESNIQGTWNLLEACRRAPVKSIVIASSDKAYGDQEKLPYSEDAPLQGQHPYDVSKSCADLISQTYAKSYGLPVAVTRCGNFYGGGDLN----------------WNRIVPGTIRSILRGERPVIRSDGQFRDYFYVEDGAAAYMLLAELLHSRPELRGCAFNFSNEIQVLDLVRRILCAMGSTLQPDVRNEAVNEIRHQYLTAERAKTMLNWSPLFTLEQGLQHTIAWYKEFLR------- 83594438 -RGRRVLVTGGAGFIGGHLCRRLVGLGAEVVVLDDLSTGRRDTVPRGVRIVGSVTDPALVRKALQGTEGC--FHLAAIASVPLSVSALVDCHAVNQTGTLRLIEGLRDNGGGRLVYASSSAVFGDPVALPLTMASPTRPISPYGVDKLACEAHARVAGGLYGLKSFGLRFFNVYGEGQS------DDSPYSGVIALFNRKLRDGQPITVFGD------GSQSRDFVYVGDVIEGLLAAWNEASVRGPVETV--GTGCPTTVMDLARTIMEVHGRSVPVVHAPPREADIEHSYGKADFLARILP-KPAVALRAGLARTL--------------- 14590287 ----RVLVTGGAGFIGSHLVDRLMEEGYKVRVLDDLSAGSLKNIEGWEFIKGDMRDVEIVSKAVK--DVDAVFHLAANPEVRIGSQSPELLYETNVLITYNLLNAVRNSGVKYLVFTSSSTVYGDAKVIPTPEDAPLEPISVYGAAKLAAEALISGYAHTFDFRALIIRLANIIGKRSNHGVIYDFINKLKANP----------------NELEILGDGTQRKSYLHISDTIDGIMKLFEHFLNGEERVDFYNGNEDWITVKEIAEIVSEEMNLNPRFKFTGGWKGDVKLMLLSIEKAKR-TGWKPRMNSYEAVRKTVREMLE---------- 295838772 ----RVLVTGGAGFIGSHVVDALVERGHEPVVLDLRADPAR-----------DVRDADTVARALA--GIDAVCHQAARVGLGDGVRDAAGYAGHNGLGTAVLVAAMAEQGVRRLVLAGSMVVYGEGEPGLVAEDAPADPRNVYAATKLAQEHLAAAWARATGGTALSLRYHNVYGPRM------PRDTPYAGVASFFRSALARGEAPQVF------EDGRQRRDFVHVRDIATANVAALEAGAEPGALTAYNAGSGTPHTVGELAAALAAACGGPEPVVTGAYRLGDVRHITADSARLRADLGWKPETGFAEGTREF---------------- 33240762 MKN---LVTGGAGFIGSHVVDRLMNCGEKVICLDNLCTGSLENIKWIDNPNFQLINHDVINPI--ELNVDRIWHLACPASPLHYQENPIKTAKTSFLGTYNMLGMARRTKA-RLLFASTSEVYGDPEIHPQPETNPTQIRSCYTEGKRIAESLCFDYLREHKLEIRVARIFNTYGPRM--------LPNDGRVISNFISQAIAKRPHTIYGDGLQT------RSFCYVDDLVDALIRLMLSNC----SGPINLGNPQECTILELSRIISKKINATYDFITFSLPKDDPMRRKPDINLAKRELDWEPLINLDQGLNLTIDYFK----------- 221207870 MKGKRVLVTGGAGFLGSHLCERLVTAGHDVLCVDNFYTGNIAHLLDAPNFELMRHDYVEVDEIYNLACPASPVHYQ---------RDPVQTTKTSVHGAINLLGLAKRVKA-RILQASTSEVYGDPDVHPQDERNPIGIRACYDEGKRCAETLFMDYHRQYGVDVRIARIFNTYGPRM--------HPADGRVVSNFITQALAGEPLTVYGD------GTQTRSFCYVDDMIDALVRLMDEPGDA--CEPVNLGSDDEIAMIDVAREVVRVVGATVPIEFRPLPADDPRQRRPNLDAARKRLGWRATTPFASGLAHTARYFI----------- 144224763 ----------------------------RVVNVDKLTYGNLESLKNYIFERIDICDSNALERVFRESKPDLVIHLAAESHVDRSIDGPSEFIQTNVFGTYSLLENARSYFKFRFLHVSTDEVYGDSPSDFFTEESLYDPSSPYSASKAGSDHLVRAWYRSYGLPVLITNCSNNYGP--------FQFPEKLIPHVILSAINGKKIP--------IYGDGTQIRDWLYVEDH---IHALMDVSLKGVIGETYNIGGNNEIQNIEVAKKICAILDKLIPIAYVEDRPGHDVRYAIDSNKIRKNLGWSPKEKFESGILKTVQWYLSNLPWTDN--- 135782940 -------------------------------VLDGINS---ICGIKPKWHNIDLSDSNESINFLKNQEFDGIIHFAAFKAVGESVQKPLKYYRNNLISLMNVLSYLKTHNNCAFIFSSSCTVYGQAENLPITENSIQNAESPYGNTKQICEEIINECSTAYGLKSIALRYFNPIGAHPTGKIGELPLGPQNLVPFITQSAAGIRGSLNVYGNDYPTHDGTAIRDYIHVVDLAQAHIIALERLLNNKEMEIFNLGTGKGSSVLEVIKAFEKASGEKLNYKIANRRKGDITSAYSDTKRAKEILKWESKKTLEDALKDAWRWQKN---------- 142440693 ---KRILITGGAGFLGSHLCECLVETGHDVICVDNFFTSQKRNIA----HLLDCSNFELIRHDIIWLEVDEIYNLACPAAPGHYQYNPIKTMKTSVSGAMNVLGMAKRCRSKVLQ-ASTSEVYGDPEVHPQPESNPIGIRACYDEGKRAAETLFMDYHRAHDVNIRLVRIFNTYGPRM--------HPYDGRVVSNFIRQAITNEAITIFGD------GSQTRSFCYRDDLVHGIIKMM--NGPDDFIGPVNLGNPHEFTILQLAEVIIKLTDSKSKIIKKPLPKDDHARRRPDITLAKQKLSWEPTVLLEEGLIKTIEWFR----------- 150376938 MKNKRVLITGGAGLIGSHIADLVLEEPREILVLDNFVRGRRDNLRQARIIEGDIRNRTLL--AHSLEGVDIVFHQAAI-RITQCAEEPRLAFDVLARGTFDVLEATVAAGVSKVIAASSASVLGLAQCFPTPEEHHYNNRTIYGAAKAFNEGLLRSFAEMYGLKYVALRYFNVYGPRMD------VHGVYTEVLIRWMERIAASRPPVIFGDD------GQTLDFVHVRDIARANLMAAKSDVTD---EVFNVASGEETSLRALALLLARIMGTSLEPQLEPARKVNVTRRLADTRKAERLLGFKTQITLEEGLRDLVAWWRR---------- 159037719 MSSSRVVVTGGCGFIGSHLVDQLVRRGDDVVTFDAPSTGERRPGTTARHIVGDVRDPSGLAQAIQP-GVDVVYHMAAVVGVDQYLARPLDVIDINLNGTRNVLELAARAGA-RVIVASTSEVFGKNPAVPWKEDGDLGPTTADRWSKALAEHLTFAFARQHSLAATVVRYFNVYGPR--------QRPAYVVSRSIHRALNGLAP--------VVYDQGRQSRCFTYVADAVD--GTMLAAAAPSAVGEAFNLGSMRESMISEVVELVAKLAGGTSTTSVDAARLGDLPRRVPDNTKARTTLGWDCATLLEDGLARTIEWARANAWWLAR--- 142139050 ----RVLLTGATGFIGAHVAQALRARGDQVTLVDRLSPYYSPQLKIDRLHRVDLADTGACADLFRRTRPDIVIHLAAQAGVRGS--DHAAYTADNLCATANVLDGSREVGA-RVVMASSSSVYGDAQ-PPFREPETGAPLSLYAATKQGAEAMAQAYSHGHGLSVAALRFFTVFGP----------WGRPDMAPIRFARLILSGRPITVYGDGL------QRRAFTHISDAVSGVLAAVDH--APSGFRAYNIGANNCDTVQSLICLLSSALDASPLVEYEQARAEDAPATEADTSRAQSELGWTPRAALAESVAELAYWCRDYY-------- 257868930 ----NLLITGGAGFIGSNFIRHMLKEDDHCFILDILTYASSSPDHRYRFVRGNILDKQLIRRLLKDYKIDCLINFAAESHVDNSIKDANLFVQTNVLGTTCLLDCAKEANI-RFIQISTDEVYGSLSEGYADENCPLNPSSPYSASKASADQFVCAYHKTYGLPFNIVRAANNYGPNQ-------HYEKMIPQMLMRMQNNEALP---------VYGDGTNIRDWLYVEDFCRAIHLILRKGVN---GEIYNISSHQEIDNLSLVKKLCDLMQHEKRITFVNDRPGHDFRYGVDTRKIEK-LGWQGNIFLDEGLAKTVSWY------------ 140859411 ---------------------------YSIFNLDNLTYAGNENNKNYSFIKGDITNKNFIFDIFSKHKFESVIHLAAESHVDRSITNPDIFIQTNIFGTVNLLNACIATWKEKFYHVSTDEVYGLGETGLFTEKTPYDPRSPYSASKASSDFFVRAYGETYNLPYVISNCSNNYG--------ENHFPEKFIPLLINNIINEKSLP--------VYGDGKNVRDWLYVKDHAEAIDLIFH---NSKNGETFNIGGFNEWQNIEVVKLLCNILDKKKLIKFINDRPGHDRRYAIDSTKISNELGWKPKFSFEKGLEKTVNWYLNNEDWLNK--- 227882264 MQGKQVLVTGGAGFIGSNLANAL-APDNDVIAIDDCYLGTPENLDSG----VEFVDASVLDEDLPT-DVDVVFHLAALSSYQMHEQDPTTGARVNVEGFVNTVEQARKDGCETVVYASTSSIYGS-RTEPSPESMAIEARTGYEASKLAREQYAEYFYNHYDLTLAGMRFFSVY---QGFGGAEEHKGEYANTVAQFTEKIANGEQPELFGD------GSQTRDFTHVDDIVRGLVLAAEHELN----GVYNLGTGESYSFNEMVAMINEVLGTDVEPKYIENPLDEVHDTMADPTKMKEATGWEPEISFEEGVQRVCEPYLD---------- 302788692 LKSKRIVVTGGAGFVGSHLVDKLIGRGDSVIVVDNFFTGRKENVMHHFNPRFELIRHDVVEPLL--LEVDQIYHLACPASPVHYKFNP-----TNVVGTLNMLGLAKRIGA-RFLLTSTSEVYGDPLEHPQKEDYWIGVRSCYDEGKRTAETLTMDYHRGANVSVRIARIFNTYGPRM--------CLDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQFVSDLVEG----------LVKLMESDHIGPFNLGNPGEFTMLELAQVVKETIDPEAKIEFRDNTADDPHMRKPDISKAISMLGWEPKIPLREGLPRMVDDFKQ---------- 254458296 --KKTWLVTGVAGFIGSNLAEALLKLNQKVIGLDNFSTGYQHNIEDIKFTEGDIKDYETCYEITKGVDI--ILHQAALGSVPRSINDPITSNLSNVTGFLNMLTAAKNNGVKRFVYASSSSVYGDSMELPKVEERTGNLLSPYAVTKMTNELYGGVFYKTYGLETIGIRYFNVFGKRQNPNGAYAAVIPKWLSSLINNE------------DVFINGDGETSRDFTYIENVIQSNILCGTTTTKEAYGEVFNGGIGGRLTLNKLYSSISRELKVTKEPVYREFRAGDIRHSNANIDKLKKATQYEPTHDIDMGLHESIKWYIENLKQVSE--- 143513750 ------LITGGAGFIGSNFVNYIQRCDDEIVVIDSLSYASDINFIKKDFVWCDIANEKHVNHIFKTYKPNKVFHFAAESHVDNSIKNYKPFLESNVIGTINLMNAALEVGVEKFHHVSTDEVYGSDDENIFTETTPYDPRNPYSASKAASDYFVKAWHNTYKLPYLITNCSNNYGP---------HQHIEKLIPLTIINSMRGKKT-------YMHSEGKLIRDWLYVEDHCRAIWMLEEQGIM---NDTYNIGGGCELDVKTVVKKILNILGKSHDLIGVSDRPGVDKRYAMSYTKLYNKTGWKPIMNFDTGLQHTINWYLN---------- 256668635 MAFSHAVVTGGAGFVGARLCAKLLEEGARVTAVDNLATARPGALDAARHPRFRLLEHDVTRPIPVPGAVDVVFHLASPASPRDYYRLPLETLRVGSAGTENALAAARGA---RFVLASTSEVYGDPLQHPQRETYWIGPRSVYDEAKRYAEALTSAMRREKGADTGIARIFNTYGPGMRA--------DDGRMVPSFITKALAGEPLTVEGSGRQT------RSLCYVDDTVDG----LLALARSGYPGPVNIGNPHELSVREIAERVIAITQSSSRIVHVEAAVDDPRRRCPDISLARQVLGWSPRVAAGEGLRRTVDWF------------ 140739998 ----RILVTGGAGYIGSTTAAHLLERGHGVRVLDDLSTGHRAAVPAGEFVEGSLEEAAVLAGALASV--DAVIHFAARSLVGESTEDPLTYFRANVGGTLCLLEGMRDVGVTRLVFSSTAAVYGEPGVDLIDESTPLAPINPYGHTKAMVERILAESARAGDVSAVALRYFNACGS--DGERGEDHRPETHLIPRLCAFARGALPEFRIHGGDHPTPDGSAIRDYVHVSDLARAHALAVERMEASG-FESINLGSGEGASVREVLDTAATVVGR----------------------------------------------------------- 142534745 ----KMLVTGGAGFIGSNLVDQLISEANEVHVIDNFSSGKKECNDKAIYHKLDISSVDNFDALKKIFDVDTIFHCAALARVQPSIVDPLKYEVNNTLGLMNVLKAAADVKVRRLVYSASSSAYGPTDNLPSKESDPVNSISPYANQKYYGELCCRMFSKVYGIETVSLRYFNVYGERQNL------GGAYATVVGIFINQILKGKPLTINGD------GSQRRDFTYVKDVVNAN-ILASSSSKVGSGEVINIGSGKNISINE----LADMFGTEKKY---MKPVNEPFANLADIRKAKELLNWKPLKDLE---------------------- 135288127 MAGRKILITGVGGLIASHLADYLLGKGHDVYGVDNFSIGRKQNVNENVKFMANCQDERRIDQIFQYIKPDVVFHAAAWAHEGLSQFMPVKLTDENYMAHINMLKASIKHGVKKYIFLSSMSVYGS-QKPPFDETLPRQPEDIYAISKAASEASLEVLSKVHGIDYVIIRPHNLYGERQ-----IMGDPYRNVAAIFINRCLQGK-------HFFIYGDGEQKRAFTYITDTIPAIAKAMDVSK-----EIINVGPTEEFTINQLGEEVLKHFPNNPKPIHLPPRPLEVKDAWCTNDKVKELLGYETKTSFEDGIRKMVDWAKE---------- 135936304 ----KVFVTGSDGFIGSHLVESLVRDGLNVRALCFYNSNNSWGWLDNIPAEGDIRDPNLVKNSMKGC--DTVFHLAALIGIPYSYVAPSSYVDTNIKGTLNILQSAMELNVQRVIHTSTSETYGSAQFVPINESHPLVAQSPYAASKIGADHMALSYFKSFDTPITILRPFNTYGPRQSN----------RAVIPTIIGQIASGKKEISLGLTSPTRDFNYVRDTCDAFKAV--------AKSNKTIGQVINAASNFEVSIKETVNIISEIMNVDIEIISENERISEVNRLFGDNTLIKELTNWSPKFSFKEGLKITIEWFSK---------- 116074490 ----KVLVTGADGFIGSHLTEKLLSEGYDVRCLYN-SNGSWGWLDSLPHEVGDIRDPLCVRSAMKNC--DVVYHLAALIAIPYSYIAPSSYVDTNIHGTLNVLQAARELNVSRVVHTSTSETYGTAQYVPIDEKHPQVGQSPYAASKIGADQIALSYWRSFETPVSVLRPFNTYGPRQSS----------RAVIPTIITQIASGNHQLRLGSLSPTRDFNFVEDTCNAFLSVASCDTSLGQVLNSASSF--------EVSIGETVNMIANIMGSDVEIIEDTSRLSEVNRLFGDNTLLRSLTGWQPSYSFRRGLSITIDWFSD---------- 160881430 ---KTYFVTGGAGFIGTNFIKYLFEHGEDVRVIDKLTYGNREWLKNYRFVQGDILDKELLTTIFKEEGIDFVVHLAAESHVDRSLQSDIEFFQTNVIGTRMLYQVIHNVWKDRILHVSTDEVYGLEESGQFIEHMPLHPNNPYSASKAGGEMVAIAYRKTYGLPIVRTRCSNNFGP---------YQHEEKLIPKCIKNCLNHKK-------IPVYGDGENIREWLFVKD----HCIAMDTVLLTGEGEVYNIGSHQEMSTLHIVTTILQYLKEHVDIEFTEDRLGHDKRYAVDTNKIETNLNWTPKTDFENGMAVTIDWYLKEYR------- 162455659 ----RCLVTGVAGFIGSHLAERLIELGHEVLGVDRFTDYYARELKEGNLARLDLA-TDDLRPLLS--GREVVFHQAAQAGVRPSWQSFEVYLRDNVLATQRLLEAARHGHVRKLVYASSSSVYGDPGDVPMHESSRTVPHSPYGVTKLAAEQLCELYRRNFGLPTISLRYFTVYGPRQRP----------DMAFHRFIAAVLKGEPVRVYGD------GEQTRDFTYVSDAVQANVDAME----SGAVGVFNIGGGSRVSLNDALGLLGELAG-PVRVERGEPQPGDVRRTWADTAAARAALRYVPRVPLREGLAAQVAWQTR---------- 143891803 ------------------------DAGHQVIGIDINPAPAHLVTQFTRFHQTDFASDSALQTILE-HRPDAIIHCAGTSLVGPSIDNPQEYYNNNVVKTLKLLDLVVNKSGTRFIFSSSAAVYGVPFMTPCHEVDPCEPISPYGESKLMIEQAMASYHRAYDLNYVAFRYFNACGA--DSSRRHGQAPGATHIIARVLESIKNDQEFTLNGTDYQTRDGTCIRDYIHVEDIARAHVLALDTKVPLG---VYNLGSNTGVSNREIVTAAEAVTSKTVNVVTGPARAGDPPVLTADPAKFTKVAGWK-KYNLKDTIQHAWNWY------------ 143475354 -SNSKVFVAGHKGLVGSAIVRYLEAEGFTNII-------------TADRRQCDLTNLNDVKKLFQFEKPEYVFLAAAKVGGILANRDYADFIYENLMIQSNVIHSSYLFDVKKLLFLGSSCIYPKFAKQPITEDHLETSNDAYAIAKIAGIRMCRAYRQQYGFNAISLMPTNLYGPNDNFDHNSSHVLPALISKFHGSLEKSEHWVVKLWGDG------SPKREFLHVDDLAEACYTCMQKYEGE---EHINVGTGEDVTIKELAETIVDVVGYKNDYVWDTSKPNGTPRKVLNVDKIKS-LGWEPKISLREGIESTYEWFKEN--------- 140713916 ----NILVCGGAGYIGSHMVKMLGNKGCNVVTFDNLSSGHADAVLCGTLVQGCLSNTQALRDLFKRHQFDAVMHFASYIQVGESVQKPAMYYQNNVANTLNLLQVMAEFKVRHFIFSSTAATFGEPQYTPIDEQHPQQPINPYGRSKWLIEQALPDFDHAYGMKSVCLRYFNAAGADPDGKLGERHEPE------------------------------------------------------------------------------------------------------------------------------------------------ 260574735 -RQKTILVAGGAGFVGSHLCDALIGAGNHVICVDNLETGRESNVPRFSFIRWDIIDPLPIDGPL-----DQIYNMACAASPPLYQKLPIHTFRTCIEGSYNLLELARQKRA-RILQSSTSEVYGDPEVSPQSEKNTMGPRACYDEGKRAAETLFWEYGAHQGVETRVARIFNTYGPRMN--------PQDGRVVSNFVVQALTGDDITIYGDGLQT------RSFAYVDDLVDGLMRLMASDCTQA----VNLGNPGEFTMRELADMVLAQTGSKSRLVTRPLPVDDPRQRKPDIRLAQTLLGWSPTVPLEEGLTRTIA-------------- 136321609 --KKTILITGAAGFLGSHLCDYFLLKNCKIIGLDNLITGHLESNSDFEFREIDITNDFKIDK-----PIDYILHFASPASPIDYLQIPLETMRVGSLGTENILKIALQNKA-RILIASTSEVYGDPLEHPQNEEDPVGPRGVYDEAKRYQEALTTAYHNYYGLDIRIARIFNTYGSRM-------RVNDGRAIPSFIGQAL-RHESLTVFGD------GSQTRSFCYIDDMIEGIYKLLYSNYTKP----MNLGNPEEIALIDIAKEIITMGGGNNKIIFKPLPINDPTKRKPDITKAMKILNWQPNVSRKKGLEKTFNYFRN---------- 153007277 -SNENVLVTGGAGFLGSFVVEELRRRGAQDIFV-------------PRSRDYDLVRMEDVRRLYEDAKPTLVIHLAAVGGIGANRDNPGKFFYDNLMMGVQLIEVGRQVGLKKLVALGTICAYPKFCPVPFREEDPEETNAPYGLAKKMLLVQSQAYRQQYGFNSSVLFPVNLYGPRDNFDLHTSHVIPALIRKCVEARERGDEK-------IEVWGTGAASREFLHVRDAAEGIVAAAEKYD---KSDPVNLGAGFEIKIRDLVPLVARLCRFEGQLVWDASKPDGQPRRMLDTSRAEREFGWKARIPFEDGLRETVEWFEQN--------- 307325222 ---RRAVVTGAAGFIGSHLAHALVQAGTTVIGVDRQDPANLATLRGLPGYHHVTADLLHCAIDPLLIDADAVFHLAGIPGVRPSWPQFGDYLASNVLATHRVLEACTRISVPRLVVASSSSVYGPTDGGASLEADRPKPASPYAVTKLAEEQLCLAYERPIGPSVVALRYFTVYGPRQRA----------DMFTHRALQAALTGQPLRLYGDGH------QRRDFTYIDDVAAATIAAAVVPTAQG---AINVGGGSNASLLDVINIATSLTGRDIQLHQDHARNGDVLLTRADPSRAREVLGWQPRIDLHRGLR------------------ 307110009 -QPKNILITGGAGFIASWVVIKLVKQRPEVIVLDKLDYAAVRDLPNFKFIKGDIQSSDLVVHILEQEQIDTVMHFAAQTHVDNSFGNSMAFTMNNTYGTHVLLECSRYGKVQRFINVSTDEVYGLGKTEGLKEHSPLEPTNPYSAAKAGAEMMARAYHTSYKLPVIVTRGNNVYGPH--------QFPEKMIPKFTLRANRGLDLPIHGDGL--------AVRSYLYVEDVADAYITVL---LKGVVGETYNIGTQKERSVVDVAADICKMLDPATHIKHVKDRAFNDRRYFICDQKLLA-LGWQETTPWEEGLRKTIDWYLKHAN------- 71277971 ---KTWLITGCAGFIGSNLLETLLLLNQKVVGLDNFATGHAEQWQNFYFVKGDIRQLEDCQTALSHYKVDYILHQAALGSVPRSIADPLLTNSANITGFLTMLTAAKETQVKSFVYAASSSTYGDHPALPKVEDAIGKPLSPYAVTKYVNELYADVFHKTYGLNCTGLRYFNVFGKRQDP-----DGAYAAVIPKWTVAMIANE-------ELLINGDGETSRDFCFVENAVQANILAATAN-DAGKNQVYNVALGDRTSLNTLFNSLKVALDYQKSPTYQDFRAGDVRHSQADISKAKSLIGFEPEFKIQQGIDKAMPWYIKF--------- 135443862 -----ILVTGCAGFIGFHTCLKLIKNNNYVCGIDNLSHYYDPKLK---VRRLSILKKNKKFQIFKKNKFDCVVHLAAQAGVRYSTNKPRVYLDTNINGFFNVLDASRIFNVKHFIFASSSSVYGDSNKFPSKEDNTDKPLSFYSATKKSNEVLAHAYSNIYKLPCTGLRFFTVYGP----------------FGRPDMSFIKFVKAIKKSKNIDFFNKGNHVRDFTFVDDATNAVSKILNKSSRKIPYQIFNIGSNNPVKLKVYLRIIEKVLKKKAKINYKPIQKGDVLKTHASIKALNQIIKYKPKTKLINGINKFIVWFNEYYK------- 134099884 ----RILVTGAAGFIGSHVADALAGRGHDVVLLDALQAHSTAPDIGHHLVHGDVRDAELVRDLLSGV--EVVCHQAAMVGHGVDPSDMPDYASHNDHATAVLLAAMHATGVGRLVLASSMVVYGEGDPDLVPEDAPLDPRSTYAATKLAQEHLAAAWARQTGGGVWALRYHNVYGPRMPS-----GTPYAGVASLFRSALQRGEAP-------LVLEDGRQRRDFVHVHDVAAANALAVEAAPPAGGLEAVNVCSGQPHTIGDMAEELSRAFGGPRPRIVGGARPNDVRHVVADPAKARRVLDFRAEIGFREGMTAFASDPLRAPVTLSEAH- 143125485 IQDKRILVTGGAGFVGSHLCERLVELGAKVVVIDNFSTGKSENLNNIKDEIGDISEYGQVDK--AVEGCDYVFNLAYPYGVDGLGLHQA-YIDTGVQGTFNLLKASTIHKVKKLVNVSSVSAYGIVTEPRISEDMSGRHFLHYGITKLSGENYCKIFPTSFGLDTLSLRYFYVYGPRYAT--------YDHSALIKFLTRCKEGKDLKLFGDGL------QLRDYTYVSDVVEGTIQSLNC---RGNGEVYNLSGGGESNIVDLAKLVIKTTNADVDIKRIPFRGGDERNYVADISKANRDFGYKPKVSLEQGVKKMWEW------------- 240167775 ----RLLVTGGAGFIGANFVHSTVRERHEVTVLDAFTYAGRRDSLADVAVEGDITDTGLVSRLVD--QSDAVVHFAAETHVDNALDDPEPFLHTNVIGTYTILEAVRRYGV-RLHHISTDEVYGDDDPQRFTESTPYNPSSPYSASKAAGDMLVRAWVRSYGVRATISNCSNNYGP---------YQHVEKFIPRQITNVLTGRRP-------KLYGSGANVRDWIHVDDHNSAVWRILEKGQI---GRTYLISAEGERDNLTVLRTLLRMMGRDPDFDHVTDRVGHDLRYAIDPSPLYDELAWAPKTDLEEGLRATIDWYRDNEAW------ 143238669 --------------------------------------------------------------------IEFVIHFAGLKSVAESVENPVLYWENNVNGTINLLKVIEKYNCRNIVFSSSATVYKAKSNSPLFENDICEPVNPYGNTKLTIERLLKDIYDPSKWRIASLRYFNPVGAHESGLLGEDALGPNNIYPLITQVAIGNIKEIKIFGSDWPTVDGTGIRDYIHIMDLAEGHFAALDYLLEKPQFLTLNLGTGIGTSVLELIRCFEKVNNVKIPFSFDNRRIGDNAFVMADNSLAKKILNWIPKRNINDICRDGWNWQLKNPNGY----- 260461383 -------IVGGSGFIGSNLADSLLSDGEPVLVIDNLSRPGVEQNLQWSVETVDIRDQSSLVSALAPAK--AIFHLAAQTAVTTSLRDPTEDLDINLKGTFNVLEAARLASVPVV-FASTNKVYGSLPQVAVREDDRYEPCTPYGCSKGAADQYVLDYAKSYGLPTAVLRMSCIYGPRQFGT-------EDQGWVAHFLLSALSGRPITVYGDG------KQVRDILHVSDAVAAYRGLLARIEDKGQAFNLGGGPRNAVSLRTLLSEIAAITGRDVALRHDLQRTGDQPFFVADTRKIEDALGWRAHVPWREGVRDLAGWLLRH--------- 94970292 ---KRILVTGGAGFVGSHLVDALLRAGHSVRVFDNLSPQVHPHGLDIEFIQGDMRDLDAVRR--SLENIDVIFHKAAAVGVGQSMYEISHYMSANTQGTANLLQAMLRRDFEKLVVASSMSIYGEGKPIPTDESKRLQCTSIYALSKKDQEEMCLLYGRTYGAPVVALRYFNIYGTRQ-----ALSNPYTGVAAIFASRLLNHRSPM-------IFEDGEQQRDFVSVHDIVQANLLAMDR--EEANGLAINIGSGAPISISQVADILGAALGLHVEPEIGKYRAGDIRHCFADIGLAQKVLGYRPKHRFADGIGELVAW------------- 288922411 IAGRRVLITGGAGLIGSHITDQLIHEPAEIVLLDNFTRGRHENIAAATVIEGDVRDADTVAKAMAGVD---LLFHQAAIRITQCAQEPRLAYDVLATGTFNVLEAAVEAGVSRVVAASSASIYGLAEQFPTAENHHYDNRTIYGAAKLFNESLLRSFNDMFGLDYVVLRYFNVYGQRMDA------HGAYTEVLIRWMERIERGERPLIFGDG------SQTMDFVHAVDIARANIAAARSDVSD---QVYNIASGQETSLLELARALCEAMGSDLEPEFGPERKTNPPRRLADISRARDDLGFVASIGLKDGLRELVSWWR----------- 135310209 MKTK-LFITGSSGFIGFHVSKRYLDKGFKVHGFDSMNNYYDVKLKKSRFSKGNLENQKILNNSINKFKPSIIIHLAAQAGVRYSIENPKIYLNSNITGTFHVIESAKKFKVKHLIIGSSSSVYGANKKFPFQEIDKTDSISFYAATKKSIESLAHSYSSLWKMPITILRFFTVYGP--------WGRPDMAYFKFTKNILNGKK--------IDVYNKGKMYRDYTYIDDIVDGIFRLTNKIPKLNSSKKYINGNTKKVLLSDFINAIEKRLGKKANKNFLPMQKGDVHSTLSDTKLLRRITGYNPKTKYQDGINKFLDWYLNYY-------- 124024297 MQPTRNLVTGGAGFLGSHLVDRLMQAGEEVICLDNYFTGRKVNIQWIEHPRFELIRHDVTEPI--KLEVDQIWHLACPASPVHYQFNPIKTAKTSFLGTYNMLGLARRVGA-RLLLASTSEVYGDPEIHPQPESNTIGIRSCYDEGKRIAETLCFDYQRMHGTEIRVMRIFNTYGPRM--------LPDDGRVVSNFIMQALRGEPLTIYGDGLQTRSFCYVDDLIEG----------MLRLMRSENPGPINIGNPREFTIRSLAELIRNRIQPNLELISKPLPQDDPIQRQPLIDLAKKELDWEPLIQLEDGLTRTIDWFRE---------- 135874095 MKTK-IFITGTSGFIGFHTAKKFLNRGYLVYGYDSINNYYDVKLKKARLDKGNLENKKTLNKSILRFKPKIIIHLAAQAGVRYSIEKPDKYLNSNIIGTFNVIELAKKINVKHLIIGSSSSVYGANTKFPFKEIDKTDHISFYAATKKSTESMAHSYSSLWKVPITMLRFFTVYGP--------WGRPDMAYFKFTKNILNGKKINIYNKGKMY--------RDYTYIDDIVDGIFKLINKVPNNKQSKKYNIGNTKKIYLLDFINTLEKVLKKKAIKKYLPMQKGDVHSTLSDTNLLKKITGYNPKTKYQIGIKKFINWYLSFYK------- 289613801 ---KYIMVIGGLGYIGSHTTLELLREGHNVIVVDNLSNSYKTVLKNVKMFRIDYRDATSGKQVVSYQQIAGVIHFAAYKSVVESIQQPLRYYRNNVCGLVNLLQELERFNIRNFIFSSSATVYGEAGETTIVEPTIEGLSCPYARTKFFGEAILADAEADPDWRIVALRYFNPVGCDPSGVLGESPRGEPTNFPVLTQVLKGDRPELSVFGSDWPTRDGTAIRDFIHVLDVARGHIAALNWNSKKGNGFTFNLGSGTGTTVLEAVRSLEQAAGREIPLALVDRRPGDVGSCVASTDRATKELGWTTRESITKCAADLWNY------------- 143167176 ----KVLVTGAAGFIGMHCIERLLARGDQVVGIDNLNDYYDVTLKESRFHRVSIEDKEAMAGIFAEEKSDRVIHLAAQAGVRYSLENPHAYIDANLQGFMNILEGCRHNDVAHLAYASSSSVYGGNAQMPFSEHHVDHPVSLYAATKKANELMAHTYSHLYGLPTTGLRFFTVYGP----------WGRPDMALFLFTDAIRNGRPIDVFNQGAMVRDFTYIGDIVEGVIRVDFDPMNPDPGTSTAPFRVLNIGNGNPTPLMDYIGALEEALGMTAEKNMMPMQPGDVP-------------------------------------------- 226311339 LAKKRIVVTGGSGFLGSHVVHHLRKLDCTDIFI-------------PRSHEYDLRKEHDVNKMLQDFRPDIILHLAAVVGIGANQKNPGKYFYDNLIMGTQLMEQSRLFGVEKFVAIGTICSYPKYASVPFQEEDPEETNAPYGLAKKMMLVQSQAYREQYGFNSIYLLPVNLYGPG-DNFDLETSHVIPAIIRKCVDAIRNDEKKIVLWGTGSVT------REFIYVEDAAQAI---IAATMNYDQSEPVNIGSGQEISIKSLAETIKQLSGFQGEIEWDKTKPDGQPRRLLDVTKAKEHFGFVAQTSLLAGLEKTINWYMAHP-------- 136426432 ---KRILVTGGAGFLGSHLCDRLVEAGHEVVCLDNFFTSHREHLLGKTNFELVRADVTNP----VQFEVDQIYNLACPAAPGHYQYNPIKTLKTSVMGAMNVLGLARRVRA-RVLQASTSEVYGDPAIHPQVESYWIGPRACYDEGKRAAETLFFDYHRMNNVSIRVARIFNTYGPRI--------HPFDGRVVSNFIRQAVAGQDITLFGD------GSQSRSFCYVDDLVDGLIKLMAAPDEC--TGPINLGNTNEFTVKQLAEKIVAIAGTKSKVVTGPLPQDDPTRRQPDISLAKKSLGWEPKISL----------------------- 135415351 -SSKKILVTGVAGFLGSHLAEKLAEMNYKVIGVDNMSGGYKDNIPKNIFFNFDCCDLDKMKVAMKGVH--VVYHCAATAHEGLSVFSPYHITKNNLLASVSVFTAAVSNKVKRIIFCSSMARYG-NQQIPFTEDMNPKPVDPYAISKVAAENVLMNLCELNNIEWIIAVPHNIIGPRQ------KYDDPFRNVVSIMINRMLQNKAPIIYGD------GEQKRCFSYIDDCLSCLIPMVDQKNLNK--QIVNIGPDEEFVTINKVSEICSITRSNLKPIYKEDRPREVKHACCSANKARKMLNYKTKTDLKTGILKTYDYIKK---------- 144068879 MKDCKILVTGGAGFIGTNLIKRLLKDGHNVVSIDNYSTGNEENEQEGCYHNVDIRDAVDFD--FFMENPDVIYHLAALPRIQPSFEFPALTMEIGMLGTMNILEWARNKEC-KVIYAGSSSVHSGHYE------------NPYTFSKVMGDELCMAYKQMFGVDTKICRFYNVYGPHQLT------------EGEYCTVIGVFENQYKEGVELTITGDGFQRRDFTHVDDIVEGLILTSE--SEEFDLDIVELGRGHNHSINE----LAEMFGCEH--TYIPKRPGEAEVTLCDTSVAKKDIGYNPKVNLEDYVKE----------------- 143305701 MQNRNILITGGLGFIGSNFYNIFKKRDYKLVILDSVTYAADEKNIDDRIIKFSICERDRLFKLFENYNFDAVIHFAAESHVDNSIKNPQEFIQTNVVGTVNLLDASVKYGIKKFYHISTDEVFGLGGVGQFDEKTPYDPRSPYSASKAASDHFVRAYYHTFGLPVVISNCSNNFGP----------KQHDEKLIPTVIKSILEGKPIPVYGN------GTNVRDWLYVDDHVDAIDKVFH---NGKIGETYCIGGGNEMNNLRLVRMICEKIDSTDLITYVEDRKGHDFRYSIDFTKIKNELNWEPKT------------------------- 126645041 ---KRVLVSGGGGFLGSHLCDRLLKEGNEVLCVDNFFTGNRRNI----HHLLDNKNFELLRHDVTHVEVDEIYNLACPASPIHYQFDPVQTTKTSVIGAMNMLGLAKRLKIKILQ-ASTSEIYGDPEIHPQPESNTLGPRACYDEGKRCAETLFFDYYRQHQVPIKVMRIFNTYGPRM--------HPNDGRVVSNFIVQALKNEDITIYGDGKQT------RSFCYVDDNIEGMYRLM--NSRDGFTGPVNIGNPGEFTMLELAQLIIELTNSKSKLVFMSLPQDDPLQRKPVIDLAKKELDWEPKIALKDGLTKTIEYF------------ 260452471 ----RVLVTGGAGFIGSQIVRTLAADGHEPVVLDALPSAHGASVPPPPPVVADVRDREAVAGALT--GIDAVCHQAAMVGLGKDFADAPQYVGCNDFGTAVLLAEMAAAGIRYLVLAGSMVVYGEGRPGLVTEDAPVDPRNVYAATKLAQEHLAAAWTRATGGRAVALRYHNVYGPGM------PRDTPYAGVASFFRSALARGESPRVF------EDGGQRRDFVHVRDVATANVTALAALRERGPGSFYNTGSGVPHTIGEMAWALASAHGGPAPVVTGEYRLGDVRHVTADSRRLREELGWKPATDFVTGMREF---------------- 307822642 IKDSNILVTGGCGLVGSTTIDLLL-RDYSPVILDDLSRGNVEAALKDPRVQEDIRDPEAVRR--ATQGMDAVIHMATL-RITACAANPRDAMKVMCDGSFNVVEAAQAAGVKKVVAASSASIYGLADTFPTREDHHYNNRTWYGASKIMLEGLLRSFNEMYGLPYVALRYFNVYGPRMD------IHGKYTEVLIRWMERIAAGTPPLILGDGAQT------MDFVYIEDVARSNILALQAEQTDD---VFNIASGTETSLNDLAITLLKVMGSDLEPEYGPERVNPVSRRLADTTKAEQLLKFKSQIDLEDGLSQLVDWWRTN--------- 158423885 ----RFLVTGTAGFIGFHLAKRLLAGGHVVVGVDGLTPYYDVRLKHSRHAELEIETPDVLAQAADLAQPDVIIHLAAQAGVRYSLENPKAYVDANLNGSWNVLELARHLKPRHLLLASTSSVYGANAKVPFCETDRDEPMTLYAATKKSMEAMAHSYAHLYGVPTTAFRFFTVYGP----------WGRPDMALFKFVSAILKGEPIDIYGEGRMSRDFTFIDDLVEPQTGAPVGRAGVDSLSPVAPFRVVNIAGGQPVGLLDFVETVEQAVGRPAIRNLLPMQAGDVPRTYASAALLEALTGYRPDTPLGVGVPAFVEWYRSYY-------- 142456571 ---KKILITGGAGFLGSHLCDRFIKENYQVIAMDNLSTGSIENIEHLFKKNFEFHEHDVTKFIHVPGPLDYILHFASPASPIDYLKMPIQTMKVGSLGTLNCLGLAKEKKA-RILIASTSEVYGDPLVHPQKEDYWIGPRGVYDEAKRFQEALTMAYHTYHQLETRIIRIFNTFGPRMRLNDGR--------VLPAFIGQALQGKPLTAFGDGSQTRSFTYVDDLIEG----------IFRLLLSDYAYPVNIGNPQEVTINEFAQEILQLTQSSSTISYQALPEDDPKQRKPDISLAKELLDWSPQFTRSEGLKITVD-------------- 136378412 ---KNILITGAAGFLGSHLCDRFIKDGFYVIGMDNFITGDKDNINHLYNPKFNFIEHDVTKHIKLDQGIDFILHFASPASPIDYLKIPIQTLKVSSLGTHNLLGLAKSKNA-RILIASTSEIYGDPKVHPQKEDYFGNPRGVYDEAKRFQESLTMAYHRFHGLQIRIARIFNTYGPRMRVNDGR--------VIPAFIGQLIRGENLTVFGD------GSQTRSFCYVDDLVEGIYRLLF----SDYSYPVNLGNPDEITVLDFANEILNFSKTNQKIDFLQLPEGDPKRRQPDISLAKKILNWYPKINRQQGILQTVKYFKSIPKSLKVEHK 251798149 ----KILVTGGAGFIGSHLVESLLAAGHTVWTLDDFSNGRPEFLPRHRLIEGSVLDRKLVKKCMSHV--DTVYHLAAVLGVKNTVEDPIKVIEGNIDGTRNILELAYPSR-TKVIFASTSEIYGKNEKLPFNEDRVYGAPSIHRWSKSIDEHMCFAYAAK-GLPVTVLRYFNAYGPRQTN-------SQYGGVVARFITAALKGEPLEVYGS------GTQRRCFTFVDDTVSGTIAAL---SPEADGLAFNVGSTHSVTIIQLAQLIIQLSRSTSPVILEAYGPGDMPAREPDLTRAETILGYKPSVSLEQGLIKTIEWYRE---------- 301633548 -TKSKVLVLGGLGYIGSCFIDQLLKQYPDVTVIDINHTSLALQLLQVNVHFVNLLDRAQLTDTIAQINPDVVFHFAAKTSVKESTEQPLTYFDHNLVGTLNLLHALKEQKPIQLFFSSTAAVFGSASTLPIPENLVLEASNPYGISKFLSEIVLQTLTRSPHFQVIALRYFNVAGA--SNPFGNFNKNTTLLIPNLIKAFM-EKRTFFLYGDDYDTKDGSCIRDYIHVVDLCDAHLLAWKWLQANPKVRFFNLGSGQGFSNWEVINTAQAIFAPEQQLKIESRKAGDPPVLVVDCTKAKRLLNFQPTRSLHKMLSDTI-FYRDFYNRL----- 136703003 ---------------------------------------------------------------------------------------PLKYYLNNVSGSISMLEAMLDAGVHDLVFSSSCTVYGEPTHVPLDESHPTGGVSPYGRTKYQVEGIIRDHAAQPNFRAAVLRYFNPVGAHPSGEIGEDPQGPDNLVPFVCQVATGKLEKLKVFGNDYPTRDGTAVRDYLHVVDLAEAHLKALQAIERRVEGFTCNLGTGRGSSVLEVISAFEEATGKKIPYEFAPRRSGDVTEAWADPTYAHEILGWQTTRGLEEMLADAWNWQSKNPHGY----- 143856608 ----KILVTGCAGFIGFHFSRLCLYKDISVIGVDNINDYYDEEYKKFKFIKADISDSNFLEYFPQKYTKIIIVHLAAQAGVRFSIDSPNSYTKSNLVGFANILELSRRLN-SRLVYASTSSVYGLNTNLPFSEKHIDHPIQYYAATKRSNEIMAHSYSHMYELEMIGLRFFTVYGP----------WGRPDMALFKFTKNILEDKPIDVFNNGNHIRDFTYIDDITYEFDNEPFDTADPLPNNGISKFKIYNLGNNSPVRLMDYIKKIEQELDKNAKVNFYPLQQGDVIETRSDITQAIKELGYNPVHNIDYGIKQFVNWYKEYYE------- 227542265 ------------------------------------------------------------------------LHFAARSLVGESVEKPAEYWNHNMVTTLTLLDAMVAHDVSSLVFSSTAATYGEPETVPITEDFPTPPTNPYGATKLAIDYAISSYCTAYGLAATSLRYFNVAGA--YGSIGENREIETHLIPLILQVPLGARKDIKIFGDNWPTKDGTCVRDYIHIRDLADAHVLAL-TSNQPSTHRIYNLGSGDGYSVKEVIEACREVTGHPIPAEVAPRRAGDPAVLVASSEKIKQELGWNPRTTLHNIVQDAWEFTKN---------- 144062629 -KKLNIVLTGGSGFIGSHLSKELLKDNNKLIVVDNLLTGNLDNIQLLDHENATFIQHDVQDHIEINEKVDYVFHLASAASPVAYTENPVNTLKAGSLGTINTLGLARKHNAEYF-LASTSEVYGDPLITPQNEEYWGNERSMYDEAKRFAEAATATYARSYDIKAKIIRIFNTYGPNMQLNDGRV--------VTNLIVQALNDEDLTIYGDG------SQTRSFSYVSDTVAGILAMM----KSDHYEVFNIGNPYEMTVKELAETILKLTSSKSKIIYKPLPNDDPKQRRPDITKAKEKLNWEPKIDLETGLNTTIDW------------- 88604312 ---KNVLVTGAAGFIGTHVVRELQQDEYNIVALDDLSGGFIENIPQGTFIQGSVSDANLITELFTKYKFEYVYHLGAYAAEGLSHFIRRFNYTNNLIGSINLINEAVKVGTKCFVFTSSIAVYGAIE-PPMTEEKTPHPEDPYGISKLAVELDLMAAHSMFGLNYVIFRPHNVYG-----EYQNLSDPYRNVIGIFMKQIFEGQ-PMTIFGDGEQQRAFSYVGDIIPLIVQSPTIPGAL--------NNVFNVGADKPYTVNELASKVAIALGKPDHPTVHLPPRNEVGIAYSDHSKAKSVFGDSPHTSLDDGLEKMAAW------------- 227890959 --KKKYLVTGGAGFIGSNLIEKIISQGDEVVVVRHLPSECKEDDNNITFYQADVTDYEFMEQLLIKEKFDYIVLLAAVISISGTIAEPLSTHFINQEAILYIYEIIRKLKVKKVLFTSSSAVYGNIADTPRREDMPVSLKNPYAIDKFASERYAMFYEKVYGIPTVAVRFFNVYGPRQKA------QGKSAGVCAIILDCLLNDKEFKLNGDGKQT------RDYMYVTDAVDATLMLLQDPKISGE--IFNVASGKSVSLIDLIAAFEKITGKKLKIIHNKGLKFDTKNSLADITKLEK-TGFLPKYTFESGLKQYVK-------------- 33864981 ----NVLVTGADGFIGSHLVEALLVSGHHVRAFCNGSWGWLDTLPESVKAEGDIRDPLFVREAMTGC--DQVFHLAALIAIPYSYLAPASYVDTNIHGTLNVVQAARDLGVSRVVHTSTSETYGSAQFVPITEEHPQVGQSPYAASKIGADQIALSYWRSFQTPVSVLRPFNTYGPRQS---------ARAVIPTIITQVAAGQRQIRL-------GALSPTRDFNFVADTCAAFQAIADCDPALG--QVVNAASNFEISIGDTASLIGEVMNVQLEILTDEQRMSEVNRLFGDNTLLRQLTGWKPVYGFRRGLAQTAEWFSD---------- 212550819 ------FVTGGAGFIGSNFVKYLLKRDIKIVVLDSLTYAGNFNTIEANLEKGSIGDVEVVSDIFQKYPINFVINFAAESHVDRSIIHPRVFFETNVLGTQNLLEITKKYWVKYLQISTDEVYGSLGESGCFVETTPLSPHSPYSASKAAADLLVKAYSDTYKMPVNITRCSNNYGP--------YQFPEKLIPLAINNILEGRQLPIYGKGQ--------NVRDWIYVEDHCKAIDLVLHKGRR---GELYNVGGNNERKNIDVVKLIISTTKNENLISFTEDRLGHDKRYALDFTKITMELGWQPDMQFERGVLKTICWYLENQDWVNE--- 302530361 ----RVLLTGGAGFIGSRIADQLADDGDEVVVLDNLSTTPPEYTRRHRFLRGDVTDTEIVAALLDGV--DAVCHQAAVVGHGVDPSDAPSYALNNDYGTAVLLAAMHAAKVRKLVLASSMVVYGEGRWQLVPENAPLLPRSTYAATKLAQEHLAGAWARQTGGTVWALRYHNVYGPRM------PQNTPYAGVASLFRSALERGEAPTVL------EDGRQQRDFVHVDDVARANVLALRNDGPEADLTPLNICSGQPHSVGDLARELARACGGPEPRIVGGARPADVRHVVADPARARELLGFQAETSFAEGIAAF---------------- 86739689 ----RVLVTGGAGFIGSHVVDALVAAGDEVRILDALHRTKPQVNNAAEMIVGDVTDRDQVAEALS--GIDVVCHQAAMVGLGVDLDDLPAYATNNDLGTAVLLAAMARAGVGRLVLASSMVVYGEPTDGPVHEDAPLDPRNAYAATKVAQEHLAAAWAAETGGTAVALRYHNVYGPRM------PRDTPYAGVASIFRSALEHGRAPRVF------EDGRQLRDFVHVHDVARANLLASGQPQAPGRLVPLNIGSGDPHTVGDMADALARAFGGPRPVVTGTSRVGDVRHIAASSARAGDLLGYRPRVDFAEGMRAF---------------- 119963758 MKGARVLVTGGAGTIGSTLVDQLLDAGAEHIVLDNLVRGRRANLNEAQLIEGDLRDRDLVHDLT---KGKDLLFHQAAIRITQCAEEPRLALEVLVDGTFNVYEAAAAHKVDKLISASSASVYGMAEEFPTKESHHHHNNTFYGAAKSFNEGMARSFRAMSGLDYILLRYFNVYGPRMD------VHGLYTEVLVRWMERIVDGQPPLIFGSGHQT------MDFIHTADVAKANVLAAVSNVREG---VFNVASGTETSLAELAQTLLKVMDSPLRLEHGPERANGVARRLADITAAREALGFEAAIGLEDGLRSLVSWWR----------- 308458044 -TRKRILITGGAGFVGSHLVDKLMLDGHEIIALDNYFTGRKKNIHWIGHPNFEMVHHDVVNPYF--VEVDQIYHLASPASPPHYMYNPVKTIKTNTLGTINMLGLAKRVKATVL-LASTSEVYGDPEVHPQPETYWIGPRACYDEGKRVAESLMVAYNKQENVQIRIARIFNTFGPRMHMNDGRVVSNFIIQALQDKPITVSYYNVFVLFYFLQIYGNGTQTRSFQYVTDLVD----GLIKLMNSNYSLPVNIGNPEEHTIGQFAAIIRDLVGSQSEIINQESQQDDPQQRRPDIRRAAEQIQWRPQVLMKDGLLKTIEYFRRNKRG------ 134430012 ---------------------------FQVIILDNLKTGSKKLVKKSIFIKGDINNQKLLKKIFDKYDISTIIHLAGLIDVIESHKNKKKYYRNNVLGTLNLLKSIKVSSVKNFIFSSSAGVYGNINKSA-KETMKTKPINNYASMKLKSEKLIKKYSQNYNFNYAILRYFNVAGASSSGKVGILNRKNNSFFNVLAKQSLKKKPIINIFGNNYKTYDGTCIRDFIHVTDLATIHLKVLENLTLKKKSFVINCGYGKGYSILKIAKLFKKYINKSTDIKFKPSRKGDIAISYSNTSNLKKKIIWKPKYNIRKILITAINWEKK---------- 307818819 -----VLVTGAAGFIGRHVAHALTEAGFGVRKLLEPASGSNEALNALSSDEGDICDRALLARLASGVDI--VVHLAGSPSVSESFENAAECARVHLQGTICVLDACRKAGVRRLIYMSSAEVYGRPETDYVAETHALNARSPYAAAKIGAEAMMSAYSSAFAMDVIIVRPFSVYGPGASP-----------------HALLCEALATTARGEEVKVRNLRPVRDYIFVSDVADAVVKAC-SIEPAAHLLVFNLGTMRGTSVADLCRLVLAAFDRPQAIEEGEQRPGEIYRLVSDNRCAQEGLGWTPRTSLEQGIHQMAEVYKR---------- 282601243 ------MVTGADGFIGSHLTEELVKRGEKVRAFCLYNSGWIDTLPPEIKNEIDIRDPNGVRT--AMHGQERVFHLAALIAIPFSYHSPDSYVDTNIKGTLNVLNAARELGTQRVLVTSTSEVYGTAQYVPIDEKHPFQGQSPYSATKIGADRLAESFYRSFDLPVTIVRPFNTYGPRQSG---------RAIIPTIITQLLAGQTEIKL-------GSLTPTRDFNYVKDTANGFMTIADCDAAIGQELNI--ATGVEHSIGDLAHELIAQINPNATIVCEAERKSEVNRLLGDATKLRNLTGWAPQYTFEQGLAETIEFLRGNLDQYK---- 134102142 ----RVVVTGGSGFVGRAVVRAFVERGTPVTVIDQQPLPEDLRGDLVTHVAGDLGDPAAREAAV-TEGAAGIVHLAAITSVLRSVDRPAETYAANVAVTQELLELARLRGLGQFVLASTNAVVGDIGRGTISESLPLRPLTPYGATKAACEMLLSGYAGAYGLATCALRFTNIYGPGMG--------HKDSFIPRLMRAALAGA-------GVEVYGDGSQSRDFVHVDDVVRGVLAAWDKQY----SGTAIIGAGRSISVTELIEAVRTATGRPLPVTHVPAKNGEMPAVIVDVAKAGRELGYTPSVELTDGLRTVWE-------------- 260173928 ---KTYLVTGAAGFIGANYIKYILAKHNDVVILDALTYAKDIDNERCVFIKGDICSRDVVDGLFAEYRFDYVVNFAAESHVDRSIENPQLFLITNILGTQNLLDCARRAWVMRYHQVSTDEVYGLGAEGYFTEATPLCPHSPYSASKTSADMVVMAYHDTYKMPVTITRCSNNYGP--------YHFPEKLIPLIIKNILEGKHLP--------VYGDGSNVRDWLYVED----HCKAIDLVVREGDGEVYNVGGHNEKTNLEIISTIHRLMAEEDLITFVKDRLGHDQRYAIDPTKITNALGWYPETKFEVGIVKTIEWYLANQAWVEE--- 157413781 --NNTVLVTGADGFIGSHLVETLVRDGYKVFCLYNLDHSSPEIIQNVEIILGDVRDQFCVEN--SLVGVDIVFHLASLIAIPHSYKAPQSYVETNINGTLNILQSSKKLGIEKIIHTSTSETYGTAQYVPIDEKHHLVAQSPYAATKIAADQLAMSFFKSFNTPVTILRPFNTYGPRQS---------ARAVIPTIISQLASGKNKVSL-------GKLSPTRDFNYVQDTVNAFKAVAFSKNTTGK--IINCASNFEISIEDTAYLIADIMNKKIEISSESERESEVNRLFGDNNLIKEITNWHPKYSFREGLKLTIDWFSK---------- 149922804 ---RTWLITGVAGFIGSHLAEHLLALGQRVVGVDNFDTGKRDNLAHFEFRELDVRDPEALRQACARFDVEHVLHHAAVASVPRTLAEPETAHSVNVDGMFNLLEAARACGAKSVVHATSSAVYGDCPGAPETEAIIGRPLSPYAGQKRIAEVLGQTWSTTHGMSVVGLRYFNIVGPRQD------PNGAYAAVIPKWIDTLARGEQPVIFGDGLTTRDFCPVEDVVQANLLASTWHERADADARAMPGDPSEGVFNVGLGGRLTLMADRGVDCRAMQPRHEDFRAGDIRHSRADVSRARELLGYEPAMPLDTSLRATMEF------------- 135823851 ----NILITGGAGFIGSAVVRLAITRGHRVVNLDALTYAASLESLASISEHINIRDHKSLNPVFSKHKPDAVMHLAAESHVDRSIDGPSDFVETNITGTFNILEASRKYWKFRFHHISTDEVFGSDPDTRFTESTPYDPRSPYSASKASSDHLVRAWHETYGLPVILTNCSNNFGP---------YQFPEKLIPVVILNALSEKP-------LPIYGNGKNIRDWLYVDDHANALLLALEKGKS---GRTYNIGDENERTNLEVVKTVCSILDRADLITFVIDRPGHDARYAM---------------------------------------- 135320728 ----KYVVVGGAGFIGSNLVNKLVEENHEVFVVDNFSTGKEENINEKSKVRLDISDSENSKDLVSIMDADSVFLFAAKARVQPSIENPMEYEKNNTIGTLNVLKSATDAKVRRVIYSASSSAYGDSKILPLKETFPINPLSPYGAQKYYGEVLSRMFAQVYDIETVSLRYFNVYG-------------ERQNIAGAYALVMGIFAHQRLNGEPMTIRDGEQKRDFTYVGDVVNANILASQ-SKNVGKGEVINIGNGDNRSINE----IADMIGGERIYV---DPVVEPAETLADNSLAKELLGWEPTQKIED--------------------- 143222680 -SDSKIFVAGHKGLVGSAIVRHLKAQGFTNIITVDRS-------------QCDLTNENAVKMFFMMEQPEYVFLAAAVGGIGGNSDYPADFIYENLMIQSNVINSAAIVGVEKLLFLGSSCIYPKFAKQPITEDQLLGSNDAYAIAKIAGIKMCQAYRKQHGLNAIAVMPTNLYGPNDNFDINYGHVLPSLLAKFDGSLEKSKHWVVKLWGDG------SARREFLHVDDLAAALLICMERYDSE---EIINIGTGEDVTIKELAEMIVEVTGYKNDYEWDTSKPNGTPRKVLNVDKIKS-LGWEPKISLREGLESTYEWMKNNRSNLRN--- 268324965 MEGKRVLITGISGFVGSHLAEFFLERGLEVYGLDNIKHIQDKVRL----IETDIKDAHSMQKTIDEVEPDYVFHLAAQSFVPTSWKAPSETVSANILGTVNLFEAIRNNSDPRIQVAGSSEEYGMPDEIPIKETNPLRPMSPYAVSKVATDLFGYQYHQSYGLKIVRTRAFNHTGPR-----RGEPFVTSNFAKQVAEIEKGLKEPVIHVGN------LNAQRDFTDVRDIVNAYWLSVHKCKF---GEVYNICSGVAREIQSVFDILQGMVDVQIEVKQDPMRPSDVEILMCDCSKFRERTGWVPKIAFKDTMKDLLDYWRK---------- 159487407 -EPKNILITGGAGFIASHVVIRITKNQYKVVVLDKLDYGSVANLPNFRFIKGDIQSMDLISYILKTEEIDTVMHFAAQTHVDNSFGNSLAFTLNNTYGTHVLLEAARMHRIRRFINVSTDEVYGLGKTTGLVESSHLDPTNPYSAAKAGAELIARAYITSYKLPVIITRGNNVYGPH--------QFPEKLIPKFTLLANRGADLPIHGDG--------TSVRSYLYVEDVAEAFDCVLHKGVT---GETYNIGTERERSVKEVAKDIAKFFNLPESKVVVRDRAFNDRRYYIGSNKLGA-LGWTERTSWEDGLKKTIDW------------- 117929143 LRGCRALVTGGAGTIGSTIVDQLIAAGARVVVLDNFVRGRRENLVAACVIEGDIRDPAVVRDAVA--GTDLVFHQAAI-RITQCAEEPRLAFDVLATGTFNVVEAAVAAGVDKVVAASSASVYGLAESFPTEETHHYHNRTLYGAIKTFNEGLLRSFNDMYGLKYVALRYFNVYGPRMDA------FGVYTEVLIRWAKRIAAGEPPIIEGDGLQT------MDFVHVADVARANLLAAAAPVSD---EVINVASGTETSLRELADALLAAMGSDLRPEFAPRRVNNVTRRLASTRKAKELLGFEARISLADGLHDLVRW------------- 170751004 LRGTRILVTGGSGFIGSHIIDLLVEAGDEIVAIDNMIRGRPENLGDARLVQGDIRDRALMDTLIK--GTDVVFHQAAL-RITQCAAEPRHAFEVMAAATFNLLENCVEAGVAKVVMASSASVYGMAEVFPTTEHHPYDNRTLYGAAKSFGEGLLRSFNDMHGLDYVALRYFNAYGPRMDL------TGRYTEVMVRWMQRLAEGQSPIVFGDGLQT------MDLVHVRDIARANILSA---ISPATDVVLNVGTGVETSLVDLAGHLTRIMGRDPLIHEAERAVNPVPRRLCDTSLAKELIGFEAKIGAAEGLADLVAWWQDESRS---EH- 146339108 ------LVAGGAGFIGSHICDTLLRRGDTVICADNLHTGSLRNIPNFSFIEHDVREPLDIEG-----RLDRLYNLACPASPPHYQQDPIGTMKTCVLGTLNLLELAREKSA-RILQASTSEVYGDPEVHPQPETNTIGPRACYDEGKRAAETLMFDYQRMYGIEIKVARIFNTYGPRM-------HENDGRVVSNFIVQALRGAP-------ITVYGSGSQTRSFCFVDDLVRGLEMLME--SPGSVTGPINLGNPHEMSIEAIAREVLACTQSPSTLEFKPLPVDDPKRRKPVIATAERLLGWHPQIPLRKGLEATIAYF------------ 143263752 MALKSV-ITGGAGFIGSNLTDHLVRIGHKVVVLDNFVSGKKSNLSHHKKKNVKIIKISKSKNLLKYFKVDYIFHLAALAEVIPSIKNPKKYFKNNVIGTLKVVEAARRVKIKKLIYAASSSCYGNPKKLPTTEKDKIDLKNPYAVTKFIGEEIVMKYAEMFKMPNISFRFFNVYGPRLN------ISGQYGAVIGNFLYQTKNNKPLTIVGN------GNQTRDFIHVDDLASAFIKVL-------KSRYTNKIYNLGSGKKTSINSIASIFSSK--KKFIPIRPGEPKDSLANISKLKRDVNWKPKISIVSGIKK----------------- 136732269 --------TGAAGFIGTNFVSFWHGSNDRIVVLDALTYAGNKNNLWLEFVHGSICDQELVESLLHKHEINTLVHFAAESHVDRSIKGPDAFIDTNIVGTHSLLKAAKKYWIHLFHHVSTDEVYGTVDDPAFSETTAYAPNSPYAASKASSDHLVRSYHHTFGLRVTTSNCSNNYGP--------YQFPEKLLPVCILNILKGKDLP--------IYGDGLQIRDWLYVDDHNRGIELVI---KNGRHGETYNIGGNNEWANIDVVNLLCELIDRKSLITYVTDRPGHDTRYAINASKITTELGYDPQEGFDSGLKKTIDWYLSNQNWWQSIQD 148238443 ------LVTGGAGFIGGAVVRRLLTSQALIFNLDKFGYASDLTSIEGVVQRVDLADAAATAAAVQQADPDLVLHLAAESHVDRSINGPSAFLTSNVLGTYSLLQAVRAHWAFRFHHISTDEVFGLGPTGRFSETTPYDPRSPYSASKAASDHLVNAWHHTYGVPVVLTNCSNNYGP--------WQFPEKLIPVVILRAASGEPIPL--------YGDGLNVRDWLYVDDHVEAL---LLAATRGRLGASYCVGGDSERTNRQVVEAICSLMDHDRFITRVKDRLGHDRRYAIDPGLITTELGWKPRHNVENGLRQTVRWYLNHLQW------ 136466961 ------LVTGAAGFLGSHLVDALLATGQEVVGIDNFCTGNKAHLQDTKQFKFHELDVSLGLGDLTDSKFDSIFHLASPASPPHYMKLALETMQVNTTGTHHLLKLSQATGA-RLLFASTSEIYGDPLEHPQVESYWIGPRSVYDEAKRFGETLLAHYDRAGLAETVIIRIFNTYGPRLDSNDGR--------VVSSFLRDAILGKPLQVFGDGKQT------RSFCFVSDLITGIIAAMD----SGISGPVNLGNPNEFTLLELAEKVGETLNITPVIEFQNLPTDDPKMRCPDISYAKSALDWEPKIELTEGLALTAQWFK----------- 88604308 FDNKRVLITGGSGFLGSWLCDALIRKGAEVICLDNYASGRKEHLLGDPSFRVD----HDISIPYIPEKPDLVMHLASRASPLEFTDYPIQILKSNTIGTMNALGIAKNSGA-RFLFTSTSEIYGEAQVFPTPETYRGNVNTCYDEAKRAGEAFCMAYHRQHHLDVRIVRIFNTYGPRMRSDGLYGRVIPRFLDQAQKNQ------PITIFGD------GSQTRSFCYVTDQVTGLLKLAGY--DGIDGSVINIGNPLEMSVLSLAEKIIEITESQSSISYHPLPPDDPSRRFPDISKAAEVLKWKPQISLDYGLRAMIA-------------- 290955581 -----ILVTGGAGFIGSHVVTALIGAGHTVRVLDALLPAAHRTAPQIPWRHADVRDKAAVTDALRGVG--AVCHQAAMVGLGKDFADAPDYVGCNDLGTAVLLAGMAEAGVRHLVLAGSMVVYGEGHGGLVDEDAPADPRNVYAATKLAQEHLAAAWARATGGRASALRYHNVYGPGM------PRDTPYAGVASLFRSALARGEVPRVF------EDGGQRRDFVHVRDVAGANLAALDRGLPEGGLRAYNVGSGEPHTVGEMATALAASYGDRVPVVTGEFRLGDVRHITASSRRLREELGWKPQVAFAEGMAEF---------------- 143648158 -SQKRVLVTGGAGFLGSHLCEKLLHQGCSVICVDNFYTGQKSNITHLMNDH----NFELIRHDITYLELDEIYNLACPASPDHYQYDPVQTTKTSVHGSINMLGLAKRTGAKIFQ-ASTSEVYGDPEVHPQVESYWIGKRACYDEGKRCAETLFFDYHRQHALIIKVARIFNTYGPKM--------QPDDGRVVSNFIVQALKGNDITIYGD------GSQTRSFCYVDDLVTGIMLFM--GSDDTFTGPINIGNPMELTIRGLAEKIINLTKSKSKILFKSLPEDDPKKRQPDISLATKKLGWEPRVTIDDGLSNTIQYFK----------- 136391991 ---KRVLITGAAGFLGSHLCDRFHNEGFHVLAMDNLITGALKNIEHLDFDRFEFIQHDVSKFIKIEGELDYILHFASPASPIDYLKIPIQTLKVGSLGTHNLLGLAKVKNATIL-VASTSEVYGDPLVHPQNEENPIGPRGVYDEAKRFQESITMAYNRFHGLNTRIVRIFNTYGPRM-------RLNDGRVLPAFIGQALRGED-LTIFGDG------SQTRSFCYVDDLIEGIYKLL----NTDYSEPVNIGNPDEITINQFAKEIVKLTGTKQKVIYKPLPENDPLKRQPNIEKAKSLLNWEPKVSRSDGLKLTYEYFTSLSKEYKKEH- 262372679 ------MVTGGLGFIGSHMALSLLARGHEIVIVDNLANSTLQTLMYIPFAKLDVRNTPALNKVFEQYSIDTVIHTASFKSLEESVLKPLEYYNDNVSSIMSLLRAMQRMGVRQLVNLSSLAVYGKSDDQLIEDTEFNYTYNPYIRSQQMVEDIIADYKVDHDWKMVNLRLSNIAGAFEYGVLGEYVPQLPKNIVPLALQVAAMQRECIELQNQANTTDGTVERSFLHVLDACDAVLASLHWSTQQGCLGSFNIAHNDLTSISKLIEEISKVTKMEIRVQPAVYQHEELSQLGANIDKAAKILNWTPKRSLTQMIEDEWRFYLN---------- 260434861 ------LVTGGAGFLGSHLIDRLMEAGDEVICLDNYFTGRKANIRWIGHPRFELIRHDVTEPI--KLEVDRIWHLACPASPIHYQFNPVKTAKTSFLGTYNMLGLARRVRA-RLLLASTSEVYGDPEVHPQPESYWIGVRSCYDEGKRIAETLCFDYQRMNGVEVRVARIFNTYGPRM--------LPDDGRVVSNFIVQALRGKPLTLYGN------GSQTRSFCYVSDLVD----GLIRLMNGSHMGPINLGNPDEFTIRQLADLVRKKVNPALPFVEKPLPEDDPQQRQPAIDLARQQLNWQPTVSLEQGLSPTID-------------- 257077380 ---KTFLVTGGAGFIGSNFINYLHKNEDYIINLDKLSYGSNLNNIEISSDKYKFIRKSIGDDITEIIDTDYIINFAAESHVDRSIANPQSFVSENINGVLNLLECIRKKNDPVLINIGTDEEYGDIVNGSFIEQDMLKPSSPYSASKAASSLLALSYFRTYGIKTMVTRTSNNFG--------KYQFPEKLIPKTIIRNLLNLDIPL--------YGSGKNIRDWIYTEDNVRAILTVL---FKGKYGEIYNISSNHELENIDIVEKIFDLMGKKGNLKYVSDRPGHDVRYSIRSDKLKS-LGWKPEYGFPDALKLTVEWYINNEKW------ 183985314 ME---ILVIGGAGFQGSHLTESLLADGHWVTVLNTPSKNTTRNMQGFQSHEGSVTDGETVYRAVRDHH--VVFHLAARTNVDESLSDPKSFLETNVMGTYRALEAVRRYR-NRLIYVSTCEVYGDGHNQRLDEHAELKPNSPYAASKAAADRLCYSYFRSFGIDVTIVRPFNIFGIRQKTGRFGALIPRLVRQAI-------NGKNLTIFG------AGTSSRDYLYISDIVNAYNLILQTPSLRGQT--INFASGKDTCVKDIVEYV--AGKFDATIEHRDARPGEVQRFPADISLARSI-GFEPQVDIWEGIDRYIAWAKDQPQ------- 142506491 ----------------------LIDKGHSVTVIDNLITGNKTLIPKAKHFNFDIADENSIQKILKENKFDLVMHFAGLTRVDESVKYPEKYELHNFEKSKIFFSLCIKNNLKKIIFSSSAGVYGNNNSNNLIEESELKPINPYAESKYKIENFLIS--KKDKIDYTILRYFNVAGADKSKRSGFIAKSSSNLIKVLCEVAVNKRKKIIINGDDYETKDGTAIRDFIHVSDIADMHVLAANNLLKNRSSNIYNCGYGSGYSVKEVITEMENILKNKLQVEIGPRRPKDIAVSVANSDKFKKEFNWKPSFNLNIILSSALSWEKNN--------- 222055221 ----KLLITGGCGFLGSNLASHAIQQGMDLVVFDNLYRGSLSNLQWFRFVHGDIRNQNDITRLVQDFQPSAVFHLAGQVAMTTSIANPRMDFEVNAMGTHNLLEAIRLYAPDAVIYSSTNKVYGDDHPRGFDESTSLDFHSPYGCSKGAADQYMLDYSRIFGLKTVVFRHSSMYGGRQFATYD-------QGWIGWFCQQAVATKAGTLKEPFTISGNGKQVRDVLHADDMIALYFSAFENIEKKGNAFNIGGGIENSLSLLELFGLLEEIGKLKLDYRKLPPRESDQRVFVADLAKAKTLMGWSPKVSASDGVKRMLEW------------- 142547622 ---KKVFITGGCGFIGSHIAEYFVKRKFKVIVYDKYNANNDKYLKKIKIVLGDIRDFNHLEKNMRGCN--KVIHLAALIGIPYSYHAPKSYIHTNVEGTYNVLESCLRNKIKKTIITSTSEVYGTGKKFPMDENHTINCQSPYSASKSAADNISMSYYYSFKLPMTILRPFNVYGPRQSNRAIIPSIIQQSM-----------------YSDKILVGNLHTERDFTFIDDLCNAYLKVINNSSNNGE--VIHTGSGKCISINKILQMILKLEKKKMKIEISGQRLSEVYKLKSSIKKIKKLYGWQPSVSFIEGLKKTILWHKKN--------- 238061815 ----RVLVTGGAGFVPSHLVDSLVARGCAVVALDNFVTGSKDNIAHLHDVEADISDGLPTHHPALAERFDAILHMASPASPTDFATLPVEILRVGSVATLHLLDRAVADGA-RFLMASTSEAYGDPKEHPQRETYWIGVRSVYDEAKRFSEAATMAYHRYRGLDAAIVRIFNTYGPRMR--------PDDGRAIPTFISQALRGEPITVHGT------GNQTRSICYVEDLVRGILLLLD----STETGPVNCGTEHELTMRQLAELIVSLSGSDSEVTYVTRAADDPEMRRPDLTLARELLGYEPSVAPEDGLRRTIEHFRE---------- 138307162 ----TILVTGGAGFIGSNFLHHLVSKNDEVICIDKLTYAADWRNIPDQVYTLDIASEEECNQVFSKHKIKTVFNFAAESHVDNSIKDCSQFIHTNIFGTVNLLNLSLRYDIEKFIHISTDEVYGSISEGSFKETTNYDPRNPYSASKAASDHFVKAYYNTYGLPVNITNCSNNYGPR--------QHQEKLIPKTILNILSNKKIP--------VYGQGLQIRDWLYVEDHCDAL---IKVWKNGVSGEKYNIGGLSEISNINLIHKILNIMNKSYEIEYVSDRPGHDFRYSTNIDKIKKEM------------------------------- 239933214 -------VTGAEGFIGSHLTEALVASGHRVRAMVQYSYGWLETLSADLLEHVDVRDPGSVRGLLEGADCAY--HLAALIAIPYSYRAPHSYVDTNVTGTLNVLEAVRALGTPRLVHTSTSETYGTAQTVPITEDHPINTQSPYAASKAGGDRLADSYHASFDTPVVTLRPFNTFGPRQS---------MRAVIPTVIGQVAAGQRTITL-------GDLRPTRDFTFVEDTAQAFLAVGTAPAERVVGRTFNSGTGEEVSVGDLVALIGKVMDSPLDVREDPERLSEVMRLVADATRLTAATGWRPRRTLAEGLTRTAEFF------------ 84496950 ----KVVVTGGAGFIGSNLGRELLARPDEVVAIDNLSTGSRANLEGTDITEGTILDPAALDE--ACAGASAIVHLGALPSVPRSVIDPLASHHANATGTLEVLQAARRAGDLHVISASSSSVYGANRELPKRESMRTAPISPYAVSKQATEAYTLSFGHTYGMPTLAFRFFNVYGP-----LQAAGHAYAAVLPAFIDAAL-RGVPLTIHGD------GEQTRDFTYVGTVTRVIADAVVRRVN--DLEAINLAFGSRTSLNVVVDELADILGERPAVEYVETRAGDVRDSQADNARLLSHFPDVAPVPLREGLQATVDWFRTLP-------- 300786859 -------VTGGSGFIGRVVVRALRDRGVPVTVVDRV--PFPEDLDGVRVVTGDLRE-AAVREQAVTGETAGIVHLAALTSVLKSVELPEDTFADNVLVTQELLELARRREVPKFLLASTNAVIGDVGTATITPDLPLRPLTPYGATKAACEMLLSGYAGAYGMTTCALRFTNVYGPGMS--------HKDSFVPRMMRAALTGT-------GIRVYGDGRQRRDLVHVDDVVRAILLALD----SGYSGRAIVGAGRSVSVLEMVEAVREVTGAELPVEHVEAPAGEMPAVVVDVSASAETIGYRPEVSLTEGLATAWKYFS----------- 136537678 -----ILVAGGAGFLGSHLVEKLLSAGHYVIVVDNFFTGRVENLKAINHQ--DRLEVIRHDVTFPLYEIDGIFNLACPASPIHYQKNPVQTLKTSVHGAINLLGLAKRTQ-SRILQASTSEVYGDPNVNPQSEDYWIGVRSCYDEGKRAAESLFFDYQRQYGLDVRVARIFNTYGPRMALNDGR--------VVSNFIIQALNGENLTIYGDGGQTRSFCYVDDLIEG----------LIKLFFSNTTGPVNLGNPNPISMLDLANEIIQLTNSKSKVAFLPLPENDPKLREPDISKAKTELGWEPTVNRSEGLIKTINYFK----------- 144102851 ----KALVTGGAGFIGSHIVDGLIDRGYEVIVIDDESSTANECNDKATYVKQSICNPHTKT---FYDGVDYVFHLAAHSRIQPALQNPIECVQTNVLGTATVLQFAREAGVKKVINSSTSSSYGLKNKPPLQEDMIPDPLNPYSVSKISAESMCKMYTDLFGLQCVSLRYFNVYGERQ---------PLRGTYAPVVGLFLEQKKAGKPL---TIVGDGEQRRDFTHVKDVVKANLACIDSVI--GGYQTINIGTGKNYSVNEIAAMISD------NIEFIPERPGECRETLASNSKASYYLDWEPTIDIKD--------------------- 308172589 -KNKNVFVTGCTGLLGSCLVKELIDQGANVTGLVNLYQG--EQVKQMNIVQGALEDLDVIERALGEYEIDTVFHLAAQAIVGVANRNPISTFEANILGTWNILEACRRHPIKRVIVASSDKAYGDQPTLPYDENMPLQGKHPYDVSKSCADLLSHTYFNTYGLPVCITRCGNLYGGGDLNF-------NRIIPQTIQLVLNGEAPEIRSDG--------TFIRDYFYIEDAVEAYLLLAEKMEELNAGEAFNFSNEIQLTVLELVEKILKAMDSDLKPKVLNQGSHEIKHQYLSAEKARKLLNWTPAHAIDEGLEKTIEWYKAFFQ------- 187927054 -DQRRVLVTGGAGFLGSHLCDRLLRDGHDVLCVDNFYTGNIAHLLSHPRFEVLRHDYVEVDDIYNLACPASPIHYQH---------DPVQTTKTSVHGAINMLGLAKRVGA-RILQASTSEVYGDPHQHPQTEAYWIGVRSCYDEGKRCAETLFMDYRRQHGLDVKVARIFNTYGPRM--------HPNDGRVVSNFIMQALAGEPITLYGDGLQTRA------FCYVDDLIDALVRLMNTPADFAGP--VNLGNPHEMSMLDIARQIVTCTRSNSALVFHPLPQDDPTQRCPDITLARDKLGWEPHTALEVGLARTVAYFRQ---------- 139524537 ---------------------------------------------------------------------------------------------------MNLLNCMVDLKILNFIFSSTAAVYGEPESIPISEDQIINPVNPYGQTKAIIENVLKDYDNAYGLKSVSLRYFNACGAHLDGTIGERHNPETHLIPLILQAASGRKKKIKVFGNDYPTKDGTCIRDYIHVMDLAEAHLLALEELYKNQTSESYNIGNNHGFSVSEIIKSAEEITQKKINIEIADRRKGDPVQLVADNKKIKEKLKWLAQYSLNTIISSAWNWEQK---------- 288961614 ----HYLITGGCGFIGSHLADRLLADGHRVTILDNLSSGSLQNKPHGAKVVGDVADPAAVREAMAGEGVDGVFHLAAVASVQKSRELWAETHRTNLLGTVTVFEAARNAKGGHVVYASSAAIYGDNTNTPLREDEPPRPLSAYGVDKLGCEMHGRVAWAIQGVPTVGFRFFNVYGPRQD------PMSPYSGVISIFARRVARGEDVEIHGDGQ------QVRDFVFVGDVVRILALAMERRF--AGAQLFNLCTGRATSLVMLLEVLQELCGSKVRRRHTEPRAGDIRVSIGDPSLMRATFDTMCQIGLLEGLSATL--------------- 158520537 ----NVLVTGGCGFLGSHVCEYYARRGDQVVSYDNMTRNHNRDFLAGLGVDLDIRDAEQL--IDSAAGCDYIVHTAAQPAMTISVEDPALDLSSNVMGTFNVLETARRLKVPVASCATIHVYGNRINDTLIDEDHPEGTLTPLHASKAGAELYVRTYIETYGVTAASFRLTGIYGPRQFG-------GEDHGWVANFAIRSVLKRPLTIYGTG------KQVRDIVFAGDVCRAFDAF--YQKRVPGIYNIGGGPNTAISLLECIALLEKLNGEKPDIRFGPDRHGDLRYFVCDVSKARSLLGWRPEVKPEPGIRALLEWIRQNRK------- 135081337 ----KILVTGGAGFIGSAVVRLAIARGHHVINVDALTYASVADHPNYMFEQVDIRDRSALETVFAKHAPDAVMHLAAESHVDRSIDGPKDFIETNVNGTFNMLEAARGYWQFRFHHISTDEVFGLGPIGMFTEDTPYDPRSPYSASKASSDHLVRAWHETYGLPVVLTNCSNNYGP--------YHFPEKLIPVVILNALAEKPLP--------IYGDGGHIRDWLYVEDHADALLLVLEKGEL---GRSYNIGGENERTNLELVKTLCEILDR----------------------------------------------------------- 143342118 -------VTGGAGFVGSYLVKRLVKENHNVTVLDSLYRGKLENIKDIEFQKIDIRDFDSMRSVIKNS--DGVFHEAALTDVQESFTKQEEYVDVNVHGTENVFKIAKEFGI-KVVYASSSSVYGNPEKTPITEDSKRAPINPYGNTKLEDEFLAEKYGK-DDTSIIGLRYFNIYGIGQTGSYAG--------VITKFINNLKDKKQPIIFGD------GEQKRDFIFVEDIAMANIAAMKSNVRNG---FFNVGTGITTSIKQLAEQMIVLSGLKIESRYEKPLAGDVFTSQADTSMTKKLLSWEYQTKLNEGLK------------------ 307825876 ----KLLITGGCGFLGSNLAEDALSNGNELIIFDSLYDGSRENLAWFRFEHGDIRNQNDITRVVQLFKPDVIFHLAGQVAMTTSIANPRMDFEVNVIGTHNLLEAVRLYAPDAVVYSSTNKVYGDLEQYTYQETDPLEFHSPYGCSKGAADQYMLDYARIFGLKTVVFRHSSMYGGRQFATY-DQGWVGWFCQKTIEAKQAVAKEPFTISGTG------KQVRDVLHADDMKRLYMAAVGNIDQKGHAFNIGGGIENSLSLLELFSLLEKISNIKLDYTNLPVRESDQRVFVADFAKAKQLLNWQPVISAQEGVARMVEW------------- 135384525 ----RAMVTGGSGFIGSHVVDKLIEKDIDVRVFDRVKPIFRDDLDW---YNASLLDYDAVRTALA--DVDVVFHLAAVADVKDVFEEPHYSEQINVRATANLLEACRKSSGQRLILGSTTWVYSDAKVNSIDENTPTEPSHFYSATKLASELYCKSYSTMYEVPTTILRYGIPYGPRAR----------DGAVIPIFVKKAFSGEPITIQGDGL------QFRKFVYVEDLAEGNVAAM----NSKHNHSIYNLDGEEVTIKQIAETIQKIIG-NVEIKYLPARPGDFAGKEISSEKAKNELNWQPSTSFEDGVNSYINWYKEY--------- 135917528 --------------------------------------------PEHTFVRASIGDVNAVRAIFEQHKPRAVLNFAAESHVDRSILGPGEFIETNVVGTYRLLECAREYWNFRLLHVSTDEVYGTPEDAPFSETKRYEPNSPYSASKAASDHLVRAWHHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLCIMNALNGKKLP--------VYGDGQQIRDWLFVEDHTRGIRTVLE---NGVLGDTYNIGGWNEKANLDVVKLICALLDYSTQIAFVTDRPGHDRRYAIDARKIERELGWKPVETFETGIRKTVQWYLANQAWVAE--- 284164507 MRDQRVLITGGAGFIGSNLANHLAEDN-DVIAIDDEYLGTPENLEDA----VDYRNRSVLEDDLPT-DVDVVFHLAALSSYAMHEEDPTTGARVNVEGFVNVVDQARQDGCDTVVYASTSSIYGS-QTEPSPEDMPVAVNTGYEASKLARERYGEYFANHYDMDVAGMRFFSVY---QGYGGAEEHKGEYANVIAQFADDLANDNPPALYGD------GTQTRDFTHVDDIVR----GLELAADHELTGVYNLGTGDAYSFNELVDMLNDELGTDIDPEYVANPIPDVHDTCADSSKMREETGWEPQIDLEEGVEQ----------------- 150400821 ----KILITGGLGFLGSNLSHESINRGYETIIMDNFFREGTTLNYNWLKEQGDIRQWYDIEEMIKKYKPDVVFHTAGQVAMTTSLNNPRLDFEVNALGTFNLLEAIRKYSPETMVFSSTNKVYGDDYPNGFDENLCLDFHSPYGCSKGTADQYLLDYNRMFGIKTVVFRHSSMYGGRQFATYDQGWIG--WFVKEALRIKSGEIEGVEIHGNG------KQVRDVLHADDMVNLYFKTVENIDKAGEAFNIGGGMNNSLSLLELFGFLEDELSINININKKPWRCSDQKVFVADVGKINKYAGWKPKISKEEGLRKMIKW------------- 141819257 ---------GAAGFIGFHTSLALLQQGYDVLGYDSVNDYYDIYFKNIRLERGHLEDKSLLESVYLEFEPSHVINLAAQAGVRYSIENPMAYIKSNIIGFQNIIELVRQTNPQNFLYASSSSVYGGNTEYPFSESQRENPVSLYAATKLSNELVAKSYGNLYKIPNTGMRFFTVYGT--------FGRPDMAIFIFSKKMKEGQRLPVFNNGL--------MFRDFTYIEDIVSGILKALEKPDI---NQVYNFGRGRTEKLMDMITILSDAYGVKPALDLLPMQKGDVPQTSADISKAQKNLAYQPKVNLSEGL------------------- 135402621 ------LITGAAGFIGFHTCEKLLKKNKKVYGIDNIDNYYDPNLKKKRFFKTDICNKNKVNSIFKKNRFKFVIHLAAQSNIRHSIKFPEKYVDVNVSGFFNILNCAKNNKIKHFIYASTSSVYGVDKRFPSKEDFANHPMQMYAATKRSNELMAHSFSSLFNLPTTGLRFFTVYGP--------WGRPDMALFKFTKNILKNKKIDLFNYG--------NQIRDFIYIDDIVKCNKKWFLKKPNSKSSYFINVGSGNPIKLTTFIRLIEKYLGKKSKKKNLPFQKGDIIKTESSPKWCYEILKYKPKIKPSEGIKYFLDWYKNYYR------- 136583593 ----KIFITGSEGFFGSHLTEFLVNKGYYVTALIQYNSFHNYGWLEENFLFGDIRDSSFIFDATKNH--DVIINLAALISIPYSYTSVKSYLDTNVIGIYNILEATKKNKIKQLIHTSTSEVYGTAMYSPIDEKHPLQPQSPYSASKIAADNLALSYFYSYNLPITILRPFNMFGPRQST---------RAIIPTIITQVLKRK--------TIELGNLNVSRDFNFAADIAEGYEKSIMNKKTLG--QVINLGSGLEIKIKELINIIKNISKKNFKIKTKSNRISEVFRLIASNKKAAKILNWKPRFSLKRALITTYEWY-KNPNNLKN--- 164665164 ME--RALVTGGSGFIGAHLVDRLVARGDEVTVFDAVPSGRGSPREHARHVTGDVRAADALAEVIKP-GVDVVYHLAAIVGVDRYLTRPLDVIDINVLGTRNVLELAARAGA-RVLVASTSEVFGKNPAVPWGEDGDLGPTTADRWSKALAEHMTFAFGRQHGLESRVVRFFNVYGPRQRPAY----------IVSRSVHRALNGVPPVVYDRGGQTRCFTYVDDAVEG--------ALLAAGNPAAAGYAFNIGTTDETTVAEVVALVNKLAGVEATPLQVDEKLGDLPRRVPDTVRAAGLLGWRPGTGLEDGLTRTIEWARANPWWLS---- 138745275 --------------IGSHTVVELINAGFTPIVIDNLSESEIEVKERIIFEQVEMCNKNEMIQLFEKYDIKGVIHFAAYLIVNESVTNPLKYYHNNIISTLNLLEVMLQFKIKPLVFSSSCTVYGNPEDLPVNEHAPIQPVSPYGNTKKMCEDILKDTALANDIEVISLRYFNPIGAHESSIIGEFQDPPHHLVPYITETARGLRNELRIFGGDWNTKDGTCVRDYIHVVDVAKAHISAVDRMINNKKFEVYNLGMGVGVSVLEIVNAFEEATGIKIKYNITARREGDV--------------------------------------------- 302387250 ---KKVLVTGADGFIGSHLTETLVERGYEVRAFTFYNSGWLDTLPDAIKKEGDIRDPNGVRNAMTGM--ESVFHLAALIAIPFSYHSPDSYVDTNIKGTLNVLQAARSLGTDRVLVTSTSEVYGTARYVPIDETHPFQGQSPYSATKIGADRLAEAFYRSFSLPVTIVRPFNTYGPRQS---------ARAVIPSIISQLLSGTMEIRL-------GSLTPTRDFNYVKDTVNGFIEIEKSENTLGEEINI--ASQKEISIGGLAGELISQINPQARIICEPQRKSEVNRLLGSNKKLKELTGWNQEYSLSLGLEKTIRWMEKNLDKYK---- 145247676 -----VLVTGGLGYIGSHTTLELLKAGYNVIIVDDLSNSFHDRILLAAKQHFDRTVESSLDTSDSITQIVGVIHFAAFKDVNDSLRNPLKYYHNNVTGLVDLVSLLADHDIKTFIFSSSANVYGTNHGTLREEHCVHQPTNPYGRTKWIGEAILSDVAASDPSWTIIGRYFNPIGCDPSGLLGEDPRGPSNLVPVVVQVLTGQLPALSVYGTDWETPDGTAIRDFIHVSDVARGHTAALAAALAKTNFRTFNLGTGRGHSVAEVVSAMEGVSHQSIPRRLAERRPGDVQECVAVPERAACELGWEAEKSLQDACEDLWH-------------- 136110468 -----IIVTGGYGFIGSHLCNYLTKKGCNVHNIDSLTYANSQDVEVYKDYTVDITNNQKLDSIVHNKRFDAIIHLAAESHVDNSISNPNIFASTNVIGTINMLNLAKKYRIPRYIQVSTDEVYGNENEPSWTENTPLQPNSPYSASKASGDLIAYSYFKTFNMDIRITRCCNNFGTGQ----------------HIEKLIPKSITTATKYGFIDIYGDGNNVREWIHAEDHSRAIFKVLQY---GEPGNIYNIGSGEELTNNEIASIISVVTGTDASINYIEDRKGHDKRYSLNFDKISK-LGFKCRRSIRDSKEEMVQYYKKYQDS------ 297850184 -KSAKIFVAGHRGLVGSAIVRKLQDQGFTNLVLRTHS-------------ELDLTSQSDVESFFATEKPVYVILAAAVGGIHANNTYPADFIGVNLQIQTNVIHSAYKHGVKKLLFLGSSCIYPKFAPQPIPETGPLEPTNEWYAAKIAGIKMCQAYRLQHQWDAISGMPTNLYGQN-----DNFHPENSHVLPALMRRFHEAKANNA--DEVVVWGSGSPLREFLHVDDLADACVFLMDQYS---GFEHVNVGSGVEVTIKELAELVKEVVGFKGKLVWDCTKPDGTPRKLMDNSKLAS-LGWTPKISLKDGLSQTYEWYLEN--------- 300770430 MKNKRILITGAAGFLGSHLCDRFILEDYHVIGMDNLITGDIRNIEHYKLDHFEFYHHDVSKFVHVPGHLDYILHFASPASPVDYLRIPIQTLKVGSLGTHNLLGLARAKNA-RILVASTSEIYGDPTVSPQSEDYWVGPRGVYDEAKRFQEAMTMAYHNFHELQTRIVRIFNTFGPRMRLNDGRA--------VPAFIAQALRGEDLTVFGDGQQT------RSFCYVSDQVEGIFKTLHADCADP----INIGNPEEITLQQLAEEILLITNSKSKIIYQPLPAEDPKQRRPDISKAKRMLNWEPVISRKQGLEQTIAYYRTLP-------- 302410781 ---KNIMITGGAGFIACWFVRHLYPDAYNIISFDKLDYCSSLNNTRALNEQGDVTNPNEVLNCLKRYNIDTVFHFAAQSHVDLSFGNSYGFTHTNVYGTHVLLESAKSVGIHKFIHVSTDEVYGEDDDDDLLESSILAPTNPYAASKAAAEMLVQSYQKSFKLPVIIVRSNNVYGPH--------QFPEKIIPKFTC--LLNRGQPVVLHGDGTPTRRYLFAGDAADAFDTI-LHKGHMGQIYNVGSYDEISNLDLCSKLLREMDISQGGPEDFKKWVKYTHDRPFNDHRYAVDGTKLRQ-LGWEQKTSFEEGLKITVDWYRRF--------- 134933898 --------------------------GHHIIGIDNLNDYYDPILKKHDFFPIDIENYKELKPIFENNKIDKVLNLAARAGVRYSLENPFIYAQTNFVGSLNLLDLMRLHGISKYVMASTSSIYTDSEMPFSENSSVNKPISPYAATKKAAELMGYTYHHQFDLDVTIVRYFTVYGP----------------AGRPDMSVLRFIKSIKEGNPLVLYGDGTQSRDFTYIDDIAKGTIKASEK--KTGYEIINLGGGNNPISMNEIISKIENLIEKRAIIRREAFHSADVLTTYADITKAKGVLDWEPKININDGLSKTVEWYLRHEKWLSK--- 134299746 -QNKNVLITGCSGIIGSWLTRRLVDEGANVVGIDRLGYGNEQTINRMVVAHGDITDFLFMSRVMAEYEIDTVFHLAAQTIVTIANRSPLSTFESNLRGTWIVLEACRSPTVERVVVASSDKAYGDSKELPYREDQPLRGKHPYDVSKSCTDLLAQSYYHTYRLPVAITRLANVYGGGDLN----------------FNRIIPGTIKAVLEGRPPVLRSDSPLREYLYVEDAVDAYLTLAKNLHRSNVGEAFNFAPQQPYQVLEIVQEIIRIAGKEFVPEIRKHMNGEILHQYSDSSKAKERLNWHTRWDLTKGLSVTIEWYRKF--------- 124515494 ------LVTGCAGFIGSTLVDRLLTDGHQVTGIDNFSTGQKKFLEGAFSHQMDLLDLDTLKQVFERN--EVVFHLAANADVRFGTQHPRKDLEQNTIATYNVLEAMRANGIKRILFSSTGSVYGEAKVIPTSEDAPFPQTSLYGASKLAGEGLIAAYCEGFGFESWIFRFVSILGER----YTHGHVFDFYRQLKSNPASLR------------VLGNGKQRKSYLYVQDCIDAILLSMDRAKDKVN--VFNLGVDSYCEVNDSIQWICETLGVEPKLEYGGDRLGDNPFIFLDTAKIRA-LGWNPKLSIREGVLRTVEYLRAN--------- 135812717 ---KKIIVTGGNGFIGSNLVRFLLKKKYYVINIDNNKYYNGSFLLRNKFYKLDINNKKILR-IFSKYKPIGIFNLAAETHVDRSIDAPKDFINSNILGTFNILEQIRKYRNIRLVHISTDEVYGDKKNLRSSEKYPYNPSSPYSATKASSDHLIKSYVRTYNVNAVISNCCNNFGPG--------QFPEKLIPTLIFNIMNNKYLP--------IYGNGKNSREWIYVDDHCNGLLSIF-KKGKIGESYNIGTNKNLTKLLLKIIKNKKLKIGKKVKIKFVKDRPGHDFRYALNSGKVRKEIKWRPKKNFQSGLKETLDWYLSNNNFFS---- 142879334 -----ILVTGAAGFIGFHLIKKILNKNKKVFGIDNINNYYDTNLKKDRFYKVDLSNYKKLNDIIKKNKINIIIHLAAQAGVRYSIKNPRTYFKSNLEGFFNILEISRDNKIKHLIYASTSSVYGDSKKFPLNENDRTEPLSFYAATKKSNEVMAHSYSYIYKLPCTGVRFFTVYGP--------FGRPDMALFKFTKNIINNHPIELYNSGNHL--------RDFTYVDDIVDGIYSLINKQSKKTPYQIFNIGNGTPKKLLDYLKYIEKNLKKISKTKKLPLQVGDIVKTHSNINKLKKYTGYKPKTNIKIGIQKFIEWYKDYYR------- 16329176 LENQRILVTGGAGFLGKQVVAQLIAAG-----------GDRPKITIPRSKDCDLRQASACERAVENQDI--VIHLAAHVGIGLNREKPAELFYDNLMMGVQLIHAAHQAGVKKFVCVGTICAYPKFTPVPFKEEDPEETNAPYGVAKKALLVQLESYRLQYGFNGIYLLPVNLYGPEDNFDPRSSHVIPALIHKVYEAQKAGQK-------QLPVWGDGSPTREFLYSTDAARGIVMGTQAYD---KADPVNLGTNFEISIKDLTELICELMEFEGDIIWETDQPNGQPRRCLDTTKAKAEFGFEAQVSLREGLKNTIDWYRQ---------- 294650294 -----ILVTGGLGFIGSHIALSLLAHGKEIVIVDNLANSTLQTLMYIPFAKLDVRNTPALNKVFEQYSIDTVIHTASFKSLEESTLKPLEYYNDNVSCIMSLLRAMQRMGVRQLVNLSSIAIYGQSDALLSEETAFNYSYNPYIRSQQIVEDIIADYKVDHEWKIVNLRLSNIVGAFEHGVLGEYVVQLPKNIVPLALQVAAMQRECIDLQNQAETDDGTVERSFLHVLDVCEAVMMTMNWLETQNSCEAFNLAHKQLTSIQTLLDEIAKVTQAEIHVQPAVYQHQELARLGANIEKAKQVLNWEPKRSLTQMLEDEWRFYLN---------- 303276052 ---QNVLITGGAGFIASHVALRFAKRYYNVVVVDKLDYCANLNNLRFKFVKADVGSADLMTYVMREEQIDTVMHFAAQTHVDNSFGNSFEFTENNIRGTHVLLETVKSDQIKRFLHVSTDEVYGESSYELDKANSLLEPTNPYSATKAGAEMLVMAYGRSYNLPYLITRGNNVYGPH--------QYPEKAIPKFIMLARKGMQIPIHGDGQ--------ATRSYMHVDDAASAFDAILHKGSDKG---VYNIGAHEERTVLSVAQDIGKSLGVDKMIVHVNDRKFNDRRYFIDCSKLHA-LGWTQNVSWEEGLKGTIEWYTKN--------- 303276052 ------LVYGRTGWIGGKLGKLLTEQGHR-----------------WCYGSGRLQDRAAVLNDIKRSKCTHVLNAAGVTGRPWCESHKVETIRANVTGVLTLCDVAHECGVHVTNFATGCIYKYDDEHQPFTEDDDPNGGSFYSETKSYMEMMLRHYPNVMQCRVRMPIDGDL--SNPRNFITKIANYAKVVNIPNSMTVLEEFVPMAIEG---AIRGLTGAYNWTNYRDYLHPGYTWENFTEEEQAAVIVAPRSNNTMCDKKLRAAFPGVLGIKESIIKYVMEPNKAAGKKADIKRAIK--------------------------------- 268553257 -TRKRVLITGGAGFVGSHLVDKLMLDGHEIIALDNYFTGRKKNIHWIGHPNFEMVHHDVVNPYF--VEVDQIYHLASPASPPHYMYNPVKTIKTNTLGTINMLGLAKRVKATVL-LASTSEVYGDPEVHPQPETYWIGPRACYDEGKRVAESLMVAYNKQENVKIRIARIFNTFGPRM-------HMNDGRVVSNFIIQVL-QDKPITIYGN------GTQTRSFQYVTDLVD----GLIALMNSNYSLPVNIGNPEEHTIGEFATIIRDLVGSTSEIVNQESQQDDPQQRRPDIRRAAEQIQWRPQVLMKDGLLKTIEYFRA---------- 143406945 MKKNKVFITGAAGFLGSHLAEKLSEMGDEVVGVDNMLGGYTDNVPKNKFHKIDCCDLVKVKELMKGANI--VYHCAATAHEGLSVFSPYEIGKNNYLASVSVFSAAISNKVKRIIFCSSMARYGS-QKYPFKEDMKASPADPYAISKVAAEQTLVNLCELNKIEWVIAVPHNIIGP------KQKYDDPFRNVVSIMLNRMLQNKAPIIYGDGEQKRCFSYIDDCLSCLIPMRDQANLNKQIINIGPDEEF-------VTINKVAEICSNISGSNLKPIYKPDRPQEVKHATCSADKARKLLNYKTTVDLKTGITKTFNY------------- 300854186 ----NILITGGAGFIGRWMVKKLLENGNKVTAFDNLSNGRIENLQEFKFIGGDVRDENKLDEVFK-EKFDIVYHLAASINVQDSIENPRTTFYNDVVGTFNILERARIQMPCKVVFTSTCMVYDVSGQEGIDEKHPVKPVSPYGGSKIAAENMVLSYYNAYKLPTVVVRPFNTYGP------FQKTGGEGGVVAAFINNSLHSRD-------INIYGSGEQTRDLLYVKDCARFL--AMAGYCDKVNGQIVNAGTGRDVTINELAQIITKNRVKVRHVKHIYPQ-SEIMKLKCNYKKARDLINWEPEHTLEKGIGETERW------------- 298242594 ----KIAITGGAGFLGSHLTTAYLDAGHDVIVIDNLNNGSVNAVPRARFYQIDIRD-EKLRAILANERPDVVSHHVNQHLYEVPLAQSLLDADTHIRGLLHVLDSCVNASVRKFIFASGGNTLYGPEHLPLSEETPLQPRQPADISRAAGEWYVRYYGQQHGLTHTILRYADVYGGN--------GKVNNPHPLNYFLHMLSEGRRPIIRGT------GESMHDHIAIDDVMQANLQVL----TRGNNQTLHISRGEGYTLKQLYLLAAQALGSDIEPVYISGALAEDSAIVLDNTRARQVLDWQPRVSLQEGITHLLRVQQQAQDSLNQQPQ 242280146 --TKTCLVTGCAGFIGSHLTQALLDTGHSVVGVDNFASGYEHNMEGFIGHTGSIVEEGLLEELKQIHDLDVVFQLAAVVSVPYSVEHPDLTMKVNFEANRVMLDSAKELGFSSFVFAGSAAEYGNENRLPVKEEYAQDALSPYGVAKYRSSAYIEESGYGCSLR-----FFNIFGPRQD------PTSQYSGVISRFVDFGLAGKNMVIFGD------GEQTRDFLYVSDVVTAYLIGLDEHGRGPLTGIYNVGTGVGRSVRDLATVVAGLTSAPEVIDFKPERAGDIRHSRADVSRISGK-GFRAQVSFEDGLARTVDWAIK---------- 135664465 --------------IGSSLVRYIIKNTDDKINVDKLTYAGNKDNPRYKFTKLDINDSEQLQNVLFEYKPDAIMHLAAESHVDRSIENSIDFMKTNVLGTYRLLESAKKYFIFKFHHISTDEVYGDLENKLFNEESPYKPSSPYSASKASSDHLVRSWFRTYGLPTIISNCSNNYGP--------YQFPEKLIPLTIINLLSGKKIP--------VYGNGNQVRDWLFVEDHAEALYKVV---KDGKIGETYCIGGHNEKTNIEVVKMICSICNYESLISFVTDRPGHDMRYGIDARKIERQLKWKPSETFDSGLKKTIQWYLDNKEW------ 135753502 ---KNILITGGAGYIGSHTAEILIKNKKKVFIVDDLSTGSKKLILKKKFFKTSILNKKKLKNIIIKNNIDSIIHLAAVLSVGESEKKPKKYNKINVEGTKILLESMKNTKVKNLIFSSTCTVYKDGF-TQVTEKTKLSPKSVYGITKLKCEKIIKNFCKKYKINFGILRYFNVAGASLSGKIGQINNKGDQLFKNLSVESQKKKPIFRIYGTKYKTKDGTCIRDYMHVSDISDIHYKVLLKINNYNTSIVLNCGYGKGISVSDAIKSFSKYANKNLKIIKLPNRKGDMIKAISNNSKLKK--------------------------------- 170726010 ---KTWLVTGVAGFIGSNLLEKLLKLNQTVVGLDNFATGHEEQWERFTFIEGDIRDYSTCEESVKGV--DYVLHQAALGSVPRSIADPITSNATNITGFLNMLQVAKAAKVESFTYAASSSTYGDHPALPKVEDNIGNPLSPYAVTKYVNELYANVFAKTYGFKTIGLRYFNVFGQRQDPNGAYAAVIPKWASAMIQ------------GDEIFINGDGETSRDFCFIENTVQMNLLAA-TSEDIAKDEVYNVAVGDRTTLNDLFTNIQSSLNKSGSISYRAFRNGDVRHSQADISKAKQKLGYSPEFNISQGIAKAMPWYL----------- 136753604 ------------------------------------------------------------DKIFNTFKPDSVIHFAGLKAVGESAADPIKYYDVNVGGSISLLTAMSESGCNNIVFSSSATVYGDPQYLPYDEEHPTNPVNPYGHTKLMVENVIRDWTKVDKRRGSILRYFNPVGAHESGQIGEEPIGPNNLMPYIAQVADGRREHLNIFGNDYETADGTGARDYIHVVDLALAHIGAL-NQSKLDKFEILNIGGGKSTTVLELIKSFEEASGVTIKFKYSPRRYGDLAAFWANSSKSSEKMSWKPERNIKKICEDTWRWHKLNPTGYGRE-- 135951248 ---KKIVITGGSGFIGSNLVNYLIKKRYFVINLDKLTYSSNRYIKNYKLIKTDINNKKKLTEIFKRYKPKVVFNLAAETHVDRSIDGPENFIKTNINGTFNLLESLRFLKKKKINHISTDEVYGDIKNTKRSENYKYEPSSPYSASKASADHLVKSYIRTYGLSAVISNCCNNYGP--------YQFPEKLIPKMISNIFNNKELPIYAKG--------NNSREWIHVNDHCEALFT---LYLKGKNGESYNVGTGLNLRNIDLVKKLKIKIGNKVKIKFVKDRPGHDFRYALSSRKINKKLKWKPKIKFEQGLKETILWYSKNRK------- 136485369 MSNKKILVTGAAGFLGSHLSEKLAEQGHSIVGIDSMIGGYEDNIPKNKFYKIDCCDFQQVKKIMKGVN--VVYHCAATAHEGLSVFSPYEITKNNYLASVSIFSAAINEKVKRIVFCSSMARYGD-QKTPFLEIMKPKPVDPYAISKVAAEDVLINLCELNNTEWVIAVPHNIIGPRQ------KYDDPFRNVVSIMINRMLQGKAPIIYGD------GEQKRCFSYIDDCLSCLLPMLDQKNLNK--QIINIGPDEEFVSINKVAEICSITRTNLQPIYKPGRPREVKHATCSADIARKLLKYKTTTSLEEGIKKTYEYIKK---------- 135295510 --TKKVFITGVAGFLGSHLADRFLELGYDVVGCDNLIGGYLDNIPDDEFYQYDCQYLNSMKKIMK--DVDTVYHCAATAYEGLSVFSPHLITQNTFQITSTVASAAISSGVRRFVYCSSMARYGTQDTVPFREDMICKPQDPYGIAKLAGEKLLQNLCEVHGMEYVIAVPHNIIGPRQ-----KYDDPYRNVASIMINLMLQNRQP-------IIYGDGEQKRCFSFVQDDIQILEKLVESDAAAGQVINIGP-DEEFVSINELAKVIADILSFDLDPIYVPGRPQEVKLATCSADKARKLLGYETKYTLEQGLKEMADW------------- 136235238 MSMEKILVTGSSGFIGMHLSLSLLKSGYDIIGIDNMNDYYDPLLKEARFFKGDIADESFLNHVFIDTKPEKVVNLAAQAGVRYSIENPKTYINSNVLGFMNILEKCRHHNIKGLIYASSSSVYGGNKKIPFEEGDNVDPISIYAVSKISNELMAHTYSHLYGIKTTGLRFFTVYGP----------WGRPDMAMCIFTKNIMKNRPISVFN------HGKMKRDFTYVDDIVSGIRSAIDKNY---DCEIFNLGNNRLEKLMEMILIIEQSLKIGAKINYMEIQPGDVKETFANIDHAKT--------------------------------- 136214693 ---KKVIVTGGLGFIGSNLIDLLLKKNFYVINIDKATYSSNFYNKNYKFFKLDI-NSIKFKKLLFKYKPQGIFNLAAETHVDRSIDNPDSFIQSNIVGVYKLLENFKEFYKSKLIHISTDEVYGDILTGRSHENYPYRPSSPYAASKAASDHLVSSYVRTYKIPGIITNCSNNYGP--------KQHPEKLIPKLIYNIINNKPLP--------IYGRGTNSREWIYVEDHCEALVKVFQK---GKIGNFYNIGSNKNMNNLQVTKELLKRLGKKVKINFVKDRPGHDIRYALNSNKIKKTLKWYPKTNFSKGIKLTLNWYLKN--------- 138823369 -----VLLTGGAGYIGSHTALALLRAGEQVISFDNYSNSSAESLKRVIQIEGDVLDADALAAVFEQYPIKAVVHFAGLKAVGESTQKPLWYYETNVGGTIKLCQVMTKFGVKNLVFSSSATVYGDAQNSPLPETTPTVAMNPYGQSKLMTEWVLQDYQSDNSWNIAVLRYFNPVGADASGRIGEDPNGPNNLMPFITQVAVGKRAQLQIFGNDYPTVDGTGVRDYIHVTDLAAGHVAALQH-------------------------------------------------------------------------------------------- 143130513 ------LVTGGAGFIGSHIVEQLLSMNHEVVVVDNEYSDNDKWRKETYNVNIDITDKA-LKNAFTNV--DYVFHCAAEARIGPAIKNPVNAVNINTLGTCNVLQCAREAGVKKVMYSSTSSGYGLNSS-PNVETQPDDCLNPYSVSKVAGEKICKMYTDLFGLKTVIFRYFNVFGERA------PRKGQYAPVIGIFLRQLASGEKLTIVGD------GEQRRDFIYVKDVARANIMAISNADDEAYGQVYNVGFGKNYSVNDIASFI------SNDTINIPPRVGEARNSLANIDKINKTFAWKPEVSVEDWIKE----------------- 143873889 MSPK-VLITGGAGFIGSNLVDYLNSHSWDILVLDDLSTGLSSSLHGSQCVAGSILDSGLLTEI--TAGVDHIVHLGAIGSVPRSISSPRPTHDANITGTLNVLEAARQNSVNHVIVASSSSVYGSNPNLPRSEFDWTRPLSPYAVSKLATEAYANAYATSYGMRTVAFRFFNVFGPRQRS-----DHPYAAVIPKFVSAALTN-TPLTIHGD------GTQTRDFTYVESVCDAIHTTISESLY--FEHPVNLAFGSRVSLLEVVKKLELLLGKKLEVKHTETRAGDVKASQAETSLLREVL---PSVSFETGLKNTIEWFQ----------- 206602814 --------TGGAGFIGFHLVRRLLAEGHRVDVLDNFQTGTRENLSHDTGVIGRLIEQNVADPIPGVY--DGIFHLACPASPVHYQAAPLETFRTAVWGTWQVMESCRQTGA-KAVIASTSEVYGNPLVHPQTEENPVGIRSCYDEGKRGGETVAMDYRRIHGVDARIVRIFNTYGPRMLFNDGR--------VVSNFCHQALLGNPITVYGD------GTQTRSFCFVTDMVDGLIRAMEA---EHFVSPVNLGNPVEYQVVELAKMVLSLSSSSSSILFKPLPSDDPSRRKPDITRARTLLGWEPRIPVEEGLLQTI--------------- 143782911 ---KNILITGGAGYIGSHISEVLIKNKKKIFIIDNLSTGYRRLIKKAKFFKVDILKSKKVREIIIKNNIDSVIHLAANLIIGEGEKYPKKYFKNNVVGTKNLLESCENTGVKNLVFSSTAAVYKDGQYK-VNENSIIKPKSVYGKTKIKAEKIIKRFCKRNKINYCILRYFNIAGSSPSGKIGLINKSD-HLFKNFSREIIKKRPILKIYGDNYDTKDGSCIRDFIHVSDIAEIHYKVLEKINNLNNSKILNCGYNKGISVLEVAKVFKNQSSKKVEILVSKRRKGDLVKIIASNKKLKKFIKWKPKFNLNTIVKSCISWEKR---------- 306416865 ----RVLITGGAGFIGSHVVAALAAAGHESVVLDALPGGTPPQLPGDRVAVGDVRDREAVADALAGV--DAVCHQAAMVGLGKDFSDAPLYVGCNDLGTAVLLAEMASAGVRDLVLAGSMVVYGEGTPGLVTEDAPVDPRNVYASTKLAQEHLAAAWARATGGRAVSLRYHNVYGPGM------PRDTPYAGVASFFRSALARGEAPRVY------EDGGQRRDFVHDVAAANAVALEAVRERRPASFAAYNTGSGEPHTIGEMAAALADAHGGPDPVVTGEYRLGDVRHVTADSRALREELGWRPRVSFAEGMKDF---------------- 140049743 ---KRILITGGAGFIGSHLVRLFVKKDYEIFNLDALTYAGNENKKNYTFLKGDISDYDYINSIFKKYKFDSVIHLAAESHVDRSIEDPMAFANTNVIGTIVLLDAFKRWDDNLFYHVSTDEVYGLGESGLFKETTSYNPNSPYSASKASSDHFVRAYGETYNMPFLISNCSNNYGENQF---------PEKLIPLFINNILNNK-------SLPVYGDGNYTRDWLYVKDHAQAIDLIFHYGKNK---ETYNIGGFNEWKNIDLVKLLCNLMDKKLKLTFVNDRPGHDLRYAIDASKINKDLGY-PQLHLR---------------------- 140349434 --------------------------------------------------HGDITDQTYIYSIFEKYRFDAVIHLAAESHVDRSISDPLAFARTNILGTIILLNAFKNWTNKRFYHVSTDEVYGLTETGLFEETTPYDPNSPYSASKASSDHFVRAYGETYGLPYVITNCSNNYG---------QQQFPEKLIPLFINNILNSK-------ALPVYGDGNYTRDWLYVIDHAIAIDLVFHNGIN---NETYNIGGFNEWKNIDLVKLLCKLMDSEKLITFVKDRPGHDLRYAIDSSKINKELGWSPSVTFEEGLSETIDWYLKNEEWLKN--- 78188649 -KNKQVLITGGLGFIGSSLARSLVKQGAHVTIVDSLIPQYGGNLFNISDIRGDVRDPFAMDYLLQGQ--DYLFNLAGQTSHMDSMSDPKTDLDINATAQLSILEACHKTNDIKIVFASTRQLYGKPDYLPVDEKHPIRPVDVNGINKLAGEWYHLLYNNVYGIRACALRLTNTYGPGMR------VKDARQTFLGIWVRLLIEGKPIKVFGDGM------QLRDFNYVDDCVDAL--LLAGVNDSANGKVYNLGSTEVVGLKTLAEMMVNFYDGATYVPFPPERKADIGDYYSDFSLITKELGWEPKVGLQDGLKKTVAYYQ----------- 78183805 ------LVTGGAGFVGSHLTDRLMQAGEEVICLDNYFTGRKTNISKWIGIRHDVTDPIQLE-------CDRIWHLACPASPVHYQFNPIKTAKTSFLGTYNMLGLARRVGA-RLLLASTSEVYGDPEVHPQPESNTIGIRSCYDEGKRIAETLCFDYQRMHEVEIRVMRIFNTYGPRM--------LPNDGRVVSNFIVQALRGSPLTLYGD------GSQTRSFCFVDDLVEGMIRLM----NGNHTGPMNIGNPGEFTIRQLAELIRAKVNPDLPLIERPLPADDPLQRQPVIDLARKELDWEPNVALEDGLAVTIEYFRQ---------- 302891277 ---KNIMITGGAGFIASWLVRHLYPHAYNIVSFDKLDYCASLNNTRALNEQGDITNPVEVVDCLERYNIDTIFHFAAQSHVDLSFGNSYGFTHTNVYGTHVLLESAKKVGIKRLIHISTDEVYGEDDDDDLLEASILAPTNPYAASKAAAEMLVNSYMRSFKLPVIIVRSNNVYGPH--------QFPEKIIPKFSSLLHRGQ--PVVLHGDGSPTRRYLYAGDAADAFDTI-LHKGEMGQIYNVGSYDEISNITLCHKLLAEMGIDDQNTTEFKKWVKYTHDRPFNDHRYAVDATKLKQ-LGWTQKTPFEQGLKITMDWYQRY--------- 143235784 ----------------------LLDDGYKVFGIDNMNDYYDVSLKEARFKRVDISNLRQVEKIFETFKPQKVVNLAAQAGVRYSLENPHAYIQSNVVGFTNIIELCRNYEVEGLIYASSSSVYGGNEKIPFSVSDRVDPISIYAASKKSNELIAYTYNHLFGLHSTGLRFFTVYGP--------WGRPDMAMYIFANKISSGKAISVFNHGE--------MQRDFTYIDDIISGIRSSIE---NNYQLEVFNLGNNRCENLMDMIGCIEKQFGRKAKVDFMEIQPGDVEKTFADIDYSKKKLNYRPEVSIKEGIPRFIEWYKSYHK------- 134859488 ------------------------------------------------FVKMNIRDRENLDAVFSKHKPDAVMHLAAESHVDRSIDEPTNFIETNIKGTFNILEASRKHWQFCFHHISTDEVYGSLPSDPFTESTPYDPRSPYSASKASSDHLVRAWHETYGLPVILTNCSNNFGP--------YQFPEKLIPVVILNALSENPIP--------IYGNGNNIRDWLYVDDHANALLLVLEKGES---GRTYNIGDENERTNLEMVKTVCSILDRADLITFVKDRPGHDARYAIDPSRIRNELGWQTTITLEQNLEKTIQWYLNNQDW------ 310821282 MQGKRAVVLGGAGFVGSHLCERLLEDGAGVVAVDNFLTGAEENLPGFAFVRQDI-----VEGLSVEGPVDYVFNMASPASPIDYAQLPLETLRVGSLGTENALKLA-EARGAVFLQASTSEVYGDPLVHPQHEGNPIGPRAVYDEAKRYAEAITSAYARVRGVKARIVRIFNTYGPRM-------RLKDGRVVPAFVGQALRGED-FTVFGDG------TQTRSFCYVKDLVDG----LVRLALSEVTEPVNIGNPREMTILQFAEAVRAAAGGGGRILYQPLPQNDPKQRQPDITRARTLLGWEPKVSLEEGLRETISYFRA---------- 290978844 --SRKVLVTGSAGFIGFHLAEKLAKKGNIEIGLDNFNDYYSTDLKYMRKKQGDVCNTSILSEIFEKYTFTHVLHLAAQAGVRYSLKNPQTYIRNNILCQIELLETYQKTNPPVFAYASSSSVYGNIQESAFHEQMNINPTNVYSASKISQELFAETYNYLYGIPVIGLRFFTVYGP--------YGRPDMALFSWVDQIVKGKPITLYTLEGKELMRDFTYIDDIVNGIINSMNYGDRVKREEGRAVHDVFNLGNHTPEKVTDMIKYIEKALDKKAKINHVKKPPTDMTITFADITHSQELLNFQPKTKLEDGVRKFVDWYLKYYHFSNNQ-- 116672601 LQGAHVLVTGGAGTIGSTIVDHLVTAGVERIVLDNLVRGRRANLDDAVAVEGDLRDRDLVHDLTR--GKDIVFHQAAI-RITQCAEEPRLALEVLVDGTFNVFEAAAEHGVGKLVAASSASVYGMAEEFPTSEHHHHNNDTFYGAAKSFNEGMARSFRAMTGLDYVLLRYFNVYGPRMD------VHGLYTEVLVRWMERIADGQPPLIFGDGRQT------MDFIHTRDVARANILAAGSGAREG---VYNVASGEETSLLQLAEALLRAMDSELHVEHGPDRINGVVRRLADTSAARLDLGFAAETGLEDGLRELVDWWR----------- 134620669 -----------------------------------------------------------------------------------------KYRQNNVINTINLLECMRDLKINKFIFSSSAAVYGEPEHLPITELHNFNPVNPYGNTKAEVENTLKNYEESCGLKYVSLRYFNACGAHHDGTIGEMHDPETHLIPLVLQVANGRKTHISVFGDDYPTPDGTCVRDYVHVMDIVEAHILAMENLMNTNKSQVFNIGNNKGFSVNQIIQMAKVITKVDIPFKIQGRRKGDPAELIADNKKIINLLNWNPKYSLETIVKSAWNWEK----------- 135179414 MSVMNVLVTGGAGYLGSHTVLVLLEQGHHVVVLDNLSNGSIEALVRVDFLKGDIGDSYLLSGILKQRGIDAVIHFAGLKSVGESILDPLRYFSVNVGGTTQLLNAMQAAGVKKLIFSSSATVYGTKAPVPYVETDRGVPPSPYGQSKAIIESLLEAQAMADPEWSMISRYFNPVGAHPSGLIGEDPKGPANLMPYMAQVAVGRLERLAVYGGDYPTPDGSCRRDYLHVMDLAEGH-------------------------------------------------------------------------------------------------- 209447530 -----IVVTGGAGFIGSHVVDKLSESN-EIVVIDNLSSGNEEFVNEAARVKADLA-ADDIKDYLKGA--EEVWHIAANPDVRIGAENPDEIYRNNVLATYRLLEAMRKAGVSRIVFTSTSTVYGEAKVIPTPEDYPTHPISLYGASKLACEALIESYCHTFDMQAWIYRFANVIGRRSTHGVIYDFIMKLKRNPE----------------ELEILGNGEQNKSYIYISDCVDAMLFGLR---GDERVNIFNIGSEDQIKVKRIAEIVCEELGLSPRFRFGGDRKGDVPVMLLSIEKLKR-LGWKPRYNSEEAVRMAVR-------------- 142838734 -----ILITGCAGFIGYHTADFFLKKNIKIVGIDNLNEYYDVNLKKDRIKLLDINNYTKLNNLFIKFKIKKVIHLAAQAGVRYSIFNPNVYLNSNIIGFYNILDISKNHKIKHFLFSSSSSVYGDQKKYPIQETDETKPLSFYAATKKTNEVLSHSYSKIYKLPISCLRLFTVYGP--------LGRPDMAPFKFTKAAFENKDIKVYNNGIHE--------RDFTYVSDVAKSVYKIANKTPNKNFFQILNICSSKTIKLMEFIKLIEKLTNKKINKKFIKKQKGDVIKTYGNNSKLKKI--YKMNVSVEEGMKNFIDWYIKY--------- 262368364 -----ILVTGGLGFIGSHIALSFMAHGQEVIIVDNLSNANLQTLMYVPFVKIDIRNTPALNKVFEQYSIDAVVHAASFKSLEESVLKPLEYYNDNVSCIMSLLRAMQRTGVRTLVHLSSLAVYG--ESSLHLEEDNYGYPNPYIKSQQMVEEIIRDTAKTDNWKIAILRMGNVAGAFENAMLGELFPPLPKNIIPLLMQVAAKQRDHIELRKSAQTTDHTVERSFLHILDACDAIAASLQWLSTQQFACEAFNIAGQSVSIQQLVEKVESVTQSDITVDVVDSNIVELDQVAAECSKAKNVLHWEAKRSLEQTLEDGWRFYRQ---------- 145233777 -----ILVTGGLGFIGSHTTLELLKAGYNVIVIDNLSNSRIRQLAAKYHDQHDFRDIAALRGLLEQYQIQSVIHFAAYKAVEESIRNPLKYYANNVSGLIDFATTLGEFGIKTFIFSSSATVYGTTSGLPLKEEGCTGITNPYGRTKWICEAILADLAASDPEWTIVARYFNPIGCDESGLLGEDPRQPTNLLPVVVKVMTGQYKELQMFGTDWDTEDGTAVRDFIHVTDLARGHIAALNAANGGKNFRTFNLGTGRGHSVMEVVNTMEAVSSKPIPRKAAGRRAGDVGSCVAVATRSQDELEWKTEKSLKDAC------------------- 136386897 ----NVVVTGGAGFIGSHLVERLIYLGHKVTVLDNLSSGKIKNLKRIRFYKIDLAKEKNIEKYLKNV--DWIFHLAGDSSVTQSLIYPIKYYANNVKSTINLLNAIKHKKVKKIIFFSTAALYGNPKKLPINEKSKIQIFNNYSFTKKICEEILEHWWKVFKINIIILRVFNVYGPRC------KDDAKDGNVVGIFYKKYLQKKALPIFGNGKQT------RDFIYISDVLDAL---IKLAKSKVKFDIFNLGSGKQVTINKLVKII-----GVQKIKYLPKNKNEIENSFASIKKLKKTIDWKPLTKIEKGIKETFN-------------- 142065836 ----KCLVTGGKGFIGSHLVKRLREHNYEVLSIDNFLVSDLRKDGKFSNRIADIRDYAAMKE--CMYKVDTVFHLAAESKIGTCVDDPIGASNTNDHGTCILLQAAREAGVKRFVYSSTSAAYGLNES-PNVETQANDCLNPYSISKVNGENWCKVYNDLYGLKTVILRYFNVYGEGQPTTGQYAPVMGIFMRQENAGQPLT------------IVGDGEQRRDFVHVDDVVNANIMAIGNQDDEYYGEVYNVGSGINYSVNEIAAMISENT------TNIPPRPGECRVTLANCDKIKKAFGWSAKVNLEE--------------------- 113954459 ------LVTGGAGFLGSHLCDRLMESGEEVICLDNYFTGRKANIQWMGHPRFELIRHDVTEPI--KLEVDRIWHLACPASPVHYQFNPVKTAKTSFIGTYNMLGLARRVGA-RLLLASTSEVYGDPEVHPQPESNPIGIRSCYDEGKRIAETLCFDYQRMHDLEIRVMRIFNTYGPRM--------LPDDGRVVSNFIVQALKGEPLTLYGD------GSQSRSFCFVDDLIEGMIRLM----NGDHSGPINIGNPIEFTIRQLAELVRDKINPELELICKPLPQDDPLQRQPIIDLAEKELGWTPEVALEKGLEPTIAYFKE---------- 143089256 ----KILITGGAGFVCSHLADKLYEKGHSLILLDNLLTGNVKNIEHISNDNVDFLEHDVQNHIEISDDVDFIFHFASAASPIAYQENPVNTLKAGSIGTINTLGLAKVKKADYL-LASTSEIYGDPEVSPQSEDYWGNERSMYDEAKRFAEAATATYSRTYNLNTKVVRIFNTYGPRMQLNDGRV--------VTNFIVQALKNEDITIYGEG------SQTRSFSFVDDTVNGIIALMESNQND----VFNIGNPNEITVNELASTIIDLTNSNSKLINKDLPQDDPKQRRPDITKAKNLLNWEPVVKLEDGLTQTIDW------------- 163847678 -QDKSVVVTGGAGFLGSYVVEKLHERGARRIVV-------------PRSHQYDLRQLEAIRQLLADAQPDIVIHMAAVGGIGANRDHPAEFFYDNLMMGVQLLHESWRFGVQKFVTIGTVCAYPKYTPVPFKEDDPEETNAPYGLAKKMLLVQGEAYRQQYGFNSIFLLPVNLYGPRDNFDLETSHVIPALIRKCIEATERGDD-------EIVVWGDGSPTREFIYAADAAEGILLASERY---NDPAPVNIGSSYEISIRDLVTLIADLTGFRGRIVWDTTKPNGQPRRKLDVSRAWERFGFRAETTFADGLRATIAWYRSQRESL----- 139153473 ----NILLSGGAGYIGSNLAYFLLDNGCKVIIVDDLSSGSLDLVPEALFYKSDVDDEKAINKIFNANKIDAVVHLAGKIVVSESVINPLKYYLNNTVKTIKFFEICVKNNVGNFIFSSTASVYGKKSEGKINEESKLNPINPYAKSKLFCEEMLNDIAKQNKINIAILRYFNVAGADSKGRAGQISKPATHLIKVGCETALGLRKSINVFGNDYNTPDGTCMRDYIHVTDCPSF--------------------------------------------------------------------------------------------------- 229065367 ---------------------------------------------------------------------------------------------------------MQKHDVKKMIFSSSATVYGIPETSPITEEFPLSATNPYGQTKLMIEQIMRDAFADAEWSITLLRYFNPFGAHESGRIGEDPNGPNNLMPYVTQVAVGKLKELSVFGNDYPTKDGTGVRDYIHVVDLANGHVKALEKVLGTTGIDAYNLGTGTGYSVLEMVEAFEKVSGKEVPYKITERRPGDVAVCFADASKAKRELGWEAKRGLEEMCADSWRWQSNNKNGY----- 158338849 LSGKRVLVIGGAGLIGSHTVDTLLKEDEEVRIFDNFTRGSRENLSEALKDPGELMHRDLLDAAMK--GIDGVFHFAAMWLLHCYNFPRSAF-EVNIGGTFNVLEACINNGVQRLVFSSSASVYGDAVTEPMTEDHPYNNQTFYGATKIAGEHMCHSLYHRYHFDYVGLRYMNVFGPRQD------YQGTYIAVIMKILDRLDQGLPPIIYGD------GSQAYDFVYVEDCAQANVCAMKAQATDA---FYNVGTGIKTSLKELTELILEITGSSQQIQYEPSGQTFVKNRVGCPLKAEEEISFKAKVQLREGLERLIVWRNQHKEIVAQKRE 136026977 MNKKKVLVTGGAGFIGGNLIRKLLKEDWIVYNIDQMGYASDDNKLRHIFLKLDLRNKEVLEKVVKDIGPNLIIHLAAESHVDRSIDNPLNFIESNIIGTFNLLEASRAYWLFRFIHISTDEVFGTGLEGKFDENTKYSPRSPYSSSKASSDHLVQSWHHTYGLPTIISNCSNNFGP--------YQFPEKLIPLSILKGIRGENIPL--------YGDGLNIRDWLYVEDHVDALLLIAEK---GKVGKNYCIGGFGEKTNKEVQLQICNILDKVKPKKFVADRPGHDQRYSINSNLIQKELGWTPKITFEDGLKKTIHWYVHNLQW------ 114777832 ----NVLVLGGSGFIGSHVVDHLLAAGHKVRVFDRAPERYRTALKQVEYRLGAFDDTFQVAEALQGM--DAVCHLISTTVPGTSNLDPVADVQSNLINTLSLLEQMRNKDLHRILYLSSGTVYGNPQQFPISESHPLNPISSYGVVKVAIEKYMNMYQQLYGFEPIILRPSNPYGSRQG------HAGVQGLIGTLLARALSGD-------TLEIWGDGSVIRDYMHVSDLARLSVAALE----SGHSGVFNAGSGEGHSINDIIGLIRDIMSEELQVNYCEGRTFDVKEVVLDISRAKETFAWQPEISLSAGINEQLQW------------- 156937783 -----ICITGGSGYIGSKLVEELLKEG-EVKVLDL----APPPVPHVKFTRVNVLLLDDLKVELR--DCELVYHLAAEIKAEESLREPAKVVRVNVEGTLNVLEAARLADASVV-FASTAAVYGEAKVVPVPEEHPLEPVNVYGATKVAGEALVNSYRKAFGLRAWTLRLFNVYGPSAS---------PSRGVVGEFLRRALKGEPLRIYGD------GRQVRDFVFVDDVVKAFKLVREIPE-----GTYNVGSGRGVSIITLAKKIIELTGSKSEMVFLPERPGDVRVSVADVTKL-AAFGWRPRVSLEEGLRLTAE-------------- 254563986 LAGKTVFVAGHRGLVGSALVRRLESEDCEV-----LTASRAE---------LDLCDQAAVRAWMRDRRPDAVFLAAAVGGILANATYPADFLYENLMIEANVIEAAFREDVGKLLFLGSSCIYPKFAEQPIVETGSLEPTNEWYAAKIAGIKLAQAYRQQHGRDFISAMPTNLYGPGDNFDLNSSHVLPALIRKAHEAKLSGAK-------EMVIWGTGSPRREFLHVDDCADACVHLMKSYS---EAEHVNVGSGEDIPIYDLTRLVCEVVGFEGEIVRDPSKPDGTPRKLMSADKLRS-LGWAPKVPLRDGIAATYAWFQEH--------- 172058833 -------ITGGAGFIGAALALRLQASGHTVHVIDAFTDYYDVELKLTRAKQIDVFDHEDLATWCANHSFAALFHLAALPGVPGSLTEPHRYIEDDIAMTVTVLEAARTHGIPHVFFASSSSVYGEQTGALLEQQATGNVMSPYAAAKYSAETFCRTYHNLYDMNVTIFRFFTVYGPS--------GRPDMALFRFIEQALDGQ--PLTVFG--------DPVRDFTYIDDITRGMEQALEAKA----TGIFNLGANRPESVRDLAAMLSERFNVP--VRSAPARIGDVSMTWSNTDAARQTFGYVPSFTLADGIEQMIRWHLE---------- 136163966 ---KYILITGGVGYIGSHTVVSLLKEGYNVVILDNLLNSREITLKDPIFFKGDIRENLLLERIFNDYSIDGVIHFAGLKSVAESQLNPLSYYNSNVVGSITLLKAMLKAKVYKLVFSSSATVYGTPDTDQYTEELPASPINVYGRTKLMVENIIRDVCANSEFRAACLRYFNPVGAHSSGLIGEDPLGPYNLMPYIGQVALGKLSFLKIYGSDYATPDGTGMRDYTHVEDLARGHLMAIDYLDNHPG-------------------------------------------------------------------------------------- 142314989 ------LVTGGAGFLGSHLVDRLMQAGDEVICLDNYYTGRKANIARWIGHRHDVTEPIKLERIWHLACPASPIHYQ---------CNPVKTAKTSFLGTYNMLGLARRVGA-RLLLASTSEVYGDPEVHPQPENNTIGVRSCYDEGKRIAETLCFDYQRMSGVEVRVMRIFNTYGPRM--------LPDDGRVMSNFIVQALRGEPLTLYGD------GSQTRSFCYVSDLIEGMIRLMD----GSHPGPINVGNPEEVTIRQLADLVRGRINPSLPLIEKPLPQDDPLQRQPLIDLAREQLNWQPTVSLEQGLDPTIESFRK---------- 282890311 ----KVLITGGAGFIGSHLADYLLQNGHQVAVIDNYQTGRRDNLQPHPHFEGTIADKHFVDSIFEMFSPDKVVHAAAAYKDPD---NWEEDAQTNVLGTIYVTQAAKKAGVDRLIYFQTALCYGLPSEQPITLDHPISSCSSYAISKTAGEH----YIELSGLNFISFRLANAYGPRLSGPLPTFFHRLTTQKACFVMNT---------------------RRDFIYIDDLVQVVVKAL---NGEGKKGYYHISSGSDYSIKELFDETVKALDIDQEVEVRERNPDDVFTILIDPTKTNQDFSWKVSTPLSQGVKAAIEWYK----------- 118616617 ----RLLVTGGAGFIGANFVHSSVREDDAVTVLDALTYAGRRESLADRLVEGDITDAELVSQLVA--ESDAVVHFAAESHVDNALDNPEPFVHTNVLGTFTILEAVRRHGV-RLHHISTDEVYGDHDPARFTEATPYNPSSPYSATKAGADMLVRAWVRSYGVAATISNCSNNYGP---------YQHIEKFIPRQITNVLTGRRP-KLYGTGA------NVRDWIHVDDHNSAVRRILEKGQIGKTYLISSEGERDNLSVLR--TLLRMMDRDPDDFDHVVDRVGHDLRYAIDPSLLYNELAWAPKTDFEEGLRTTIDWYRANESW------ 142931026 -KSKKILVTGADGFIASHLTEKFIDLGARVSVIKNGTNKNTFQNIEKNYIKCDISSPDVINHIVKL-KPDYIFHLAASAYVPYSFDHPLEVNEANSIGTLNILEATKLPNLIRIICTSSSEVYGSALTKSINENHPLNPTSPYAASKVAADRYCYSYIKTYNLPITIIRPFNTYGPRHTYDVVPKFIKMALSNLDITVHGDGK-----------------QSRDLTYVSDAVEAFLRVGANKNTNQRVINFGTGKHHNIIFL--AKKIKELSKSKSKIIFINQRKAEVQRLTCDASLCKKLTGWKPKVNIIEGLRRNIEWAKKN--------- 288922380 ----RIAVTGGSGFIGGHVVDRLLDAGHEVLSLDLATCSRPD--PRAVYREIDVLDIEALTDAFAGV--EVVFHIAGMSNVDFAFADPVRTVRLNVEGTGKVCEAARHAGVRRVIFASTVWVYGAPEPDPLTEDAQIRAGHVYTSTKLAAELLLQSYLQTYGLAFTILRYGIPYGPGMR----------EELVLARFVNNAMAGRPLTVAGDG------RQFRKYVYVRDLADAHVLAL---ADAAENTTIALEGNERVSVLEMAQAVQ-AYFPSVAIERIPARPGDFRGREISAQRAAHLLGWRPTTPFRDGVRQYIEWYQANRQ------- 260890571 ---KTYLVTGAAGFIGANYLKYILNKEIKVIVVDVLTYAGNLGTIRVKFEKVDIRDQKEIARIFSENEIDFVVNFAAESHVDRSIENPQIFLETNILGTQNLLENAKKAWTVKYLQVSTDEVYGTYGKNFFTEKTSLDPRSPYSASKASADHIVIAYGETYKMPINITRCSNNYGP--------YHFPEKLIPLMIKNVLEGKKLP--------VYGKGDNVRDWLYVEDHCKGIDLVLR---NADIYEIYNIGGFNEEQNINIVKLVIDILKEEIEITYVQDRLGHDMRYAINPSKIARDLGWYPETDFETGIRKTVKWYLEHQDWVNE--- 143161201 MQNKRAIVTGGAGFIGSTLVDKLIEQDVSVSVIDDFSTGRVENTNKLVYYNRDISDIDIDELTEFMKDVDVIFHTAAKARVQPSIQDPLSFNKANVDSTLKILLAASRAGVKRVIYSASSSCYGEATVLPTPETYQKNPLSPYGLQKYIGEEYCKMFSEVYGLDTCSLRYFNVYGERM------VLDGAYKLVMGIFAKQLIDKKPLTI------TNDGTQRRDFTYVGDVVEANILAANY-SGKLNGESFNIGNGKNYSVNEVADML------GGEKTYGEKRL-EPYETLADNTKAKNILGWSPKGDLTT-------WIKKYKKELG---- 112359369 -----VLITGGAGFIGSHFVSFFASKGYKVTVLDNFATGRNLHA-DATYVVGDVTDTSAFDTLST---FDFVVHLAAAISVAESMTNPAKYQRSIVEGSRNVFAYAVRTGARAVLSASSAAVYGDCGTDAITEAYRYGGISPYAQAKYDMEGI--PAGDTSATRFIFCRFFNVFGPRQD------PSSPYTGVMSIFIDRALRGIPITIFGD------GEQTRDFVYVKDLVCGAFALLD----GGASGVFNIGTGRSTAVQRLAEICADLGGSE--IVHAEPRDGDIKYSLSCPEKIFETVGWRAETEFLDGLKATWQWAKD---------- 138447551 ------LVTGGAGFIGSNFLHYISSDTDLVVVVDNLSYAFIPDTDQFIFEWCDITNETHVNYIFDKYKPRKVFHFAAQSHVDRSIQNYRPFLESNVVGTINLLNASLKKEVEKFHHISTDEVYGSYDKILFKETTPYDPRNPYSASKAASDYFVKSWHNTYGLPYLITNCSNNYGP---------HQHVEKLIPLTISNALDNKITYMHQGGH-------QIRDWLYVRDHCAAIWELEEQRII---NDHFNIGGSCEKKNIDVTKMILDMMNKPYDIGVNDERPGIDKRYGMDHSKITNRIGWRPTTDFEVGLRSTV--------------- 307330148 ----HAVVTGGAGFVGSHLCSSLLAQGATVTCVDDFCTGTPENVAHLRGRTGFTLLRRDVTEPFDVERPADVLHFASPASPADYLRLPLHTLETGSLGTRNALALARRHGA-RFVLASTSEAYGDPQQHPQSERYWVGPRSVYDEAKRFGEALTIAEAGSNGTDTAVVRLFNTYGPRMRG-------HDGRAVPTFIRQALAGEP-------LTVTGDGAQTRSLAYIDDTVRGILAMAASDLR----GPVNIGNADEITMLDLAHKIIRLAGSRSTVEFIGRPTDDPAVRCPDITLARGKLQWAPAVSADEGLARTIEWFRA---------- 50085032 -----ILVTGGLGFLGSHIALSLLAQGQEVILVDNLANASLQTLMYIPFVKVDVRNTPALNKVFEQYSIDAVIHTASFKALEESKLKPLEYYNDNVSCIMSLLRSMQRTGVRKLVHLSSLMVYGK-SSSKLTEDEPFDTVYPNPYIKQMIEEIIRDFKTDHEWKIAILRLSNIAGAFEHGVLGEMITQLPKNIIPLAMQVAAMQRDYLELQRQADTTDQTVERSFLHVLDVCEAVFASLYWLNQQDHCCESFNIAHNEVTSIQQLLEVISQVTQTQINTHDAMYPTEELAQVANIDKAKQVLNWQPKRTLQQMIEHQWQFYQN---------- 280966624 -----VLVTGGAGFIGSHIVDALVEAGHQVRVLDALLPARPEVNDAAEFRAGDVTDRSAVEAALDGV--DAVCHQAAMVGLGVDLDDLPLYATHNDLGTAVLLAAMARRGVGRLVLASSMVVYGEGRSTPVTEGAPLDPRNVYAATKVAQEHLAAAWAAACGGTAVALRYHNVYGPRM------PRNTPYAGVASLFRSALERGEAPRVF------EDGGQLRDFVHVHDVAAANLAALEHSPEPGRLVPVNVGSGQAHTVGEMAGALAGAFGGPLPRVTGQYRIGDVRHIVASSDRARALLGYQPATTFEAGMTAFAR-------------- 135095189 ----KILVTGGAGFIGSHIVDALLQAGHEVHILDNFITGQEHNVNAAATHRLDVRDPAT-RQLLEQERFDAIFHEAAQLDVRKSVDDPVYDAQVNVLGAINLLEGARAAGTKRFIFASTGACYGEQLQFPADETHPLEPISPYGITKVTTEKYLHYYQVVHGLEWVALRYSNVYGPRQST------HGEAGVIAIFADRLFTGRQPF-------VNGDGEQTRDFVYVQDVVQANLLALDW---PGQGGVFNVGMGVETTINEVYDRINAA-------------------------------------------------------------- 135068030 -ASMRIVVTGGAGFIGSHYVRRLL-TGEQVVVLDKLTYANLDPVADDPRLQVDICDADVLDQVLPGADF--VVNFAAETHVDRSIHGPADFILTNVVGAQTLFDACLRHATPRVVHIGTDEVYGSIESGSWTEDSPLLPNSPYSAAKASAELLVRAYHRTFGLNISSTRCSNNYGP--------YQFPEKVIPLFVTNLIRGRRVPL--------YGDGRNVRDWLHVDDHCTGIDIVVER---GEPGAAYNIGGGEELSNVELTQAICDAMGVAWVVEHVPDRLGHDLRYSVDDSRLRA--------------------------------- 301105311 ---QRILVTGGAGFIGIHLCRRLLDQGHEVICLDNLFTSQRANVLDLQMVRHDVTEPCEVDQIYNMACPASPVHYQ---------YNPIKTTKVSFMGAINVLGLAKRVKARVFQ-ASTSEVYGDPEVSPQVESDCTGVRACYDEGKRVAETLFFEYHRTQAVDIRVARIFNTYGPGM--------HPYDGRVVSNFIMQALQGEDITIYGT------GSQTRSFCFVDDLVEAIIRFMDCKTCVGP---MNLGNPHEMTIRELAEMVIRLTNSCSRLVFRDLPNNDPKLRKPDITLARTYLDWNPHICIEEGLMRTIAYFRA---------- 142727371 ----KILVTGCYGFIGFNFLKKLIKEEFEVTGIDLLNNSYSKQLNTGENFEFNIIDINKIN--FKKNDFDLVINFAAESHVDTSIYNPDVFIQTNVLGVNNLLKFCLENSVKKYIQISTDEVYGSTRNHYFEETDILNPSSPYSASKASADMVCNAYMKTYDMDIKTIRPANNYGP---------FQQPEKLIPFSISNIIEN-------NEVEIYGDGSNIRHWLYVKDTVEGIFKVIEKGES---GEIYNIGSGIYHNNNEIAEKLLSKFNSKNSIKYVKDRPGHDFKYAVNFDKLSN-LGWSPKYDFENALEETTEWYLENKNWWSE--- 143470826 MKKNKILVTGVAGFLGSHLSEELSNLGHKIIGIDNMIGGYKDNIKEIEFYNIDCCDFDKIKNIMKGVDI--VYHCAATAHEGLSVFSPFEITKNNYLASVSIFSAAVNEKVKRIIFCSSMARYGS-QKYPFIESMDPKPVDPYAISKVAAEQVLINLCELNKIEWVIAVPHNIIGP------KQKYDDPFRNVVSIMINRMLQGKAPIIYGDGKQTRC------FSYIDDCLSCLIPMLDKKSLNK--QIINIGPDEEFVTINKVAELCSITGINLPPIYKKDRPKEVKHAICSADKARKLLNYKTQVSLKEGIKRTYDYIKK---------- 226312108 ---KKALVTGCAGFIGSHLTQRLLNDGVTVIGIDGFIDNYD--VAAKLRNLAEIGKHPAFRWDSWLENVDAVFHLAALPGVRNSWKSFADYVSHNILATQELLEACLQRPPPVIVVSSSSSVYGTMQGIVTNENAPLRPVSPYGVTKEAMEQICSVYVKAYGLPVTMLRYFTVYGPR--------QRPDMAFHRFFRQMMKGE--------QVIVYGDGQQSRDFTYVTDAVEANLLAAQHAV---PGDIFNVGGDREIKLIDVLSIMGTLMNLTPRITYQNGPAGDSLRTCADIQFAQQRLGYKPKVTLEEGLRH----------------- 143469244 ----NVLLTGGAGYIGSHAALSLLDRGHNVHIIDNLSTGSEILIKNAKFTNCNINNEIKISNLIKSDKFDLLMHFAGFIQVEESVQQPQKYFENNTNNAIKLFNTCKNNGLNNIVFSSTAAAYGVKNNELIDEHTNLNPQNPYAESKIRTEKFL--FDNQDDFKFIILRYFNVAGADRKLRSGQISKKSTHLIKKLSEVVVGKRDQIEIYGKDYNTTDGTAIRDFIHVSDLADIHTEIAKYLLENSESNLFNCGYGNGFSVLEVIQAANSIYQNKINYKFSNRRDGDVEK------------------------------------------- 142914247 ----KALVTGGAGFIGSHIVDALVQRGYEVVVIDDESNAQFFYNDKATYVKQSICNPHTKT---FYDGVDYVFHLAAHSRIQPALENPIECVQTNVLGTATVLQFAREAGVKKVINSSTSSSYGLKNKPPLVEDMIPDPLNPYSVSKISAEGMCKMYTNLFGLQCVSLRYFNVYGDRQPLSGTYAPVVGLFLRQWENKQALT------------IVGDGEQRRDFTHVKDVVKANIACIDSVI--GGYQTINIGTGKNYSVNEIAAMISDNTRN------LPERLGECRETLASNSKAKYYLDWEPTIDIKD--------------------- 144080150 --------TGGLGFIGSNLIDLLLQKKYFVINIDKVTYYNTKEFAKNKNYKFIKCDINNKKINNIFYKPVCIFNLAAETHVDRSIDDAKSFIYSNILGVYNILECFKKYKKVKLVHISTDEVYGDILTGRSNEKYAYKPSSPYAASKASSDHLVYSYVRTHNIPAMVTNCSNNYGP--------KQHPEKLIPKLIYNILNNKALP--------IYGKGKNSREWIYVKDHCEAL---LKVFQNGKIGEFYNIGSNKNLNNIQICKTLLKISNKNVKIKFVKDRPGHDFRYALNSNKIKKELKWKPKTTFKKGILDTFNWYLDNKKYYTS--- 143465427 -------------------------------------------------IKGDLRDKNSIKKVFHDAKIEGVLHLAGLKSVSQSIVNPSIYWENNVISTINLLEVMKDYGCFTIVFSSSASIY-DTHSKLIDEKTVLRANHPYAETKIAVEKLLNDVYKNNKWRVANLRYFNPIGAHSSGLIGEDPLGPNNIFPMIIKVASQEIDKLKIFGNDYPTSDGSGVRDFIHVMDLADGHLKALNYSSGEPKIINLNIGTGKGTSVLELVKIFEQINNVKVPYVFVKRRKGDSAEVVADNSLAKKLIKFSAKRSLSEMCRDGWKWHLKNPHGYRK--- 136692827 ----KVFVTGSSGFIGFHLSKKLLEKGHSVQGFDSMNKYYDVRIKKARLKILKLENKKILTDSILRFKPTVIIHLAAQAGVRYSIENPKAYMDSNITGTYNIIELAKKINIKHLLIASSSSVYGANKKLPFTEIDKTETLSIYAATKKSTESIAHSYSNIWKIPITMLRFFTVYGP----------WGRPDMALFKFTKGIINKKKIDIYNNGKMYRDFTFIDDIVNGITSLIKNAPNLDSLSPVAPFRILNIGNTKKVFLLDFINELEKQLGKKAIRNYMKMQKGDVKITVSNTSLLRKITNYNPKTNYKTGIKKFLEWYLFYYK------- 143930834 ----NILLTGGSGFIGSHLSNELLKDDNKLIIVDNLLTGNLENIKNVTFIQHDVQDHIEIDE-----KLDYVLHLASAASPVAYTENPINTLKAGSLGTINTLGLARKHNAEYF-LASTSEVYGDPLISPQNEEYWGNERSMYDEAKRFAEAATATYARSYNLKTKIIRIFNTYGPNM-------QLNDGRVVTNLIVQALNNED-------LTIYGDGTQTRSFSYVSDTVAGIIAMM----NSRHYEVFNIGNPYEMTVEELAETILELTDSKSKIIYKPLPNDDPKQRRPDITKAKDKLNWEPKVDLKTGLTFTIDWIKK---------- 307316483 ----KVLVTGGCGFIGRHVVEELLSRNYDLRVLDALNDAQVTLPPEVDMRRADICDADAVKSALK--DVDHVIHLAAEVGVGQSMYEISRYVGVNDLGTAVLLEAMIGMPIRRIVVASSMSVYGWNPSGPDGETDENKPVSIYALTKYAQERQVLIFGEAYGTDAVALRLFNVYGAGQ-----ALSNPYTGVLANFASRLANGQSPM-------VFEDGRQKRDFVHVRDVARAFRLALEQPHAAGHVINI--GSGNAYAIADIASLLAEAMGVPEPEIMNKARSGDIRNCFADIAKARDLLGFEPAHRLEDSLADFAQW------------- 212634421 -ASKTWLVTGVAGFIGSNLLEKLLKLNQTVVGLDNFATGHQEQWQRFMFIQGDIRNLDDCKKAVTGV--DYVLHQAALGSVPRSINDPITTNEVNISGFLNMLVASRDEQVKSFTYAASSSTYGDHPALPKVEENIGNPLSPYAVTKYVNELYAGVYARTYGFKTIGLRYFNVFGQRQDPN-----GAYAAVIPKWTAAMIEGED-------IFINGDGETSRDFCYIGNTVQMNILAATASSELKD-KIYNVAVGDRTSLNQLVQAIKVELEIKGNLIYRAFRDGDVRHSQADINKACTNLGYEPKFDIFSGLNNVMSWYINFLR------- 168058484 -----VLVTGAAGFVGSHVSLVLKKRGDGHVGIDNFNDYYEVSLKRARQIEDDINNASLLKHLFDMIQFTHVMHLAAQAGVRYAMQNPMSYIHI----------------------------YGLNSKVPFSESDRTDPASLYAATKKAGEEVAHTYNHIYGLSITGLRFFTVYGP--------WGRPDMAYFSFTRDILTG-----KAINIYKGKHDRDLARDFTFIDDIVKGCVASLDKKRGSAPFRSFNLGNTSPVTVPSLVECLERHLQVNATKKFIKPQNGDVPFTHANVSLAQSELGYKPTTDLDTGLKKFVNWYTKYY-------- 134862765 ----KILVTGADGFIGSHLVEKLVKIGYGVKAFVMYNSFNSWGWLDNIDAKGDIRDPFFVDSAIQ--DCDAVIHLAALIAIPFSYHSPSSYINTNINGTLNVLQAAKNNRIKKVIHTSTSEVYGSAQYVPIDEKHPISGQSPYAATKIGADQIALSFYRSFETPVSIIRPFNTYGPRQSN---------RAIIPTVITQILNGKKQVNV-------GNLSPTRDFSYVDDTVDGFIATLQTSNIEGE--IINLGAGFEISIKETIDIIIKIIGKEVKIINENKRISEVNRLFSNNTLAKNILNWEPKKGFSKGLEKTINWFSDSKNSSKYKHD 196011551 ----RILITGGAGFVGSHLADALMLAGHEVTVADNFFTGRKVNVHWIGHKNFELLHHDITEPL--RIEVDQIYHLASPASPPHYMYNPIKTIKTNTIGTMNMLGLAKRVKA-RLLLASTSEVYGDPEIHPQHEGYWIGPRACYDEGKRIAETLCYAYKKQENVAVRVARIFNTYGPRM--------HVNDGMVVSNFIIQALQGKPLTVYGNGKQTRSFQYVSDLVRG----------LITLMNSNVSSPVNLGNPEEHTIADFAEFVRKFVGGKVPIVNKPMPQDDPRKRKPDITKAKTLLNWKPVVS------------------------ 71083274 ---RKVLITGGAGYIGAATTQLFLKKNFLVFAVDNLSTG--KNLLTHKNYLFIKSDYSHILNLLKKEKIQDVIHLAASIDNNESVLNPKKYYQNNFFKLIKFLENCKKAKIKNFIFSSSAAVYGEVKTKPLAENFILTPSSPYGISKMKGEMLIR---KKKYFNSIILRYFNVAGPTFDNKFRQNFKSYKHLKKLNEINFSRNKNIFKINGKNYDTIDGTCVRDFVHVQDIANINYRSLKKILKNDYSLTLNCGSGKENSVLQIVKKFKIISKKNFKIIFTKPRIGDPPFLLSDNRLFKKKLGLK-FFGINKIIKDLIK-------------- 256810467 ----KVLVTGGAGFIGSNLALELQDRGYEVIVLDDFSSGHFKNLIG---FEGDVVTESILDVDLNRFRDDIIFHQAAITDTT--IQDQKLMMQINTEGFRRFLDFAIENNI-KFIYASSAATYGNAP-APQKEEYAGKPNNIYGFSKWICDCIAKKYMEKYDAHIIGLRYFNVFGPR------EQYKGKMASMIWQLAKQMIEGKNPKIF------KWGEQKRDQVYVKDVVRANLLAMDAK----ESCIVNVGSGRAVSFNYIIEVLNKVLGFDYTPEYIPYKEFYQEHTEADLSKAKKYLGYKPEWKFEKAVEDYIKWLKKN--------- 85860195 FTDKRIAVTGGKGFLGKHLISRLQERGCRQIIIVDLPEYH-------------LVHQDDIRKMYGEVKPDIVIHLAAVGGIGFNQANPATLFYENLMMGVQLLHEGWAQGIEKFVGIGTICAYPKFTPVPFKEEDPEETNAPYGLAKKMMLVQAQAYRQQYGFNAIFLLPVNLYGPG-DNFDPQSSHVIPALIKKCVDARLQKEEEIMVWGTGQAT------REFFYVEDAAEAIVLAAEKYD---KSEPVNIGAGFEISIRDLVTLIAELTGFTGRIVWDSSRPDGQPRRMLDTRRAYEEFGFQAKTDFRLGLKKTIDWYVE---------- 134299745 MKKKRVLVTGASGFIGTHLVSRLCKENMQVGVLRKLKNDHEEFL------QANILDYDQMKAVVQYFQPEIVCHLAGLRPNGHSWRDVLQAYEINLLGTMNLLRSLQGVNCQSVILVGSVAEYGRGP-TPYREHQALYPTSAYGTAKAAATALGCLCYNYFKLPVVTLRLALVYGPGQG-----------------EQFFLSQLIKSLLLEQPFAMTGGEQYRDFIHVNDVVEALWLAANTPRARGGILNI--GMGQSYPLKEVAMTVATSLGRTELLRIGERPQGEQFAYCVDTQLARQVLNWQPKVSLEKGIMDTISWYQ----------- 218516332 ----TILITGGCGFIGRHVAEELLQSGYDVRILDALIDADAEIVVGAEVVRGDVRDKDAVRAALANV--DGVIHLAAEVGVGQSMYEIARYVGCNDLGTAVLLEAMIGMPVGKIVVASSMSVYGEPDDEPLTEEKPVDLASIYALTKYAQEKQVLIFGEAYGLDAVALRLFNVFGAGQAL------SNPYTGVLANFGSRLANGQPPMIF------EDGKQRRDFVHVRDVARAFRLALEKPAASGHVINI--GSGQAYSIADVATLLADAMGVPEPDIMNKARSGDIRNCFADISKARELLGFEPRYHLENSLGPFVDW------------- 143108060 ----NVLITGGAGFIGSHLIDKFISRKYNVIAIDNLLTGTKKNLPFLKNENFTFLNLDVQNHIEISQDLDYVLHLASAASPKAYTEHPINTLKAGSIGTINTLGLAKAKNA-KYLFTSTSEVYGDPQISPQPETYWGNVRSMYDEAKRFAEAAVASYNRIYNLDTRIVRLFNTYGPNM---------------KINDGRVVTNFISQALRGQDIIYGKGDQTRSFCYVDDTVSGIIKAME----SNSPEVFNIGNPNEITILKLAEKIISLTNSNSSIKFVDLPEDDPMQRNPDITKANEKLKWFPEVLLEDGLMKTIAW------------- 144074106 --NKNIIVTGGLGFIGSNLIDLLIKKNYFIINIDKVSYSSNKKTNKYKFIKCDINNKNKLTKIFKTYKPIAIFNLAAETHVDRSIDSPKPFIESNIVGVFNLLEVFKAYVKNNLIHISTDEVFGDILKGRSDEGYPYKPSSPYAASKASSDHLVFSYIKTFKLPAIITNCSNNYGP--------KQHPEKLIPKLIYNILNNKNLPL--------YGNGKNSREWIYVTDHC---LALIEIFKKGKIGEFYNIGSNKNLNNLNITKLLLNIIGNKVKIKFVKDRPGHDLRYALNSKKINKDLSWRSLINPKKGLEKTFMWYLNNSHYFSS--- 300865720 LSEKRILVTGGAGFLGRNVIDRLVKAG-----------ANRDKISIPRSHDFDLRVMENCQRAAENQNI--VIHLAAHVGIGLNQQKPAELFYDNLMMGVQLIHAAYQAGVEKFTCLGTICAYPKFTPVPFKEEDPEETNAPYGVAKKALLVQLQSYRQQYGFNGIYLLPVNLYGP-EDNFDPKSSHVIPALIRKVHEAQLRGDKELPVWGDGSPT------REFLYSLDAARGIVMATQSY---NDSEPVNLGTNHEISIRDLINLVCELMGYEGEIVWQTDKPNGQPRRCLDTERARKAFGFTAEMDFKKGLKKTIEWYRQH--------- 162453060 -RGKRVVVTGASGFIGSHLVEALVREGARVRALVRYTSGSRRGHLDRLPEDVNVEDAGAVRSLVRGA--DVVFHLAALIGIPYSYVAPQQYVATNVQGTLNVLEAAREHGA-RVVHTSTSETYGTARYTPIDEAHPLTGQSPYSATKIGADKLAESYHLSFGLEVATIRPFNTYGPRQSS---------RAIIPSVMQQLAAGSAALRIGSTAPV-------RDLNFVTDTVAGFLLVGSSARAVGQTLNV--GSGRAISIGDLVRLIFEVTGKRAELVTDDARASEVMVLLADFRRAAELVGYAPRVPLEEGLARTYAYVERHPREYA---- 143191652 ----RYLITGGAGFIGSHLVSKIIGNAEKIIVLDNLLTGSEKNINKY----LDLSNFQFIHDIQNHYDPDCVIHLASCASPVSYAENPINTLKSGSIGTINALGIARKYNAS-FLLASTSEIYGDPKISPQHEEYWVGPRSMYDESKRFAEAATQAYITKYKVNAKIVRIFNTYGPNMKL--------DDGRVVTNFIVQALKNKDITVFGKGLQT------RSFSYVDDTVNGIMLASSYK----EPDIFNIGNDNEITINQLAQTIIDITNTKSKIIYKDLPKDDPLQRKPDLSKSKELLNYKPVIGLEKGLEKTVEWVKKN--------- 296122213 ----KCLVTGGAGFIGSHMTRALLNAGHDVTILDNLSTGQEVNLRPFRDHIGSITDSVLLSEVMLGQEI--VFHLAAAVGVKLVADDPVRTIQTNIYPTEELLRLAVQNRCRVFM-ASTSEVYGKNPKERWTEEDDLQPRWAYGCSKAIDEFLSLAYHRKYDLPVVIGRFFNVVGPHQIGHY-------GMVVPRFVDQALKG-------GPIVIYDDGSQVRCFGHVEEVVRSVIDLMHTPAAFGKVFNI--GSDQPVSVRQLAERVIALVGRPCEIKHIPYTEADVQRRVPDLTRLESTLGRKPVRTLDDILKDIIQW------------- 143231853 -SKKRVLVTGGAGFLGFHLCKKLLEKGYEVLAIDNFFSGFRDNIIEFEFVRMDVKSKMNLDLIFNLACPASPVHYQ---------KDPVETLTTCIQGAINVLNLANDNNATVFQ-ASTSEVYGDPKVHPQTENYWIGHRACYDEGKRCAETLFFDYHRQFNTKIKVARIFNTYGPRMSL--------DDGRVISNFISQAIKGEKISIYGN------GEQTRSFCYVDDLVDGIINL--TLSKSPLVGPVNLGNPEEIKIIDIAKKIIELTKSTSKISFHSLPMDDPMQRNPDISLAKDKLSWKPKITLDSGLLKTIDFFK----------- 136219412 -SDSRIFVAGHKGLVGSAIVRNLKAKGFTNII-------------TADRAQCDLTNVSAVKTLFMVEQPEYVFLAAAVGGIGANSDYPADFIYENLMIQTNVISTAALSKVKKLLFLGSSCIYPKFATQPITEDQLLRSNDAYAVAKIAGIKMCQSYRRQHGLNAIAVMPTNLYGPNDNFDLDSSHVLPALMAKFHGSLEKSEHWVVKLWGDG------SPKREFLHVDDLAEALYICMEKYDDE---EIINIGTGEDVTIKELAETIIDVTGYENYYEWDTSKPNGTPRKVLNVDKMKA-LGWEPKIGLREGIESTYEWYKE---------- 144200675 -SNNNILVTGGAGYIGSHIVEELIKDKKKVIIIDNLVTGYKKLIKKAKFIKADIKDESKISKILKENNISSIIHLAAYLNVSEAEKNKKKYYQNNIIGTQNLLKACKNSSVKNIIFSSSCSIYGNVRGS-VTENKKPNPEGYYAFTKFKGEQLIKEYSKKYKYKFAILRYFNVAGASSSLKIGQIEKSHGQLIKNVAIQYLKKKPVINIYGSDYNTKDGTCIRDYIHVSDLANIHIRSIKYLERNKKSITLNCGYGKGYSVKQIVDIFIKIK-KKVEVKFLNRRPGDIAQVYANTKKFKKILKWKPKYDIKLIIKSAISWEKK---------- 256756796 -KDSKIYVAGHNGMVGSAIVRRLQKNGY-------------ENILCKSHRELDLTNQTLTDEFFKDEQPDFVFIAAAVGGIHANNSFPADFIMENMLIECNLLKSAFKYGVKKLLFLGSSCIYPKLCPQPIKETGELEPTNEAYAAKISGIKMCQSYNRQYGTRFISAMPASLYGVNDRFNINNSH-----VIPSMIIKFHEAKVNNKPYVELWGTG--NPLREFLYVDDMAD---ACLYLMQNYEGNEFVNIGSGKEISIRNLAETLKQVTEYTGELVFDTTKPDGTPRRVLDNSKIHK-TGWVPRIDMEEGLRREYEYYLKY--------- 289643796 ----RIAVTGGSGFIGAHVVDRLADAGHDVIALD-LRTHRAD--PRADHRVLDVLNLPAVTSAFAGC--EVVFHLAGMSNVDHAFADPIRTVRLNVEGTANVCEAARVAGVRRVLFASTVWVYGADDPHPLTEDALVRAGHVYTSTKIAAELLLHSYQQTYGVDFTILRYGIPYGPGMR----------DELVLARFLRKALDGEPLTIAGDGQ------QFRNYVFVRDLADAHVLAL---ADSARNATIALEGDERVSVLAMAQAVRDHV-PGVRIEHVPARPGDFRGRPVSNAAAEALLGWHPTTRFAEGVRQYVEWYLADRRPTRDQ-- 294055829 --TQTALVTGASGFIGSHVAEHCLKLGMNVIGLDDNSGGIPENVPAGDFIEGSINDVDLVNRIFEDNSIDYVYHLAAYAAEGLSHFIRRYNYTNNLIGSVNLINAAVNHEVKCFVFTSSIAVYGTNQ-TPMTEDLTPLPEDPYGVSKFAVEQDLKAAEHMFGMPYIIFRPHNVYGERQ--NICDKYRNVIGIFMHQTMNGEA----------MSVFGDGEQTRAFSHIDDVAPYIAQS--TQVPAAYNEIFNIGADTPFTVLRIAEEVSQAFNSDIKIKHLEARK-EVVHAYASHDKLKRTFKTTPPVELSDGIQRMADWAKN---------- 143331106 -EKMKVLITGVAGLLGANFSRYLLSKGHQVVGIDDLSGGYSDSVPRVKFYEVDLTNLRSTSEVFAQEKPDYVFHFAAYAAEGLSPFIRNYNYTNNVLASANVINNCVNHDVKKVIFTSSMAVYGVGR-PPFTEDQLPTPEDPYGIAKYAIEMDLKLAHEMFGLRYNIVRPHNVVG------IYQNIWDRYRNVIGIWIRKAMVGEPLTIFGDGTQTRAFS----------DIKFYMKPFEQLMTDHDGEIFNIGADKYFTINEACDLVIDVLGIRATKVHLEKR-NEVHTAYCDHEKARRLLSFDDQTNLRDTVKEMFTWALKQPN------- 136164871 FSRKKFLITGGAGFLGSWLIDILIRFNAKIICLDNFSTGHLQKSSDFKLIDSDICNYMNFDGL------DGIFHFAGRASPDDYVRNQIETLRIGSIGIGNILELANKNNCF-LAYASTSEVYGDAKIVPTPEDYWIGIRSCYDEGKRFGESLCMAYKREKNTNVKIIRIFNTYGPRIRPDGIYGRAISRFIFQALNDRDIT------------ICGDGRQTRSFCYVTDT---ITGILKYVLSDCDKDVLNFGNTHEISINELVSMILQKTNSKSKVLYEKASPDDPRRRSPDISNAKKFLDWEPTINLDEGLTNMIRWMK----------- 141314918 ----------GAGFIGSHVIRLFVKKEYKIYNLDKLTYAGNESFKNYRFIKGDICNIKFLEKIFNKYKFDSIIHLAAESHVDRSISNPLDFVNTNVIGTVNLLNQFKEIHKSNFYHVSTDEVYGLGESGYFTENTSYDPNSPYSASKASSDHFVRAYGETFGLPYIISNCSNNYGP----------YQFPEKLLPLFINNILNNRPLPVYGDGNYTRDWLYVKDHACAIDILFHSNKIGHTYNIGGFNEWKNINLVKELCSQMDKKLNKEENSSESLIEFVKDRPGHDLRYAIDASKINKDLNWKPSVDFK---------------------- 143639155 --NKKILVTGGSGFIGSNLVRILLKKNYKIINLDILNYASYINNKNYKIIKKNIKNKKIIEDIIKKNKIDGIINLASQSHVDRSINSPEKFISENIISNLSLIDQINFFKRKNFFNISTDEVYGNIEKEPSDENFPLLPNSPYSASKASVDLILRSYNRTFNFPYINIRCCNNYGP----------FQFPEKFIPTIILNLIKKIEIPVYGKGLNKREWIHVDDFSDAIELI-YRKGKINNVYNVGSNIRIRNLDLIKKINKILNKKFDIVTKNKYF-KYVEDRPGHDYSYKINSKKLRNKLNWKTKMNIDQGLEQTISWYLRNSRWID---- 142881723 MDNSKVFVAGHKGLVGSAIVRHLEKKSFKDI-------------YWVRKENCDLRDKVKVDAYFEQAKPEYVFLAAAVGGIGGNSQYPANYIYDNLMIQTNVIDAAYRNGVKKLVFLGSSCIYPKFPKIPITEDQFEGSNDAYAIAKIAGIKMCQAYRKQYGFNAISVMPTNLYGPNDNFDINNGHVLPSLLAKFDGSLDKSEHWVVKLWGDG------SARREFLHVDDLAEALNVCMEKYDSD---EIINIGTGEDVTIKELAEMVVDVTGYKNYYEWDTSKPNGTPRKVLNVDKIKS-LGWEPKIGLREGLESTYEWMKNNRSNLRN--- 255038344 ----RYLITGGCGFLGSNLGAEVLKRGDELFVFDNLFRYGSEQNLAWKFYHADIRSYNDVEFAIRDAKPDVVFHLAGQVAMTTSLANPRLDFEINVLGGNNLLESVRKYAPEAITYSSTNKVYGDFDWVNFKEDIPLQFQSPYGCSKGATDQYMLDYAKMFGLKTIVFRHSSIFGGRQFSTFDQGWVGWFVRQAVDIKNGVLKDK-------FTISGNGKQVRDLLFADDLINCYFSAINTDQTVGEAFNIGGGMENSLSLLELFKILEGKLGVEMSYEELPWRQSDQKVFVADTSKAYSRFGWAPLISKNEGIDKMIEW------------- 197117251 ----KLLITGGCGFLGSNLAAHALKRGDELVVFDSLSVANRKWLEHFHFIHGDIRNQNDVTRLIQEFQPDAIFHLAGQVAMTTSIANPRMDFEVNVVGTHNLLEAVRLFAPEAVFYSSTNKVYGDLEQYSFDESTPLEFHSPYGCSKGGADQYMLDYARIFGLKTTVFRHSSMYGGRQFATY-DQGWIGWFCQKAAETRLGLLKEPFTISGTG------KQVRDVLHADDMITLYFGALERELLRGQVFNIGGGIENSLSLLELFELLQGHIGGALDYLKLAPRESDQRVFVADIGKAAALIGWQPRVSASEGVARMLEW------------- 229582895 ----RFLISGGAGFLGSHLIEYL--RDHEIVVVDDFSTAKYFELYSNVK-----LIKEKIENFNTNEKFDYVIHLAARPSPEDYTKYPVETMLSNSLGTYRTLEIARKSDA-IYMYTSSSEVYGNAEIIPTPEDYWIGIRSCYDESKRFSEALIMSYYREYGLDVRIQRPFNVYGPRLR------EDGSYGRVVSRFIYQALKGEDITIYGDGKQTRA------FLYVEDWVEATLKMLFTKGLKGEVINI--GSDKETRIIDLANMIITLTGSKSKIRYLPPRPDDPPRRAADISKAKRLLNWEPKISLEEGLKKTIEWFK----------- 136603817 ----KVLVTGAAGFVASHLVDSLLSGGHEVVGVDNFSTGNQAHLAEIKSFKFVERDIVTGLGDLENGKFDQIFHLASPASPPHYMRLAIETMHVNTTATELLLK-YATKHGSRLLFASTSEIYGDPHEHPQRESYWIGPRSVYDEAKRFGETYVSFFQREYAVSTVIVRIFNTYGPRMD--------PNDGRVVSSFIRDGIMGRPFQVFGD------GTQTRSFCFVSDLVA----GLRAAMDSGITGPVNLGNPNEFTLLELAAKVGSVLGIEPVLEFKDLPVDDPKQRQPDISYAKSALNWVPKIELAEG-------------------- 256833082 ----RVLVTGGAGFIGTNFVLWLLHTDVRVTVLDAMTSGSREDSVWDHFERGDVRDRDVLFPLVK--DSDVVVHLAAESHNDWSLENPDLFVDTNVMGTLAVLEAVRHYGV-RLHHVSTDEVFGDLDSGVFTATSPYRPSSPYSASKAGADHLVRAWTRSFDLPVTLSIASNNYGP----------YQHIEKFIPRQVTELLEGRPMRLYGSGV------HVRDWIHVSD----HCRALWAIVTGGEGKTYLIGGACEVSNSDIAALVLDQLPASEAVVHVADRPGHDVRYALDSRETTAELGWAPNIPLAQGIRDTITWYTNNRAW------ 120435575 ----KILVTGAAGFIGSHLAEKLHSMGHEVIGMDNFSGYYNVHLKRQPMIEQDIC-VDFLVTILPR-DFDYVFHCAAQPGIS-STSTFEDYLNNNIIATHHLTDANQHLNLKLFINIGTSSIYGS--DVYCDEEQMAKPVSNYGVTKLAAEQIAMTHSRLGNFPACSLRLYSVYGSRER--------PDKMFSQLIKCGLNGREFP-------LFLGSLTHKRSFTHIKDIVEGIVSVIGK-EKLCDGQIINLGTDKEYTTEEGMQVVEKLLKTKIQSKEKPARSGDQLRTKAVIIKARDLLNYNPTVLLEEGVQEQIDWFK----------- 141744023 LSNKTIFVTGGAGFIGSAVIRQLIKDTATVINIDKLTYASIDQDPRYVFEKVDICDRDELDRCFKQYKPDAVMHLAAESHVDRSIDGPAAFIQTNVVGTYTLLDAALAYWAFRFHHISTDEVYGLGETGLFTEETQYQPNSPYSASKASSDHLVRAWHHTFGLPVVTSNCSNNYGP--------YQFPEKLIPLMILNGLEGKDLP--------VYGQGTNVRDWLHVEDHADALITIMTRGV---PGEVYNVGGHNEKQNIEVVTGICDLLD------------------------------------------------------------ 143913680 MSAKSILVTGCAGFIGSHLTLRLLEQGHYVYGIDNFDNENCNRLFNYDNFKFKRQNVLELDVTFSGSNIDLVINLAALPGQILSWDNFELYVQNNLLSTEKIINFMKMNKVPRIIQASTSSVYGNINNG--DENFTTSPISPYGITKLASEHLIRSFSHINQFSYQILRFFSVYGPNQR----------------KDMAFAKFIRAISRNEEIYLTGDGNQIRDFTYVDDVSNIILKMVDLNLN---NETFNICSNSPIKLIESLNLIENLMNTKAKIHFRERVKGDQLITFGNNSKIKEALAYSQFTDITKGLENQIEYAHKF--------- 149925693 ------------------MVSALNGLDHQVIVLDNLSSGRRENIENWCLVEGDIRDQSLVENLLA--ETAGAFHLAALVSVPQSIERPTESFSINLEGTLNLLEASRKQGNKKIVFASSAAVYGNRHSYPVSETMAGQPISPYGLHKLMCEQHAELFANLYNVNSVGMRFFNVYGPRQD------PSSPYSGVISIFIDRLRRGLAPTIYGD------GSQTRDFVYVGDVVQALIKAM--NSKKQGFAAYNVGRGESVTINMLWQILCDVVGTNLPAKLGPAREGEIHTSLANISKIEAELGYKAEITLQEGLIKTYEW------------- 170747742 MSGRPILVTGGAGFIGANLSDSLAADGHAVIVYDILSRPGVEANLAWLRQRADIRDIQELGRAVAEAG--AVFHFAAQVAVTTSLVAPRADMEINLLGTVNLLEALRAQARPVLLYASTNKVYGDLPDIAIGETRPLDFHTPYGCSKGAADQYVLDYARSFGVPAAVMRMSCIYGPRQMGN-------EDQGWVAHFLIRALAGEAVTLYGD------GRQVRDILHVRDVVAVYRAALARIDDAGHAFNVGGGPGNAVSLLQLLDHVGTLLGRPVSRSFQAWRPGDQRYYVSDTRRAVARLGLAPFTGWRDGVADLAGW------------- 159037773 ---RRCLVTGGFGFLGSHVVERLLHRGDEVVVYDPAGPPPDLRAPAGRLRHVDVRDAERL--ITAAEGVDEVYHLAAVVGVDRYLRRPLDVVEVNVGGTHNAL-RAARRAGARIVVSSTSEVYGRNPRVPWREDDDRTDRWSYSTSKAAAEHLAFAYHRQEGLPVTVLRYFNVYGPRQRPAYVLSR----------SIVRMLRGEPAVVYDDGRQTRCFTWVDEAVEATLSA--------AGLPRAVGECFNIGSSVETTIGEAIRMVGSVAGAPGPALTVPTGAGDIPRRLPDCGKAAALLGWRARMPLLEGLGRTVEWARRNPWWTAQ--- 136319024 ------------------LCLKLLDRGDEVVGIDNHNDYYDPTIKEYIHLRIDLKDQEAINKTFLSYKPQIVVNLAAQAGVRYSIENPLAYINSNIVGFTHILEGCRNHNVKHLVYASSSSVYGANTQMPFSVHHNDHPLSLYAASKKSNELMAHTYSHLYNLPTTGLRFFTVYGP----------WGRPDMALFKFTKAILEGKPIDVFNNGKHKRDFTYIDDIVEGIIRVNWDSDKPDPGTSKAPWKLFNIGRNQPVELMDYISALEKALGKKAKMNMKPLQPGDVPDTYADVDDLVKNFEYKPKTEVEVGVANFVEWYLKYIKN------ 227879363 ----NVLVTGGAGFVGSHIVDELVASGYDVTVVDNLTEQVHDDEPDYLNDEGDVRDRELMTELL--EEADVLNHQASAVGVGQSMYEIEKYVEVNTLATARILDIIVNEDIEKIVVASSMSIYGEGAYHCPEEDTLHYPTSVYAISKKDQEELTLSVARSYDIPAVALRYFNIYGSRQ------------ALGNPYTGVCAIFSSRIKNDNPPLIFEDGEQTRDFIHISDIARANRLAMER--DEAEDVALNLGTGNPKTITEIAETLIDLYGKSDELEPEDFRQGDIRHCYADTSRAAELLDFKPSVTFEEGMRELVEW------------- 142614889 ---RKILVTGADGFIGSHLTEILLERGFRVRAL----SYYNSLNHWGWLEDVDVRDSHFCMHILK--DVDLVFHLAALIAIPYSYIAPDSYVDTNVKGTLNICQAALKNGGVRVVHVSSSEVYGTAQYVPIDERHPKQPQSPYSASKIAADAMAMSFNNSFELPVTTARPFNTFGPRQS---------ARAIIPTIISQIASGMKEIKL-------GDLSPTRDLNFVGDICGGLIAIAESEATIGKEINISSC--REISMGEVFDLISKIMKAEVRAVNDKERNSEVFRLLGDSSLLKELTGFSQSYSFEDGLRITCDWISK-PKNLEK--- 140721577 MKS---LVTGGAGFIGSNLVDKLIELGHEVVVIDNEFSDVHEQFYWNPNYKYDIRDYQNTRPLYDGV--DYVFHIAAEARIQPAILNPIEAVSINCVGTATVLQCSREAGVQKVMYSSTSSGYGFNES-PNHENQPDDCLNPYSVSKVAGEKLCKMYTKLFGLKTVVFRYFNVYGERQ------PLRGQYAPVVGIFLRQRASGEPLTIVGD------GEQRRDFTHVSDVVQANILAATKDVEDKYGGTYNVGCGVNYSVNEIANAI-----SDNQINI-PPRIGESRVTLANNGKLRTIFGWRSQV------------------------- 143708955 ------LVTGAAGFIGSNLTDYLIGQGHQVVCVDNESADNDKFHWNGKNVQGDITDYKFMRNVFN--KVDYVFHLAAESRLQPAIQNPIEAVHKNCVGTTTMLQCAREAGVKRFVYSSTSSGYGNNP-FPNDETQPDDCLNPYSASKIAGEKFCKMYYNLYGLETVVLRYFNVFGQR-------------SPTKGQYAPVIGIFRRQQNDGEALIVGDGSQRRDFVHVQDVARANYNIAKFDGHDYTGEVFNIGSGKNYSIQDLADVISD------NQIYIPERKGEMHTTLADISKVRNIIGWVPEIDVMEWLK------------------ 196233904 ------LITGVAGFIGSHLLEALLNLDQDVEGMDDFSTGSRNNLDEVRARVGEVANGEACRS--ACAGVDYVLHQAGFVSVPLSLENPLACHETNVTGTLNILIAARELGVRRVVYASSSAVYGNDARLPKIEAEIGQPLSPYGASKRMGEIYGQIFADQFGVESVGLRYFNIFGPRQN------PAGGYAAVIPQWIRRLLHGEDCVINGHGGIT------RDFCPVADVVQANLLAATSDLPSKAARVFNVALGGSTTLDQLHAMLASATTSLGGIRYGPPREGDIIHSAADITAIREALGFEPSTSLATALEETTRWYADH--------- 71065217 -EPKTWLITGVAGFIGSNLLETLLLLNQKVVGLDNFATGFQHNLQGFTFIEGDIRNLADCQA--ACIGIDYVLHQAALGSVPRSIADPINTNETNISGFLNMLTAARDAKVASFTYAASSSTYGDHPALPKVEDAIGKPLSPYAVTKYVNELYADVFARTYDFNTIGLRYFNIFGKRQTP-----DGAYAAVIPKWTAAMIAGD-------AVYINGDGDTSRDFNFIENAVQANILSATA-TDEAKNEVYNVAVGGRTTLNTLFTALHENLNYTQEAVYRDFRAGDVRHSQADISKIQNALGYAPQFDIVQGIEKAMPWYVKF--------- 136193088 -----ILITGGLGYIGSHVAHILYNHGYINIIIDNLYSGLMENNKYGLFFNIDILDIETMENIFKKYKIDCIIHLAGKAFVNESFINVNSYYNTNVIGTCNILNLLTKYNISKIIFSSSCAVYGNPINNPIEENTQLKPISPYGNTKKICEDIIIDYANTYKFNYVILRYFNVAGNEPGYTVKDN-LNNKKRIIPTIINNIINNTPIFINGTNHNTPDGSSIRNYIHVLDIADAHLKSIKYFELQTNNLICNLGTDETYSIIELINITKKLLQKNNLLIHDP--------------------------------------------------- 295135095 ------FITGAAGFIGSNLSLALLQLGHQVTGIDNLNDFYDVNLKFIDFYKVDLCEKSELEQL-PVHNIDFVIHLAAQPGLDP-HTSFNSYLQNNTIATHNLLEYCENFHAKHFINISTSSVYGLTAQG--DEQQVPQPVSYYGVTKLAAEQLALSYFRNEMLPVCSLRLFSVYGPRERNDKMFPKLIAAALLQTSFHLYSG---------------SLEHIRSFTYVEDIVEGIKKLIENYEN-CYGEIINLGSNETYTVKECIHLVENLTHSSIHLKQLPARNGDQKSTKAQIEKAGRLIGFKPATTLQSGIKQQIQFFKE---------- 167412347 ---KNILVVGGAGYIGSHTLKHLLDNNYNCIVIDNLIYGHKQAIKRAKFIHADLLDTFSLTSVFKQEKIDALVHFAAFAYVGESVVNPAKYYQNNVVGTINLLNAMLENNVKDIVFSSTCATYGEPQYTPIDEKHPQNPINAYGRTKLMIEQVFADYEKAYGLRHISLRYFNAAGASKDGLIGESHEPETHLISFSFKSYKRKKFP------------------------------------------------------------------------------------------------------------------------------- 302874842 ----NILVTGGAGFIGRWVVKRLTEDNHNIWILDNLANSSIDNIKDITFIEGSIMDTNLLETLF-INKFDICYHLAASINVQDSIDDPKTTFENDVIGTFNVLQQCKINN-TKFVYMSTCMVYSKALNIEISESHPTCPASPYAAAKLAGENLALSYYYAYGLPTTVLRPFNTYGP------YQKQNSEGGVISIFIDKKLMNKP-------LAIYGDGKQTRDFLYVTDCADFVVEAGYSEKTNGK--IVNAGSGVDISITELAKTIAGETGTIKYVTHIHPQ-SEIQKLLCDSRYSKKILGWSPKVSLVEGISKT---------------- 139754975 ----------------------------------------------------DIKDLNKVKKILRKYQIDSIIHLAAHLNVSEAEKNKKRYYSNNILGTLKLVEACKNSKVKNIIFSSSCAVYGSI-NGSVNEKKKPNPQGYYAFTKYKGEQIIKKYAKKYNYRYAILRYFNVAGASKSNKIGEIQRSYGHLIKNLAIQSLKYRPKIFVYGNDYNTKDGTCVRDYVHVSDLADVHIKSLNHINLKSKSLILNCGYGKGYSVLDIVNIFKKKK-KKLIIEFTKRRPGDVAKVFADTKKIKRILKWRPKYNLNKILQSAFQWEK----------- 196231058 MSN-TILVTGAAGFLGRYIVRQFLREGWEVVAVDDVPPENAPAGRGMRFERMSLPD-GRLPELLKRAAPRACVHCAGRASVPLSLSEPAADFQANTVLTFEMLDARRHAPTCRFVLLSSAAVYGDPVTLPVTESHAVQPISPYGYHKRQAEILCEEFARVYGLPTVSARIFSAYGPGLR-----------RQVVWDVCERLLNTGSLSLRGTGEET------RDFIHAQDVARGL-CLLATADAAGRGETYNLASGREVSMRELAAIVAHALQSSAVPGFDGQTPGNPLKWCADLTKIRA-LGFAPEISFEQGV------------------- 303325447 FSGANVLITGGAGFIGANLARHLVDAGATVTIADSLEYGGNLANLEGFRHKVDVRDTHALSHLIRGQDF--LFNLAGQTSHMDSMSDPFTDLEINAKAQLSILEACRHNPGVKIVFAGTRQIYGRPDHLPVDEDHPIRPVDINGIHKVAGEWYHLLYNNVYGLRSTVLRLTNTYGP-------------CMRIKDARQTFVGIWIRLILEGKPFEVWGGEQLRDFTYVDDCVAAL--LMAAANPKADGRVYNLGGNSVVSLRELAATLIAVHKGGSYVLREERKKIDIGDYYSKDARIRGELGWRPTTSLAEGLK------------------ 135033304 MKS---LVTGGMGFIGSHLVKQLRELGHTVICVDNANPDDFHYDDSVNRVKGDIRDYALMKTLMEGV--DYVFHLAAESKIGTCIDNPIQAASTNELGTCTLLQAARENNVKRFIYSSTSAAYGGNE-CPNVETQPDDCLNPYSVSKVNGEKWCKTYTDLYELKTVILRYFNVYGPGQPT------SGQYAPVMGIFTRQRDAGDPLTIVGD------GEQRRDFIHVSDVARANIMAISIQDDEYYGQVYNVGSGINYSVNEIASMISDNT------INIPARPGECRVTLANYDKIHDAFGWNPQIKLDE--------------------- 218296664 -KGAKIYVAGHRGLVGSAILRRLQAEGYQNLVL-------------RTRKELDLTDQRAVYRFFEEERPEYVFLAAAVGGILANATYPADFIRENLLIQTNVIDAAYRYGVKKLLFLGSSCIYPKYAPQPMKEEGPLEPTNEAYAAKIAGIEMVQAYRRQYGFNGISLMPTNLYGPGDNFDLETSHVIPALLRKFHEAKVSGRW-------EVVVWGTGTPRREFLHVDDLADAALFLMRHYDGE---EIVNVGVGEDISIRELAELIAKVVGFRGKIVYDTSKPDGTPRKLLDVSRLFS-MGWRPRIPLEEGLRQTYAWFQAH--------- 22298175 LSQKRILVTGGAGFLGRHVVAQLQVAGAVP-----------EQITVVRSRDYDLRQLSACQAVVQGQDI--VIHLAAHVGIGLNQAKPAELFYDNLLMGAQLIDCAYRAGVEKFVCVGTICAYPKFTPVPFKESDPEETNAPYGIAKKALLVQLQAYRQQYGFNGIYLLPVNLYGPGDNFDPQSSHVIPALIRKVHTAQQQGDPR-------IAVWGDGTPSREFLYVEEAARGIVMATQAYDHPDP---VNLGTGEEITIQNLVALICELMGFQGQIEWQTDKPNGQPRRCLDTTKAREAFGFRAQISLKEGLKRTICWYRQHATSL----- 136059533 ------------------FSLACLKKGFKVVGIDNFSNSTAKNVNDFSFIEADLADFEEVFAKLNQIEISIVVHFAGLKAVGESQVFPFKYWENNLVSTMNLIKFMTKKNIRKLIFSSSATVYGNSEIQPLTESNSIQPTSCYGSTKIANEFFLHDIANNDEIDVISLRYFNPVGSHKEKIIYEEFDQPNNLMPRILRVAKGLDEKLLIFGNDYDTNDGTGERDYIHIDDLIEAHIKSIEKIAALKGYNFFNIGTGIKHSVLELVSTFEKANNLKISYEFAKRRDGDVPVCFANPSKAEKILKWKAKILFLK-CAKTLGMQLKNYES------ 302389089 -KGAKVYVAGHKGLVGSAILRKLQAEGYSNIV-------------TRTHQELDLTNQQEVYRFFETERPEYVFLAAAVGGILANSTYPAVFIRENLLIQTNIIDAAYRYGVKKLLFLGSSCIYPKFAPQPIKEEHALEPTNPYAIAKIVGIKMCQAYNKQYGTNFISVMPTNLYGPGDNFDLETSHVLPALIRKFHEAKVAGAP-------HVVVWGSGTPRREFLHVDDLADA---CLFLMNNYDSSEIINIGVGKDLTIAELANLIKEIVGYKGEIVFDTSKPDGTPRKLLDVSKLFN-LGWRPRIRLEDGIRSTYEWYMQNY-------- 135299509 ----KILLTGGAGFIGSHIADELIERGDEVVIIDNLSTGSKKNINKGKFYEMDICD-EKISEVFEQENLDYLCHHAAQINVRASVEDPAEDARINILGSLNLLQNCVKYGIKKVIFASSGAMYGDTQRIPTNEEHSEFPICPYGVAKLSIEKYLYYYKEVFNLDYIALRYANVYGPRQNS------KGEAGVVAIFCDKILSGENP-------IINGDGLQTRDYVFVEDVRDANILALD----SSQSGVFNIATAKETNVNELYEKIIDNFDQKVDPVHGDIKKGEQKRSCLDYKLAQE--------------------------------- 139732263 ----KVMVTGGAGYIGSITAEVLLAHSHDVLVFDNLFQGHRDAVPDASWVQGDLAAPDHIREAIAAYRPDAVMHFAARSLVGESMAYPFPYLRDNVANGLNLIEACVEAGVGRFILSSTANLFAQAGSALIDEHSPIAPGSPYGESKWALERALHWVSESHGLRYASLRYFNAAGA--SEMRGEHHEPETHLIPLVLQVASGHLEHITIFGDDYDTPDGTCIRDYVHVLDLAEAHVLALTDLKEH--NRIYNLGNGAGFSVRQVI-------------------------------------------------------------------- 136828538 ---KKVIVTGGAGFIGSHLVDKLISKGVTVIVLDNLSSGKEKNIPKATHINVDLSTMDTKKFHWELYNVDTVFHLAATPQVQYSIENP--TDNNNLDSLINILDLSRVIEAKRFVFSSSSAVYGNPTYTPIDENHPLNPLSPYALHKLIGEQYCKLYSNLYNLDTACLRYFNAYGNRMPNKGAYRSVISIFMEQTEKKQLLN------------IVNDGEQKRDFVHVEDIVQANILCAESKNNL-NGEIFNVGTGKAFTVNEIANMF------GGEKKYGELRI-EPKDSVAENAKIILDLDWSPT-------------------------- 135590647 ---------------------------------------------HYQFEKLDIRDQDHLNRVFNVFKPDGIIHLAAESHVDRSIDRPSDFVTTNVIGTYNLLEYVRSAGIVRFLHVSTDEVYGLGSSGLFTETTAYNPNSPYSASKASSDHLAYAWHKTYDLDVVITNCSNNYGP---------YQYPEKLLPVVIHNGLNKQP-------IPIYGDGKNIRDWLFVEDHADALIQVL---LKAEKGEKFNIGGNQELTNMSLVKMVCEILDHDTLITFVTDRPGHDRRYAIDSTKIKTKLGWQPKYDIRHGLKTTVEWYLSNGDWLES--- 135161513 -----VLVTGATGLLGGWLVRRLLAHGAEVTCLVRDQDPGAPLHAEGWTVRGDVTDQALLERIIGERGVRAVFHLAAQTLVGVARRNPVSTWDSNIRGTWALLEACRRSPVQAVVVASSDKAYGDHHGAAYTEDLPLRPVAPYDVAKACADLIAHSYAETWDVPVAITRCGNFYGGGDRN----------------WSRLVPGTIRSVLRGDRPVIRSDSPVRDFLYVEDAVKAYLRLAERLRDDAGGRAYNFSHEHPVTVADMVTLVLAVMESDLEPLVLGEAHDELPFQALDTTRARTELGWEPETDLAEGMARTVAWYRGH--------- 240990186 -DKKRILVAGGAGFVGSHLVDVLMQQGHQVTVLDNFFTGSKRNIHWLGHHNFELIHHDIVNPFFIEVDF--IYNLASPASPPHYMLNPVKTIKTNTLGTINMLGLARRVGA-RLLITSTSEVYGDPEVHPQHEDYWIGPRSCYDEGKRVAESLCYAYQKQVGTEIK--------GLHPDFLELRL----KQNLAHLTNMFSTFQVPYSHRSNMRVIYEWGLCACSSAEHVYSLPLNSMISCVSSVNFAIYTGLINVVAHTIEDFAKIIKTLVGGTSKIVYTDKVEDDPQRRRPDITRAKTELKWEPKVELQDGLKKTVEYFRKNSKSFRN--- 284164510 LRGKTVLVTGAAGFVGNHLVDTLVDHN-TVLALDHFTNSRDRLADDATIIEADIRNETALRR--AMEDVDIIFHQAAARGASASIEDPVGTQAVNVDATLTLLEAAREVDA-RVIVASSAAVYGEPADLPVHETDPKQPTSPYGVQKLTVDQYARLWNDLHDLETVVLRYFNIYGQRAS--------------DTAFRGIVGTFIEQAREGQLTILGDGSQTRDLVHVSDVVQAN---LRAATTQHTGDAYNVGSGARTNIGDLARHVREHVNPDAELTYASERQWDIQDSQADLTRARTRLNYEPTVDLERCLQ------------------ 136819912 -KDRNTLVTGASGLLGGWVAKKLHEDGANVICLIRDEVPHSELVRSGTVVKGDICDQSLMERVLGEHEIDTVMHLAAQAIVGIANRNPVTTFEANIQGTWSVLEACRRSPVRSVVVASSDKAYGDQKILPYYEDTPLQGLHPYDVSKSCADLITHSYAHTYGLPVAITRCGNFYGGGDLN----------------WNRIVPGTIRSIINGEKPIIRSDGNFRDYLYVEDGAAAYMLLAEELIKNPKGEAFNISNEHPITVIELVDKILLQMDSSLAPEIRNEASNEIREQYLDANKFKNMLNWTPSFDLESGIKETVQWYLDFFKILKS--- 145244287 ---RRVVVTGSAGFIGSHLAHALVRAGTTVIGVDRRDAANLAGLRGRPGYHHVTADLLHCAIDPLLIDAEAVFHLAGIPGVRPSWPQFGDYLASNVLATHRVLEASTRIGVPRLVVASSSSVYGPTDGDASRETDRPNPASPYAVTKLAEEQLCLAYERPVGPGVVALRYFTVYGPRQRA----------DMFTHRALYAALAGQPLRLYGDGH------QRRDFTYIDDVVA---ATIAAGVVPNAHGTINVGGGSNASLLDVINIANSLTGREIQLHQDHVRNGDVLLTRADPGRAKEVLGWQPRVDLHNGLR------------------ 143163038 -SNSKIFVAGHKGLVGSAIVRNLQSKGYDNIIILNRS-------------QLDLTNQKDVKMFFMMEQPEYVFLAAAVGGIMGNKNYPADFIYENLTIQSNVISSASMVGVEKLLFLGSSCIYPKFPKIPITEDQLEESNSAYAIAKIAGMRMCQAYKEQYGLNAISLMPTNLYGINDNFDLENSHV-----LPAMIRKFHEAEDKVILWGDG------SAMREFLHVDDLAEACYVCMQKYE---DDEHINVGTGEDVTIKELAETVAKVVGNKS-IEWDTSKPNGTPRKVLNVDKIKS-LGWEPKITLEEGIKSTYEWY------------ 135087733 ----RVLVTGTAGFIGFHLAKLLVEEGVVVHGYDGMTDYYDVALKQCRHAEGMLEDQALFDSVADTFQPDVIVHLAAQAGVRYSLENPRAYLDANVMGTFNVMEAARRLQVKHLLMASTSSVYGANTDMPFVETEKADTLTMYAATKKANESMAHAYAHIHDLPTTMFRFFTVYGP--------WGRSDVAYYKFIDAILEGR--------PIDIYNRGDMYRDFIYVTDLVGAIRLLINAIPQRPESGVVNIGNSDKVRLLDFVEAIEDCLGKKAGRNYMGMQTGDVYATWADAGLLQRLTGY----------------------------- 135532198 --SKKVLVTGCAGFIGYNLSIEMLNEGNEVYGIDNLNSAYSTTLKKARIEKIDLSDVNSLDTIEKNF--DTVVHLAARAGVRQSFLNPEVYIKDNTLSTANISNYVKNNGIGKLILASTSSIYGDSGSHKVSEDELDSPPSVYAATKIAGENISKTILEDSETVISIPRFFTVYGP--------WGRPDMSILRFIYWIQSG--DSLRLYGNGEQKRAFTYVNDVVDA----------LKKLIDKDISGTFNIGSDKTNTLNEVISLIEEKLDKTADIKYLNRAYRDVDVVVPNLKKAKEELGWEPSTPLSKGIESTVAW------------- 91200270 MKNKKILITGGAGFIGSHLIDLLLDKDFKVTCVDNLYLGRKENIKHQLHYKFDVLNFKKLNDIFRKERFDAIFHLVANSDIKQSAAQTDLDLKLNFMSTYNVLEAMRLNNVNQIVFASTSAIFGETDEVITEDMGPLIPISFYGASKLAAEAYISAYVHNFGTRAWLIRFPNVVGERATHGI----------LFDLMNKLKENQNTLNVLGNGKQEKPYLYVKELVEGLIYVWQNANENYNYFNLGSDSTIKVSGIVEILIDE-----LNLKGRTQIKYSGGDRVGDVPKFKYSLNKANK-LGWKANLNSEQAIRLTVK-------------- 89073285 ---KTWLITGVAGFIGSNLLEKLLKLNQTVVGLDNFATGHQHNLDRFTFIEGDIRDYKTCQ--LAVKDVDYVLHEAALGSVPRSINDPLTTDSVNIGGFLNMLTASKEEQVKSFTYAASSSTYGDHPALPKVEENIGNPLSPYAVTKYVNELYAGVFARTYGFKTIGLRYFNVFGKRQ-----EPNGAYAAVIPKWTSAMINNE-------EVFINGDGETSRDFCFIENTVQMNILAATASEEAKDSV-YNVAVGDRTTLNDLYRSIQSALAENNIPTYRDFRAGDVRHSQADITKATNQLGYQPEYRIFAGISKAMPWYKKF--------- 299469656 ---QNILITGGAGFIASHLVILLVEKQYNIVVFDRLDYCNLDCIAQKPFVKGNICSSDMVTYVLREEKIDTILHFAAQTHVDNSFGNSFQFTQNNIYGTHVLLESAKKVGIKRFVHVSTDEVYGEGEQEPMFEDNVLEPTNPYAATKAGAEFIAKAYFRSFNLPVIITRGNNVYGPHQYP----------EKLIPKFINQLMRGKKLTIHGT------GSNKRNFLHVADVATAFEKILHAGV---EGSIYNIGGTNEHSVLKVARDLMRIFELPSETEFGDDRVFNDLRYTINSDKL-AALGWKEEKTWEQGLKETVEW------------- 136194131 ----KCLVTGAAGFIGSNLVDSLIKLGYEVIAIDNESAESNEEFYWNDNYKYDIRDYENTRPLYDGV--DYVFHLAAESRIQPAIKNPINAVSLNCVGTCTVLQCAREANVKKLMYSSTSSGYGFNPY-PNVETQPDDCLNPYSVSKIAGEKLCKMYTDLFGLKTIVFRYFNVYGER--SPIRGQYAPVI----GIFLRQKNSGEPLTIVGD------GEQRRDFTHVSDVVQANILAATTDVDEYYGQMYNVGNGVNYSINEIANAISE------NQVNIPPRIGEARTTLANSDKLRTTFNWKPEV------------------------- 254518142 --NKNVFITGGTGFLGSYLVKKLVNYGANVTILVRDNIYRGEEYKKVNVVHGTLEDYLLIERTLGEYEIDTVFHLAAQAIVGVANRNPLGTFKSNIEGTWNILEAARKSPIKRVIVASSDKAYGDQEKLPYDENMPLQGKHPYDVSKSCADLIAQTYYETYKLPVCITRCGNLYGGGDLNF--------NRIIPQSIQSILNNKAP-------VIRSDGSFIRDYFYIEDAVDAYINLAEKVVELNGGQAFNFSNEIQLTVLELVNKILKIMGSSMKPIILNQGSNEIIHQYLSAKKARTILGWSPNYTIDEGLSKTIEWYKDF--------- 227515858 ---KTYLVTGGAGFIGSNFILYMLRNDIRIVNMDCLTYAGNEDDSRYSFERVDIRNADEVSRVLRTYKPNYVVNFAAESHVDRSIETPQIFVETNVLGCVTLLNCCKKLWDHRFLQVSTDEVYGAAHTTYFHEDTPLCGHSPYSASKASADMLTRAYWDTYKFPALVTRCSNNYGP---------YQFPEKLIPLMIHNALHHK-------ELPVYGDGLNVRDWLYVDDHCKAIDMVLQRRLGNAYNVGGHNERSNIYIVKTIVEHVRNVTGTEDLIRYVGDRKGHDRRYGIEPTKIKDELGWYPETTYEDGIIKTIDWYLSHQAW------ 138385966 --------------LGSNFIRILGKLNCKVINVDKLTYSGNLDNLSGVKEELDIADKDKIKEAIMKFEPDVIINFAAESHVDRSIDNCSDFINTNILGTINLLEASLTYYNFKFIHISTDEVYGSLEKTSFSEDSTYAPSSPYSASKASSDHFVNAWNKTFGLPTIITNCSNNYGP--------FQFPEKLIPLMIINSINENKLP--------VYGNGDNVRDWIHVDDHCDAIIRIIE---NGKVGEKYNIGGNCEKRNIDIVEEICDIVDVLCPIEFVDDRPGHDYRYAVDSSKISNTLNWIPKVNFNDGMTSTVKWYLDN--------- 148657967 -RGSRVLITGGMGFIGSNLAHRLVELDAQVTLVDSLIYGGNQRNIAGIEHRVDVRDEYSMNYLVQGQ--DYLFNLAGQTSHLDSMTDPYTDLEINCRAQLSILEACRKHNPNKLVYASTRQIYGKPDYLPVDERHLLHPVDVNGVNKMAGEWYHILYNNVYGIRACALRLTNTYGPRMR------VKDARQTFLGIWIKRLIDEEPIQVFGDG------SQIRDFNYVDDVVEALL--LAGASSAADGGIFNLGSDETINLRDLAALLIDINGGGSFVPFPPDRKADIGDYYADYRLIQGRLGWRPKVPLREGLRRTLEFYRR---------- 136649898 ----RCLVTGGQGFIGSHMVEFLLEKNHSVTVIDDQSTDFYVFRGDVKYHNASVTD-ENTHELYN--DIDYVFHFAARSRIQPSLVNPRETFENNVLGTQSVLQASRKHGIKKVVYSGSSSFYGRANVPPHVETMQSDCLNPYSLSKFQGEQVCKMYSQLWELNTVILRYFNVYGPREPLRGVYAPVIGIFKRQRDTNQKIT------------VIGDGEQRRDFTYVKDVVNANWL---AATSDVKHDIFNIGTEKNYSINQVADLV---TGEKQYIK---PRPAEARETLANISKAKRLLNYSPKYSLEDMI------------------- 156057491 -----ILVVGGLGFIGSHTVWELAKAGYNVAVVDNLSNGKNGHKPSLKFHDADFRNINKMTMILKEYDISGVIHFAAYKAVEESIKQPLKYYSNNVGGLVDFCALLQEFEIKKMVFSSSATVYGTDSGVPLREEYVVGSTNPYGRTKWMCEAILSDANSDPDWEITALRYFNPIGCDESGLLGEDPRAATNLMPVVLRVLTGALPALNVYGDDYDTHDGTAVRDYIHVTDLARGHLAALSNRPN-GGFKVYNLGTGQGYSVLDVVNAMEQATQNKIPINIVGRRKGDVGKCVALANKAEEELMWKTEKSLADCCKDLWRF------------- 139449053 -QNTKVLVTGATGYVGSHVVKYLNQKGYKTVGIDRNIAQRKDQLPETTFYEAMHGD-ESVDEVFSKEKPDVVMHLSASSLVGPSVTNPHKYYDNNVSGTIHLLGKCVEYGVNKFVFTSTSSVYGNGHKPPISEDVEKRPLTSYGGSKLMIEFILTDYFKAYGLNSVTLRLFNVCGADPEHKLGEVKNAPTHLIPSVMDTALGRRDVFKIFGTEYDTRDGTAIRDYTHVWDVAKAFEMSIGFMNNNAGDHVFNIGASGGHSVQEVVDAMER--------------------------------------------------------------- 163782008 ----RVLITGGAGFIGSNIAFALQEKQAKVYLLDDFSSGHFKNLIRGEVITGDIRDRELWEELRRRHAFDVIYHEAAITDTT--VTDQKLMMETNADSFRYILDSALEWGA-KVIYASSAGVYG-NTVPPMREDSGLEPENVYGFSKLMMDYIARDYLERYPLKVVGFRYFNVYGPRES------YKGKTASMIYQLAVKMMHGQKPRIF------KWGEQRRDFVYIKDVVKANLLALERDV----SGVFNVATGVARSFNEIIEILNRELGTDLETEYFDCPYDYQEHTEADISRAKSELGYDPEYTLEEGIKD----YLRHIKA------ 134983806 MKS---LVTGGAGFIGSNLVDALLDMDHEVVVIDNEYSDAHDHLYWNDNYKYDIRDYENIRPLYDGV--DYVFHLAAEARIQPAILNPIGAISINSVGTCTVLQCAREAKVKKLMYSSTSAAYGMN-SQPNVETQPDDCLNPYSVSKVNGEKLCKMYTDLFGLDTVIFRYFNVYGERQ---------PVRGQYAPVIGIFLRQLASGELL---TIVGDGQQRRDFTYVKDIVNANIMVISNADKEAYGQVYNVGSGINYSINEVASMISK------DVKHISPRVGEARVSLANVDKIYETFAWKPQQDLEEWIRK----------------- 136626554 -----------------------------------------------PFYKQDIADKEAIMEIFAEVRADCVINLAAQAGVRYSLIDPDAYANSNLTGFLNILEACRQQEISHLIYASSSSIYGSNDDKPFKEHNTDHPVSLYGATKKANEVMAHSYSHLFQLPTTGLRFFTVYGP----------WGRPDMALFLFTKAILENKPIDIYNHGKMIRDFTYIDDVVQAINNADYNTFQPDASTSNSPWRIFNIGNGSPTPLMDYISALEKALGKTAEKNFIPVQPGDVLATAADTTKLENWTGFKPSTSIEQGVENFVKWYVSYYNN------ 135067305 ISGKSVLVIGGAGFIGSHVVAELLRTDDRVVVFDNFTRGKYSHLVEAFVDGGDIRDVDVLGAAVA--QADGVIHLAAMW-LLHCKDYPRTAFDVNIQGTFNVLEACVNNNVERLIYSSSASVYGDAVEVPMTEDHPFMNRNFYGATKIAGEAMCRAFHDRYGLSYVGLRYMNVYGPHQD------QTAAYTGVIPIMLNKIDAGEAPVINGD------GSQAYDFITVQDAARANVLALQSDVKD---EFYNVGTGVQTSIKELCDLILDLRESDLEVSYHPYSVEDARRLVGSPDKAARDIGFSYVDDLVTGLTNLINW------------- 140297962 ----RYVVTGGAGFIGSNIVKKLVGRGDSVTVIDDLNTGKEENLESVRSEEDTILNTNLLEK--ETEGVDGVFHQAALASVQDSFSKPEEYHNVNVNGTENILKL-AEKNKFKVVYASSSSVYGNPERIPIKEEDSKNPINPYAKTKLEKEELAKKYSKL-GVSVIGLRYFNVFGKGQS--------KEYAGVLKLFLERIRDGLPPKINGD------GTQFRDFVYVEDVANANIMSMDSNV---DHDFFNVGTNTSITILDLAKTIIKFSDLEIEPLFGPELKGDVKRTVADINLIKDKVGWKP--------------------------- 220905658 --NKNILVTGGAGFLGKQVVAQLLAAGAD-----------KERITIPRSQTCDLRDLQACQQAVSGQDI--VIHLAAHVGIGLNREKPAELFYDNLMMGTQLIHAAYQAGVSKFVCVGTICAYPKFTPVPFREEDPEETNAPYGVAKKALLVQLQAYRQQYEFNGIYLLPVNLYGPEDNFDPGSSHVIPALIRKVHLAQQQGVK-------QIPVWGDGSPTREFLYSEDAARGIVTATRLYDGE---EPVNLGTGMEISIRDLITLICELMDFQGEIVWEADQPNGQPRRCLDVQRAKEWFGFEAQVSFKEGLQKTIAWYRQYGR------- 255008342 ----RYLINGGCGFIGSNLAAEVLRRGEELFVLDNFSDANFQWLRSKGDFKFDTRNTNDVETIIKEVKPDYIFHLAGQVAMTTSISNPRLDFETNALGMFNVLDAVRKYSPNVILFSSTNKVYGDFENFHFREEEILDFHSPYGCSKGAADQYMLDFNRIYGLKTIVFRHSSMYGSNQ-------HATYDQGWIGWFCQKALEIKKGILQESFTISGNGKQVRDVLHGQDVVNLYFKSKDVEAAYGQAFNIGGGVENSLSLLELFEILEQKLDIKMNYREIDWRESDQKVFIADIKKAVDIIDWTPEVSKEVGLDRMLNWLQK---------- 142365340 --KKKILITGGLGFIGSHTSLKLLENNYEIIILDNLSNSKLQVIKDFEFIEGDIRDRKLLHKLFKNDVIDSVIHFAGLKAAGESEKYPLDYYDNNVIGSLVLFQEMKVANIKSIIFSSSASVYGNAYTKKCKEETKLNPVSVYGKTKLIIEDILKNYRSEPGWQIINLRYFNPIGAHSSGSMEDSRENPTNLLPFLTEVALGKRDHLLVFGNDYDTFDGTGKRDYIHIDDLARGH-------------------------------------------------------------------------------------------------- 136903461 ----RILVTGGLGYIGSHTTVELLNKGFDVVIVDDLSNSKVNVFDKIKKITGDLKNKSEVKKLFSDYRFNGIIHFAAHKSVNESVNFPEKYYKNNIGSLENLLE--NIDEKMHFIFSSSCTVYGQADKMPIKEDFPIKSESPYGESKQICENILKKFCDNNNFNNITLRYFNPIGAHSSGLIGELPLGPENLVPFLTQTAIGKRDQLIVFGDDYNTPDGTCIRDYIHIEDLADVHVSCLEYKKNKKNYEFYNVGTGEGLSVLELINLFEKV-------------------------------------------------------------- 225862486 --SKKCLITGGAGFIGSHLAEELVGRGYNVTIVDNFYKGHDELMKEIQVIPISVLDKNSIYELVNQH--DVVFHLAAILGVKTTMEKSIELIETNFDGTRNILQAALKGK-KKVVFASTSEVYGKAKPPFSEEGDRLYGATSKIRWSYAIETLCLGY-ALEGLPVTIVRYFNIYGPRA------KDGPYAGVIPRFISAALQGED-------ILVYGDGEQTRCFTYVSDAVEATIRAMDEKVN---GEIINIGSENEKSIKEVAEVIKKLTDSSSKIVQVPYPHGEIPNRRPDVTKLKDLVQFQAKVTWEDGLKETIKWFRE---------- 271967767 ----RILVTGGAGFIGANVCRALVTRAESITVLDDLSSGALTNLLGVDVVTGSILDEDLLAELVAGA--THVIHLAARPSVPRSLMDPLATHTVNVTGSLRVLEACRGSR-PHLILASSSSVYGDCEEPHKHEDLPTRPLSPYGASKLAMEAYALAYAESYGLPVLPFRFFNVYGP-----LQATDHAYAAVIPAFVSAALNGRP-------VPIYGDGNQARDFTYVGSVTTVLADAAIRRVTSRKP--VNLAFGTRVSLLSLKDALAAVLDRPIEPSFLPARTGDIRESQASPRLLAGLFGVRP-VSLDDGLRMTVAWFEK---------- 302785387 -KDAKIFVAGHRGLVGAAIVRRLEKEGF-------------SRLLLRSHKELDLTRQEAVEDFFHKEKPKYVIVAAAVGGIHANNTYPADFIGINLQIQTNVIDAAYRSGVAKLLFLGSSCIYPKFAPQPITEEAPLEPTNEWYAAKIAGIKMCQAYRIQHKWDAISGMPTNLYGPHDNFHPENSHVLPALIRRFHEAKVNGAK-------EVVVWGTGSPLREFLHVDDLADAAVFLMDKYS---DVPHINMGSGSEVSIKELAEMVKEVVGFPGELKWDASKPDGTPRKLMDSSKL-ATLGWKPRITLREGLTETYKWYVDNYN------- 219848447 --DKRVVVTGGAGFLGSYVVEKLNARGARDVFV-------------PRSRDYDLRHVDAIRQLLADARPDIVIHMAAVGGIGANRDHPAEFFYDNLMMGVQLLHESWKFGVGKFVTIGTVCAYPKYTPVPFKEDDPEETNAPYGLAKKMLLVQGEAYRQQYGFNSIFLLPVNLYGPRDNFDLETSHVIPALIRKCIEAAERGDD-------HIVVWGDGSPTREFIYAADAAEGILLATERY---NDSDPVNIGSSDEISIRDLVTLIAELTGFRGQIVWDTTKPNGQPRRKLDVSRAWERFGFRSTTTFSEGLRATIDWYRTHREAM----- 149028249 -DRKRILITGGAGFVGSHLTDKLMMDGHEVTVVDNFFTGRKRNVHWIGHENFELINHDVVEPLY--IEVDQIYHLASPASPPNYMYNPIKTLKTNTIGTLNMLGLAKRVGA-RLLLASTSEVYGDPEVHPQSEDYWIGPRACYDEGKRVAETMCYAYMKQ------------VYGSG------------------------------------------SQTRAFQYVSDLVN----GLVALMNSNVSSPVNLGNPEEHTILEFAQLIKNLVGSGSEIQFLSEAQDDPQKRKPDIKKAKLMLGWEPVVPLEEGLNKAIHYFRK---------- 140716454 ----NILVTGGAGYIGSHVCHLLIDKGYNVTCIDSLITGNKELLKQSKLEIFDISDKEKVSNLIKSNNFDLVMHFAGLIRVDESVQQPERYRNFNFLKAKSFLETCFENDLKKVIFSSTAAVYGNPKNDKVNEEDPVEPLNPYASSKLELENFIKETSTKYNSKHIILRYFNVAGADQNLRTGLVSKSSTHLIKIASEVATGKKEKLIINGDDYDTPDGTPIRDYIHVSDLADIH-------------------------------------------------------------------------------------------------- 20093246 ----RILVTGGAGFIGSNLVDRLLEKGNLVVVFDNLSSGKLEFIEQHFEVRGDLLDPEAIER--ACTDVDMVYHVAANPDVKLGASDTKVHLDQNILATYNLLEAMRKGNAKKIAFTSTSTVYGEASVMPTPEDGPLIPISLYGASKLACEALITSYSHTFDMQAWIFRFANIVGPRSTHGITVDFIKKLKENP----------------GRLEILGDGKQEKSYLHVSECVDAILFAIEKSKEEVNIFNISEDTISATEIGQVVTEEMGLSDVEFVYTGGSRWKGDVPRMRLGIEKLKAI-GWKPVYTSENSVRETAR-------------- 134853223 MKMKKILITGSEGFIGSHLVERLISKGYNVKCLVNLSYLDSKLLNKAEVIFGDIRDNNLISEVSKNC--SSIINLAALIGIPYSYKAVRSYIDVNIIGTQNLLDAAIKNKVSRFIHTSTSEIYGSAQFTPMDESHPYVAQSPYSASKISADALVTAYNKSFNLNTIILRPFNTFGPRQS------------QRAVIPTIIQQALKQNKIF-----LGDVSPRRDFTYVTDTVTAFERAI-NLSNKSSGGIINLGTGYSVSVLKITKIISKLLNKKINIISKKERYSEVTNLLSSNLKAKKILKWKLKFDLEKGLLRTINFYKK---------- 136309724 MKYKKALVTGGAGFIGSHLVEALLKNNVTVLVVDNLLTGKKTNLDRLESVEVDLGSDESIKEI-EKFNPEICFHLAAQSSVVISVEDPLLDFEHNLLQPINLIQTLIRTDCKKFVFSSSGGTFGEPNVIPTSEEDFAEPVSPYGVAKKKLNDFIKLMLENEKMSYSILNLSNVYGPRQD------PHGEAGVMSIFTGKMLNNEKP-------IIYGDGNQTRDYIYVADVVSALIKSSEIDND----LFLNIGTGVETSVNELVSLIALKTSWEGEPDYKPQRDGELLRSVLNNNKAKKSLDWEPEYN------------------------ 154324092 -----ILVVGGLGFIGSHTVWELAKAGYNVAIIDNLSNSKNGHRPLLKFHDADFRDMNKMTAILEKYDISGVIHFAAYKAVEESIQHPLKYYSNNVGGLVDFCALLQQFGIKKMVFSSSATVYGTVADTPLREEYVVGSTNPYGRTKWMCEAILSDANSDPDWEITALRYFNPIGCDESGLLGEDPRAAATNMPVVLRVLTGALPALNVYGSDYDTHDGTAVRDYIHVTDLARGHLAAL-SNRPSGGFKVYNLGTGQGYSVLDVVNAMEKATQTKIPTNIVGRRGGDVGKCVALANKAEEELMWKTEKSLEDCCNDLWRF------------- 170073443 -------ITGGAGFVGSHLVDYLMMQGHELIVVDNFFTGRKRNVHWLGHENFELIHHDIVNPLF--IEVDEIYHLASPASPPHYMYNPVKTIKTNTLGTINMLGLAKRVGAKVL-IASTSEVYGDPDVHPQPETYWIGPRACYDEGKRVAETLAYAYAKQENVNVRVARIFNTYGPRM-------HMNDGRVVSNFIIQALQNQ-------SITMYGSGKQTRSFQYVSDLVD----GLVALMASNYTLPVNLGNPVERTIEEFAEIIRDSVGCKSKIVELPAVEDDPQRRKPDIARAKRYLDWEPRVPLKE--------------------- 163856703 ----RALVAGGAGFLGAHLCRRLLLQGWEVICVDNFHTGRSENLAGLAAHPGLTVIRQDIAPLPAELHIDCIYNLACPASPVHYQADPVATLQTCVQGATQLLELAARTGA-RILQASTSEVYGDPLEHPQREGYWVGPRSCYDEGKRCAETLFMEYGRRRGVVVKIARIFNTYGPGMAA--------DDGRVVSNFIVQALAGHPLTVYGD------GSQTRSFCYVDDLVDGLLRLM--NSPDQFSQPVNLGNPAEISVLRMAELVRELTGSRAPLQFRDLPRDDPTHRCPDITLAREQLRWRPTTPLSAGLARTVDYFRR---------- 157273539 -KDAKIYVAGHCGLVGSAIVRKLRAEGFTNLLLRTRS-------------ELDLTRQAEVESFFATERPAYVFLAAAVGGILANDTYGGDFIRDNLLIQTHVIEAARRANVRKLLFLGSSCIYPKFAPQPMSEDCPLEPTNPYAIAKIAGLTMVKAYRKQYGFNAISLMPTNLYGPGDNFDLMSSHVLAALLRKFHEAKVTKAP-------TVTVWGTGTPRREFLHVDDLADAALFLMQCYEDE---VPINVGVGKDISIGELAVMIRDIVGYAGEIVYDLSKPDGTPRKLLDVSRLRA-LGWQPRINLRDGIAATYAWYCTHYRGY----- 197303887 ---------------------------YRIVCLDKLTYAGNLSTLAPVMDHADICDREAVNQLFEEEQPDIIVNFAAESHVDRSIENPGIFLETNIMGTAVLMDACRKYGITRYHQVSTDEVYGDRPDLFFTEETPIHTSSPYSSSKASADLLVLAYYRTYGLPVTISRCSNNYGP--------YHFPEKLIP--LMIANALADKPLPVYGEGL------NVRDWLYVED----HCRAIDLIIHKGRGEVYNVGGHNEMRNIDIVKMICKELGKPESITYVADRKGHDMRYAIDPTKIYNELGWLPETKFEDGIKKTIRWYLEHRDWWEK--- 126433566 ---KRVLITGIAGFVGSTLGERLIANDIEVIGIDRYTDYYPKSIKEANLEVVRILDEDILEANLDNLGVDVVFHQAGQPGVRRSWGDFDAYLRDNILASQRLLEARRSTSLRRFVYASSSSVYGDAERYPTLETDTPQPRSPYGVTKLAAEHLMGLYAQNFGVPTLSLRYFTVFGPR--------QRPDMAFTRFIARTLAGRPIE--------IFGSGEQIRDFTFVDDVVSANLAAATAAGVLPGTVYNISG-GASVTVNEILATLEEILDGPILTHRAETVAGDVFRTGGSNEAARRGIGWEPTVSLHEGLRRQVEWLQSHRERY----- 135247027 --GMRLLITGSRGFVGSHVVARCRKQGIIVF-------GTSRKRSGKNVYKIDSTDFSQLNSFMRTKNITACIHLAGESIVEEGQENPHKTFSTNIQGALNVLEASARNNLMRVIIASTSHVYGS-TTVPYHEDDLLHPTRPYETSKACIDLIAASYAETYGLPVLIPRFVNIYGPGDLNF--------SRIIPKTIRSVLRGENP-------EMWGDGKIIRDYLYIDDVVDAYMKLLTVDKRKPRSTVLNFGSDSLISVKKLINRIISLSGKRLRIKHVSPRDAEIKRQYVSWKKAQQILGWHPTVDLDEGIAITIDWYRRY--------- 136612354 ------------------VIRKALALNHSVLNLDCMTYANLEDEPTYKYKNVNICEKNDVTAVFNQYKPNAVIHLAAESHVDRSIDGPKAFLSTNIIGTYNLLEASRDYNNFRFLHVSTDEVYGLSETGQFTEESAYSPNSPYSATKASSDHLVSAWHKTFNLPTITTNCSNNYGP--------YQFPEKMIPSAILNAING--------SPILIYGTGENVRDWLYVEDHAEALLQILNK---SAIGERFNIGGNNEISNNNLINKICEKLDEKIQIKYVEDRPGHDLRYAINNTKIKNKIGWRPSLSFDRGLDLTIEWYLKNTEWWED--- 136487342 ---KRILVSGGAGFLGSHLCEQLVEEGNQVICLDNFFSGSEQNISHLPSSNFKLLAQDV--SIPLSLEVDEIYNFACPASPLLYQRFPIETFKSSVLGSLNLLELATATGAKIFQ-ASTSEVYGDPQVHPQPESNPIGPRACYDEGKRSAETLFFDFHRKYQLDIKVMRIFNTYGPRMSATDGR--------VVSNLILQALQGQNLTIFGD------GSQTRSFCYVEDTIRAIRLMMAKPKEI--TGPINVGNPTECTILELASLVLELTGSRSKFYFLPQRPDDPLQRQPSIELAKKELSWQPAWQLKEGLLKTIAYF------------ 302350895 ------LITGGAGFIGSHLAEKLLERGDEVFAIDNLWTGKLANLARVQNNEVDILNESVMNELV--FKADHIYHLAAAVGVRTIMDHPVETLDINVKGTEVVLRLANRFKKTVF-ITSTSEIYGNHMEHTLAEDDNRKRRWAYACSKTLDEFLALAYFDEKKLPVVIGRLFNTVGPRQTGQY--------GMVLPTFVQSALLGKPITVYGDGDQTRSFTHVRDVVDAITGL--------MSDPRAEGDVFNVGNDKEVTINDLAEKVKKMTGSASPIEHVPYEPGDMRRRCPNITKIRKLIGFEPKYDLEAMIQSVIDYFKE---------- 209963861 ---KSILITGGAGFIGSHLADGLLAAGHRVRVLDSLSDAERPAYLDPGVERGDVRDPGAVSRALTGV--DVVYHFAAMVGVGQSMYQVADYTSVNDLGTAVLLQALIERPVERLVVASSMSVYGEGQPVPTPESKRPSLASVYALGKYVQERQCLLVGAAYGIATVALRFFNVYGTRQ-----ALSNPYTGVLAIFASRLLNGNRPM-------IFEDGRQKRDFVHVRDVARACRLVLERPAAAGEVLNI--GSGQAIAIDDLAGRLAGIVGRPDLVEITGRRVGDIRHCFADIGKARAVLGYEPGVGLEEGLTELADW------------- 136610323 MTNKPIIVTGGAGFIGSHMVDLLIKDNFAVRVIDDLSGGHLENLNQHKDEKADIRNINSAHSIFKDCEF--LFHFAGKGDIVPSIDQPSDYMSINVLGTVQMLEGARVGNIKKFVYAGSSSCYGLAK-TPTTEDHDINPGYPYALSKYQGEMAAFHWQKVYNLPVNSIRIFNAYGERVRT------TGLYGAVFGVFLKQKLEREPFTVVGD------GSQSRDFVYVSDVAR---AFLAAAKTSKNGEVYNLGTGNPQTINYLVRLI------GGDITYIPERPGEPKCTWADIRKIRT--------------------------------- 29831117 ----RVLVTGGAGFIGSHVVEALGARGHEPVVFDVREDAGA-----------DVRDRDAVARALAGV--DAVCHQAAMVGLGNGFTDAAEYVSRNDLGTAVLLTGMAEAGVRRLVLAGSMVVYGEGRPGLVGEDAPVDPRNVYATTKLAQEHLAAAWARSTDGTAVSLRYHNVYGPRM------PRDTPYAGVASFFRSALARGEAPHVFEDGA------QRRDFVHVRDVAAANVAALEASSPVGALTVYNTGSGEPHTVGAMARALAAAYGGPEPVVTGEYRLGDVRHITADSSRLRAELGWKAETGFEDGMREFAR-------------- 289643470 ----RILVTGGAGFIGSHVVDMLVAAGDSVRILDALHRSRPEVNAAAELIVADVTDRPQVEAALDGV--DAVCHQAAMVGLGVDLDDLPAYAAHNDLGTAVLLAAMARRGVRRLVLASSMVVYGEGEPTLVAEDAPLEPKSVYAATKVAQEHLAAAWATATGGSVLALRYHNVYGPRM------PRDTPYAGVASIFRSALERGEPPRVF------EDGGQLRDFVHVHDVAQANLHALRHPGVLGELVPLNIGSGEPHSVGDMASVLARVFGGAAPVVTGLYRAGDVRHVTASSALARGFLGYQPRVTFDEGVNAFAR-------------- 141975945 -----------------------------------------------------------------------------------------------------------------FVFSSTAAVYGEPKSNPISENHSMIPVNPYGHTKAIVENILKDYDNAYGLKSISLRYFNACGAHSDGTIGERHDPETHLIPLILQAASGRKNKITMYGDDYPTKDGTCIRDYIHVMDLAEAHMLALDRLSQTQLSDVYNVGNNEGFSVREIIEVAKKITNRKMDIEIQSRRKGDPAELIADNQKIKSELNWKAKHSLDKIISSAWQWEQK---------- 33864734 ------LVTGGAGFLGSHLIDRLMEAGDEVICLDNYFTGRKRNIRWIGHPRFELIRHDVTEPI--RLEVDRIWHLACPASPIHYQTNPVKTAKTSFLGTYNMLGLARRVGA-RLLLASTSEVYGDPEVHPQPESNPIGIRSCYDEGKRIAETLCFDYQRMNGVEVRVARIFNTYGPRM--------LIDDGRVVGNFIVQALRGDSLTLYGDGSQTRSFCFVSDLIEG----------LIRLMNGADTGPINLGNPDEFTIRQLAELVRQRINPKLPLIEKPVPEDDPRQRRPLIDLARQQLGWQPTVSLEQGLGPTID-------------- 163849954 -----ILVTGGAGFVGANLADRLAAEGHTVIIYDALARAGVERNLAWLTERADIRDRDELARAVGEVG--AVFHFAAQVAVTTSLTAPRDDMEVNLGGTLNLLEALRRGEAVPLLFASTNKVYGSLPDVALTEDRPLDFHTPYGCSKGAADAYVLDYARTYGLPTVVMRMSCIYGPRQMGN-------EDQGWVAHFLIRALKGEPITLYGD------GRQVRDILHVGDCVAAYQAALARIDRAGRAFNLGGGPANAVSLRGLIAHAGQLLGREIAVETGPWRPGDQRYYVSDPSRAVEALGLARPLSWREGVAQLAGW------------- 83816452 ---KRVLLLGGAGFIGYHLGRHLVERDCRVTLVDNFFRSKQDEELDVDIVEGDLTERSTFDQLDDEY--DHVYALAAVVGVGYTEDMPEEVWRINTAITLNTFEWLRESSVSRVLFASTSETYPTPEDVPLSITDITQPRFTYAVTKMLGEAGIAHYAQAYDFEQVTVRFHNVYGPRMGF---------KHVIPQVVERFHEAEDPFTVYG-------YDQTRAFCHVDDAVRGVVQAMETPEAAGRTYHIGDDRH-EITIETLIRYIGDLMDFHGEYEQGPSHAGSVSRRCPDVDRAREVFGYEPQVHWKEGVRETVEWYADYYRS------ 290994438 ----KIVVTGGAGFLGSHMVDYLLKKKDEIYVIDNLQTGHLSENPNVHFIQADVINALSDERITSLEGIGQIYHMACAASPPHYQKDPIHTTMTCVQGTYNFL-TLATKWNARLLITSTSEVYGDPAINPQVETNCTGTRSCYDEGKRAAETLCFDFNRTKNTQVRVARIFNTYGPRMNLTDGRIISN--------FVYQALKGINITVYGTGKQT------RSFCYVSDQIEGLHTLMNQDVTIGP---VNIGNPEEYTVLEMAEKIREMIGASNQLVFHELPQDDPKVRRPDITKAKTLLGWSPKVALHEGISLTIA---DFSRRIKEEAD 255565719 ----KIFVAGHRGLVGSAIVRKLHSLGFTNLVLRTHS-------------ELDLTRQSDVDSFFAVEKPKFVILAAAVGGIHANNTYPADFIAVNLQIQTNVIDSSYRHGVKKLLFLGSSCIYPKLAPQPIPENAPLEPTNEWYAAKIAGIKMCQAYRIQYNWDAISGMPTNLYGPNDNFHPENSHVLPALMRRFHEAKANGAK-------EVVVWGTGSPLREFLHVDDLADAVVFLMERYS---GIEHVNVGSGKEVTIKELAEQMREVVGFQGQLVWDSSKPDGTPRKLMDSSKLLG-LGWSPKVSLKDGLVDTYKWYLEN--------- 288962326 -RNSRIFVAGHRGLVGSAILRRLTEAGHTNLVIRDRS-------------QLDLTDQAAVRAFFDREGIEHVILAAAVGGILANDRFGGDFIRDNLLIQTNVIDAAWRGGVKKLLFLGSSCLYPKHAEQPIKEGAMEPSNKPYAVAKIAGITMCQAYRRQYGFNAICAMPSNLYGPG-DHFDPETSHALPGMIRRFHDAKLAGAPTVTLWGTG------TPRREFLYADDMADACLHLMDHYDSE---EIINVGPGDDIAIADLAASIRDTVGYPGTLTHDLSKPDGHPRKLMDVSRLFA-TGWRPRVSLEEGLRRTYGWFLEN--------- 240102076 ----KVLVTGGAGFIGSHLVDRLMELGHEVRVLDDLSAGTLDNLRRWVDHEGDMRDPKIVEEAVK--DVEVVFHLAANPEVRIGSQSPELLYETNVLITYNLLNAMRGSNVEYLVFTSSSTVYGDADVIPTPEDGPLEPISVYGGAKLAAEALISGYAHTFEFRALIFRLANIIGERSNHGVIYDFINKLRKNPE----------------ELEILGDGTQRKSYLHVSDTVEGMLHIFEHFKRSEKTVDFYNGNDDWITVKEIAEIVSEEMSLRPRFVFTGGWKGDVKFMRLSIEKAKA-TGWRPRLNSYDAVRRTVR-------------- 143518426 -SNSKIFVAGHKGLVGSAIVRHLEAEGFTNII-------------TADRRQVDLTNLNAVRMFFMLEQPEYVFLAAAVGGIGGNADYPADFIYENLMIQSNVISMAAENGVKKLLFLGSSCIYPKFAKQPITEDQLLGSNDAYAIAKIAGIKMCQAYRKQYGLNAIAVMPTNLYGPNDNFDINYGHVLPSLLAKFDGSLEKSKHWVVKLWGDG------SARREFLHVDDLAAALLICMERYDSD---EIINIGTGEDITIKELAEMIVEVTGYKNDYEWDTSKPNGTPRKVLNVDKVKS-LGWEPKISLREGLESTYEWMKNNRSNL----- 254173433 -----VLVTGGAGFIGSHLVDRLMELGHTVRVLDDLSAGSLANIERWEFIKGDMRNPEIVREAVK--DVEVVFHLAANPEVRIGSQSPELLYETNVLVTYNLLNSMRGSNVEYLVFTSSSTVYGEAEIIPTPEDGPLEPISVYGGAKLAAEALISGYAHTFGFRALIFRLANIIGERSNHGVIYDFINKLRRNPE----------------ELEILGDGRQRKSYLHVSDTVEGMLQILEHFRQSGKTVDFYNGNDDWITVQEIAEIVSEEMGLKPRFVFTGGWKGDVKFMRLAIEKAKKA-GWRPKLNSYEAVRRTVR-------------- 15828065 ----RLLVTGGAGFIGANFVHNAVRDDDTVTVLDAMTYAGRHESLADVDHQGNITDTKLVFRLVA--ESDVVVHFAAETHVDNALDDPEPFLRTNVIGTFTILEAVRHHSV-RLHHISTDEVYGDDEPTRFTESTPYNPSSPYSATKAAGDMLVRAWVRSYGVRATISNCSNNYGP---------YQHVEKFIPRQITNVLTGRRP-KLYGTGV------NVRDWIHVDDHNSAVWQILEKGQI---GRTYLIGAENGHNNLTVLRTLLQMMGRDPNFDHVTDRVGHDLRYAIDPTPLYNELCWAPKHNFDEGLRATIDWYRNNESW------ 254556201 MEN--LLVTGGAGFIGSNFVHYVYNHHPEVTVLDKLTYAGNRANLRVKLVVGDICDAPLVDELMQ--QTDAVVHYAAESHNDNSLKDPWPFIETNIIGTYTLIQSAHKFN-KRFHHVSTDEVYGDGVGEKFTPTSRYKPSSPYSSSKASSDLLVRAWVRSFGLQATISNCSNNYGP----------YQYIEKFIPRQITNILSGIRPKLYGSG------KNVRDWIHTNDHSAAVWDIL---TKGKIGETYLIGADGEMNNKDVLEMILELMGPKDAYDVVKDRPGHDLRYAIDSTKLRTELGWQPETDFRSGLKATIDWYTDHQDWWQADK- 135241434 ---QRILVTGGAGFIGSHLCQELLNNGDSIICIDNFFTGNVEPFLDDPKFELDIVEPLELDQIYNLACPASPIHYQH---------DPIKTVKCNTVGMVNMLELARKNKA-RILQASTSEVYGNPLEHPQKEEYWIGVRSCYDEGKRVAETLCMDYHREKGVDIKIVRIFNTYGPRMAENDGRVISN--------FIVQALADQDITVYGE------GTQSRSFQYISDLVSGVISMMKLDNFTGP---VNLGNPSEYKIREIAEKIVSATSSKSQIVHKPLPPDDPERRQPDITLAKEKLSW----------------------------- 94986823 FSNAHVLITGGLGFIGSNLAIRLVKEGAKVTIIDSLMYGGTMYNIHDIADKVDIRDPYSIKALVKNQDI--LFNLAGQTSHIDSMIDPYTDLDINCKAQLSILEACKNNNPIRIIFAGTRQIYGIPQYLPVDEKHPVYPVDVNGINKIAGEWYHILYNNVYGIKTCSLRLTNTIGPRMR-------------IRDDRQTFLGIWIKNVLCGKPIEVWGGEQLRDFLYVDDCIEALLLAAKNENTFGKVLNV--GGKEPVSLQHVAELLIEVVGEGSYIIKEDRKKIDIGNYYTDNTLISDILNWKPTTSLRTALKKTIAYYK----------- 268324701 ----KILVTGGAGFIGSHVVDRLLDAGHEILVLDNLSSGNEEFINPHRFHKLDLL-HSDITAFFDGV--DAVWHLAANPEVRLGAEDTWIHLEQNVIATYNVLEAMRLKEVPRILFTSTSTVYGEAHILPTPEEYPTIPISLYGASKLAGEAFIASYCHTFEMQAWLYRFANVIGRRSSHGVIYDFINKIRSNP----------------NELEILGDGKQTKSYIYVDDCIDAMLAGISSKQEANKKVNIFNGSDDMISVTRIAEIVCEEMHTTPNFKFTGGKKGDVPVMSLDASRLNK-LGWKQRYNSEGAVRKA---------------- 143391529 ---------------------------YLILNIDKLTYAGNENKPNYKFFQTDITDKKAINEIFQLYSVDGVIHLAAESHVDRSISNPDEFILTNVVGTLHLLNACKSSWSNCFYHISTDEVYGLGDDGLFTEETSYDPRSPYSASKASSDHIVRAYGHTYNMPIKISNCSNNYGS----------FQFPEKLIPLMINNIKNKKSLPIYGKG------ENVRDWLWVVD----HAIAIDLIYHEGVGETYNIGGHNEWKNFDLVHLLCDVMDEKLHITYVKDRAGHDMRYAIDASKIKNELGWEPSVTFEEGLRSTVDWYLSNEKWLDD--- 134485644 ---KKVLITGAAGFLGSHLSVRFLKDGFHVFGMDNFITGSKKNIFLSSFEHFEFLEHDVSTHIDFKGDLDYILHFASPASPIDYLKIPIQTLKVGSLGTHNLLGLAKAKKAVIL-VASTSEVYGDPMVHPQVESNPIGPRGVYDEAKRFQEAITMAYHRFHNLDTKIVRIFNTYGPRM-------RLNDGRVLPAFIGQALRGED-LTIFGDGKQT------RSFCYVDDLVDGIVKLLFSNYN----YPVNIGNPDEITINEFAQEILILTGTNQKIVYKPLPENDPLKRKPDISLALKELNWKPLVDRKVGLRKTYDYFLNREELFEKDHQ 116622122 MGKKLILITGGAGFIGSHVTRELLAHNYSVRILDCLAAGGRRPAYLEPEAELDIRDADAVAQALRHV--DAVIHLVALVGVGQSMYQMVEYTSVNNLGTAILLEALSTHPVEKLIVASSMSIYGEGAPVPTPESKTPALASTYALSKFDQERLCLILGRAYSIPSVALRFFNTYGPNQ-----ALSNPYTGVLSNFASRVLNGNAP-------LIFEDGLQKRDFVSVFDVARACRLALETPAADGKAFNIS--SGVPMTVKEVADTVRAIGGRRIEPQIGNYRAGDIRHCFADISQAKRVLGWQPKVTLEQGLVNLAEW------------- 238795470 ------IVTGAAGFIGSSLIDRLLNDGHEVRGIDNFSTGQRRFLESALTIEADLLDIDTITPAFSGADI--VFHLAANADVRFGTQHPRKDLEQNTIVTYNILEAMRANGVKKIAFSSTGSVYGEAPVPTPEDGPFPIQTSLYGASKVAGEGLISAYCEGFGFQAFIFRFVSILGER----YTHGHIFDFYQKLKADPTCL------------PVLGNGKQRKSYLYVQDCIDAMLFAVDKASDKVN--IFNLGVDGYCEVNDSIGWICDELGVRPRLEYGGDRIGDNPFIFLDTTRIRS-LGWRPKFDIREGVIKTVQYLREN--------- 143109377 ------LVTGGAGFIGSNLVDHLVELGHEVVVIDNESAESNEQFYWNEKAQYDIRDYENTRPLYEGV--DYVFHLAAESRIQPAIQNPINAISLNSVGTCTVLQCAREAGVKRVIYSSTSSAYGMNE-APNVETQPDDCLNPYSVSKSNGEKLCKMYNDLFGLKTVSFRYFNVYGERQ------PIRGQYAPVVGIFLRQRAAGEALTIVGD------GEQRRDFTHVSDVVQANVLAATTEVDEAFGQLYNIGNSKNYSVNELAAMISDNT------TNIPPRIGEARISLANNNKMKTTFGWNPQIKLND--------------------- 135249331 LKMANYLVTGAAGFIGSAVANSLLQKNNIVVTIDNLSTGYSENVPKGIFIEGDCGNALVYDKVPKLA-FDAIIHIAGQSSGEISFDDPIYDIKTNAESTLLLLKFALQNNCKRFIYAGTMSVYGKKPDNPISESSSCKPESFYGVAKLASEHYMRIYQK-YGINYTSLRLFNVYGPGQNLDNLRQGMVSIFLAQMLNNNHI------------LVKGLPDRYRDFIHIDNVVDVFNKCIESSDSWG--LNINVATGIKTSVAELIELMCKLYNRNVSIEYSGSTEGDIHGIYADTTLMNKVFKKLDVISLEDGLQEMLIWQSKN--------- 225445759 -QSAKVFVAGHRGLVGSAIVRKLQQLGFT-------------NLLLRTHAELDLTRQTDVDAFFAAEKPQFVILAAAVGGIHANKTYPADFIAINLQIQTNVIDSSYRHGVKKLLFLGSSCIYPKFAPQPITEEAPLEPTNEWYAAKIAGIKMCQGYRLQHGFDAISGMPTNLYGP-----YDNFHPENSHVLPALIRRFHEAKVSGAKEVVVWGTG--SPLREFLHVDDLADGVVFLMDKYS---GLVHVNVGSGKEVTIKELAELVKEVVGFEGELVWDTSKPDGTPRKLMDSSKL-AELGWVPKIALKEGLVDTYKWYLEN--------- 136280969 MDKIKIIVTGGAGFVGSHIIKKLLAGGSKVVCIDNMVSGSMANVQDNPNFKLVTSNITNYPSIEEEFNDTDVVFHQAASKATVCLRDPYTDLNSNAKGTYNVLEASRKHGVKKVLYSSTGSVYGEAQYYPQDEDHPLNPLSFYGVSKLAAEKYCNAFQNYYDMDITVLRYFHVFGPNQS-------YSNLGGVVSIFIRRILNGKPPIIYGT------GEQTRSFTFVEDDVDANLFFCKKGF--GKGEVYNCASGIKVTINELAHKLLKIMDREDNPIYKDWRPGDIINFDVSNKKLI-DLNFKFRTSFEDGLLKTIEW------------- 242399985 ----KVLVTGGAGFIGSHLVDRLMEDGHEVRVLDDLSAGSLENLKGWIDHEGDLRRRDICEKAVKGV--DAVFHLAANPEVRIGTQSPELLYETNVLITYNLLEAMRKEDVKALAFTSSSTVYGEAKTIPTPEDGPLEPISVYGGAKLAAEALISGYAHTFDVKAVVFRLANIIGKRSNHGVIYDFINKLKKNP----------------NRLEILGDGTQRKSYLHVSDTVEMLYLFKEFLKEDKIYDAYNIGSEDWITVKEIAEIVSREMGLNPEFYFTGGWKGDVKVMLLNIEKAKAK-GWKPKMNSYQAVEKTVR-------------- 143901607 -----------------------------------------------------------------------VIHFAAHKSVSESVEAPLKYYDNNLGGLQKLLKYAVLKSIP-FIFSSSCTVYGQSETLPISESEPLKPTSPYGNTKKIGEQIIEDCCQAYGFHAILLRYFNPIGAHPSSKIGEFPKGPQNLVPFLTQTVRGIRPVLRVFGSTYDTPDGTCLRDYIHVMDLAEAHIASLDYNQNSDSCEVYNVGTGKGVSVLELINAFEKATGKKVPYEFADPRPGDTVAAYADASKIKTKIGWKAQYSLEEALKSAWEWEKSLER------- 143575754 ---KKIVVTGGNGFIGSNLVNFLTKKKYFVINIDNNKYSKGSYLLYYKFYKLDI-NSKKIFHILKNHKPVAIFNLAAETHVDRSIDVPENFIYSNILGTFNILEQLRNYKKIVKKKISTDEVYGDKKGGRSSEKSPYLPSSPYSASKASSDHLIKSYVRTYNINATISNCCNNYGPGQF---------PEKFIPTLIFNILNNKP-------LPIYGKGLNSREWIHVEDHCRGLF---DIFRKGKSGDSYNIGTGFNISNLNLTKLLLKIVRNKNMIQFVKDRPGHDFRYALNSKKILKELKWKPKRNFQSGLDETLSWYIENY-------- 144080543 LKSKNILITGIAGFIGHALAKKITDLGYNVVGIDNLNDYYDVDLKLARFFKADLRDTDFLHQIFSEYKIETVINLAAQAGVRYSLISPQTYVDSNISGLVSLLETCKSNNVKRVLYASSSSVYGSRFQGPFSEANNTDPVSLYAATKKANEVIAHSYSSLYNIQTIGLRFFTVYGP----------WGRPDMAPMKFLNKMLNGDPIEIYNNGQMT------RDFTYIEDVVKIVSRIISLPIDSSDYDLFNIGSSKPIKLMDFIRTLEEVLGVTAKKLFLEMQLGDVMLTHADSTRI----------------------------------- 168058075 -KDAKIFVAGHRGLVGAAVVRALKKDGYNNLVM-------------KTHKELDLTRQAAVEEFFDTEKPAYVILAAAVGGIHANSTYPAEFIAVNLQIQTNVIDAAYKSGVKKLLFLGSSCIYPKFAQVPIVEESPLEATNEWYAAKIAGIKMCQAYRLQYNFDAISGMPTNLYGPHDNFHPENSHVLPALIRRFHEAKVNGAK-------EVVVWGSGSPFREFLHVDDLAEATVFLLQNYSAH---EHVNMGSGSEVSIKELAEMVKEVVGFQGQLTWDTSKPDGTPRKLIDSSKLAN-MGWQARIPLKEGLAETYKWYCENYN------- 288790977 ----HVLVTGGLGFLGRAVARDLLAAGHRVTVM-SRGHGNRKPSADVAIVTGDIRDRARVAQIVKEGGYEGVVHLAALTSGRDSFADPLSYFDVNETGTLNLLMARTTTDPVALVFTSTNIVYGSQHQGALSEDLPPHPESPYAASKVAAEHMVAAYAATGAIGAITVRPFNIAGA----VDGVTDTDRARIIPNVFRAISGQLDHVTLNGDGSAV------RDFVHVADVATAIRQGLAAC-SPGVCPTINLGSGTGTSMAAVVATAEQITGHSVTVHRQQPKP-EPPNLIADISRAQALLGWTPARSLSDILSDVWKW------------- 135255585 --NMSILITGGAGYIGSHVVEEFVNKKCDVIILDNLITGHKRLIPKANFIKGDINNKKLVNNILKQYNVRTIVHLAAYLSVSEAEKNKLKYRNNNIKGTKCLLSACKNSNVKNFIFSSSCSIYGNVKGS-VNEKKKPNPQGYYAYTKFKGEELIKQYAIKYKFRYAILRYFNVAGASSSGKIGQIQSND-QLIKNIAIQFFKKKPIINIFGSDYPTRDGTCVRDYIHVSDLADIHIKGLDYLESNKKSYILNCGYG----------------------------------------------------------------------------- 218247355 LSDKRILVTGGSGFLGKQVVEQLLQAG-----------ANADKLTIPRSRNCDLRIIDNCQKAVA--QQDIIIHLAAHVGIGLNREKPAELFYDNLMMGAQLIHAAYQAGVEKFVCIGTICAYPKFTPVPFQEDDPEETNAPYGIAKKALLVQLQSYRDQYGFNGIYLLPVNLYGPEDNFNPRSSHVIPALIRKVYEAQQQG-------INELRVWGDGSPTREFLYSTDAARGIVMATQLY---NDPEPVNLGTNYEISIRNLVELISELMEFKGQIIWETDKPNGQPRRCLDTHRAQETFGFKAEMNLKQGLKNTIDWYRKNP-------- 91202485 --NKRTIVTGGAGFLGSFVIEKLKERGCKEIFV-------------PRSKDYDLVENESCKRLYKDAMPDIVIHLAAVGGIGANQSSPGKFFYDNLMMGVQMLEAGRRAGVEKFVSIGTICAYPKFASVPFKEENPEETNAPYGLAKKMLLVQSQAYRQQYGFNAIYLLPVNLYGPGDNFDLESSHVIPALIRKCVEAKYFGHFEPSRSQPQPSITVWGTPTREFLYVEDAAEGIVLATEKY---NKPDPVNLGAGFEISIKDLVGLITKLTDFKGGVVWDTSKPDGQPRRRLDTSKAEKEFGFKARMLFEEGLQKTIDWYIKNRR------- 302904838 -----ILVTGGLGFIGSHTTLELLKVGYNVIIVDDLSNSYHRKMPALHFHKLDVSDDTTGESIRRESRVAGVIHFAAFKSVSESIERPFQYYRNNVCGLVDFVELLGKHNIRKFVFSSSATVYGTKAEEPLQEEDLVHHSSPYARSKYFCEAILADAHADPSWRIVALRYFNPIGCHPSGLLGEDPKGPTNLFPVITQVLTGERAQLDIFGTDWETRDGTAIRDFIHVADLARGHAAALGAEI-SAPFRTFNLGTGTGTTVAEALQSLEAASSRRIPVKLAPRRGGDVGFCVAANDRAASELNWTAQESIQQCASDLWNF------------- 29468518 -----ILITGIAGFIGSFAAKSLLERGEEIVGIDNLNDYYDPELKKKRLAEIDFSDGALLNNTLEKFDFDRIIHLGAQAGVRYSLINPQIYGDSNLIGHLNILELARHRKVRHMVYASSSSVYGNRSTLPFKVDSQPDPASLYAATKRAGEMLSESYAYLYRIPLTGLRFFTVYGI----------WGPPDMAMWIFTKKILQKQPIMLFNNGEMQRDFTYIDDAVSGLIAALDNPPQDNNKIKSGGSLNYNIGNHHPENLKYLVELLEEACGCHAIKELRPMQAGDVSTTYADIETSKRDLGFYPK-------------------------- 86359933 ----TILITGGCGFIGRHVAEELLNNGYHVRILDALIDGDAEVSVPHGAEQGDVRDKDAVRAALAGVN--GVIHLAAEVGVGQSMYEIARYVGCNDLGTAVLLEAMIGLPVKTIVVASSMSVYGEGDNQPLTEEKPVDLASIYALTKYAQERQVLIFGEAYGLDAVALRLFNVFGAGQAL------SNPYTGVLANFGSRLANGQPPMIF------EDGKQRRDFVHVRDVATAFRLALEKPAAAGHVINI--GSGQAYSIADVATLLADAMGVPEPDIMKKARSGDIRNCFADISKARELLGFEPKYRLENALAPFVEW------------- 161527637 ----KILVTGGLGFIGSNFIINYLNEEHTIINLDNENHGANHQNLNYEFVKGDITNHKLMKNLISIS--DAIVNFAAESHVDRSISDATPFINSNILGVFTILEILKKEKEKRLVQISTDEVFGSLKKNSANENFKLNPSSPYSSSKASAELLVNSYFVTYEIDTVITRCTNNYGPR--------QFPEKLIPKTILLAMQKQKIP--------IYGNGKNIRDWIHVDDHCNAVKEVLHKGKS---GESYNISAQNELDNIQIVTNILEKMGLDDYLEFVEDRPGHDFRYSLDSSKIRNELKWKEETSFEDGIEKTIDWYVKNQEW------ 138171590 ----KILVTGGAGYIGSHIVLHLCDKGYDVVVLDDLSMGSIEAVDRAFFIEGSILNSDDL--VSSLNGVDAVIHLAAFKSAGESMDNPQKYSENNVIGSQRLLSAMIENNVKKIIFSSTAAVYGIPEYLPLDEKHPLKPINHYGYTKLQTEKTIYIYGNEGQISYANLRYFNAAGYDKRGKIRTKERNPANLIPSVMEVASGKRDKLLVFGNDFDTVDGTGVRDYIHVSDLARAHLLALD--------------------------------------------------------------------------------------------- 135571144 -----ILVTGGSGFIGANFVNSFHKNNEPIVNLDKLTYAEVESLENYFFEKGSIDDYSLLSALLKKYQPRLIINFAAESHVDRSISSSDEFITTNIIGTHTLLKACLEYFAFRFIQISTDEVYGSNSDPQSKEESPYFPNSPYSASKASGDHLVRAWHETFGLPAITTNCTNNYGP--------YQHPEKLIPKIINHCLNDEKLP--------IYGDGKNIRDWLYVLDHCEAISMVAEK---GKIGETYNIGGKNEIENINVVQNICTLLDYSDQITFVEDRLGHDFRYGLNISKIESEIGWQPKENFDTGLRKT---------------- 218130875 ----RYLITGGCGFVGSNLAAEVLKRGEELFIIDNLFRHGSNENLTWLRSQGDTRNINDVETVIKAVKPNYIFHLAGQVAMTTSIDNPRLDLETNAIGTFNVLDSVRKYSPDVILYSSTNKVYGDFEYLSFREDKTLDFHSPYGCSKGCADQYLLDFHRIYGLKTIVFRHSSMYGSNQ-------HATYDQGWIGWFCQKALEIKNGTLKEPFTISGTGKQVRDVLHGEDIVNLYFSAKDVEKAYGQVFNIGGGINNSLSLLELFKLLEQKLGIKMQYTQLPWRESDQKVFVADINKAANFFNWKPKTTKEEGLNLMINWLIKN--------- 142414116 -------VTGGAGFIGSNLTRRLISEGHDVVVLDDLSTGLISNVDQSNCTFISITDKQTLHSALKDCQ--TIFHLAARGSVPRSIKNPVATHAINVTGTLNVLEVARVSGAHVI-FSSSSSVYGRNMQLPKDESMWLGPMTPYAASKLAAEGYVQAYASAYKVPTTLLRFFNVFGPRQRP----DHEYAAVLPKWIWLAMQGKA--------IDVYGDGSASRDFTYVDTVLDIAMTAMEKVLTEGAT---NLAYGNRIFLRDVIEILKKRF-PDLQVNYLADRLGDVKESQNAPGLLNRMF---PKVEFETALMETIKWLQEFGQSVAN--- 149925733 --NSKIYVAGHRGMVGSAIVRRLNALGYSNIVV-------------RTHAELDLCNQAAVNEFFAAEKPDAVVLAAAVGGIHANNTYPAEFIFQNLMMECNVVHAAHANNCQHLLFLGSSCIYPKLAEQPMTETTLECTNEPYAVAKIAGIKLCESYNRQYGRNYRSVMPTNLYGEN-DNFHPENSHVIPAMMRRFHEAKLRGDTEVIVWGTGTPMREFLYVDDMAAASVHVLLLDEQTYKANTQPMLSHINVGTGVDCTIRELAETMQRVVGFEGKLVFDTTKPDGTPRKLMNVDRLKS-LGWQYSIDLDTGLKKTYDWFLKNVEGLRQ--- 143786126 ------LITGGAGFLGSHLIDQIISSGEEVICLDNYYTGRRLNLSQHINIRHDVTEPITLE-------VDKIWHLACPASPVHYQSNPIKTAKTSFMGTYNMLGLAKRTGA-KFLMASTSEVYGDPEVHPQPESNPFGIRSCYDEGKRIAETLCFDYRRMHNIDIKIVRIFNTYGPRM--------LPNDGRVVSNFIVQALNNNPITIYGD------GSQTRSFCYVDDLINGI---IKLMNSKNVHEPVNLGNPSEFTIKELAEKVKKLINPNLDFNYQPLPGDDPLQRKPVIEKAYRELSWEPLIDLDEGLKRTISYFK----------- 265762831 ----KILVTGGCGMIGSNLVKRLVKEGHEVNVIDNLWRGKKEYLMDTHFFNIDLSINEGIDEIVEQN--EYVIHLADIAGIDYVFSNQGDLFRLNNLINTNLFHSVRKVGKGKLIYVGTACSYPLTRQIPLKEEELFPPESAYGWSKLMGQLEIGFLERETGIPCCTLQFHNVYGSPCDFGERSQVIPALIRKAINYPNE-----------EFNVWGSGKQGRAFIHVNDIVDALVLALEKGWGHG---WIQIGPSVCTSIREIAEAVIKISGKDITPFYDTTKPEGDKARSADYSKAKKVLGWEPKVNLEEGLKRQYDW------------- 296419950 ---KNILITGGAGFIACWLVRHLTLTEYNIISYDKLDYNNTRCLSTAPFVHGDITSPEEVQNAFRKYNIDTVFHFAAQSHVDLSFGNSYEFTSTNVYGTHVLLECAKNHSLKRFIHISTDEVYGDEDGEDLIEQSILAPTNPYAASKAAAEMLVHSYYKSFKLPVIIVRSNNVYGPH--------QFPEKVIPKFSCLLHRG--EKLLLHGDGKHTRRYLFAGDAADAFDTILHKGLI---------GQIYNVGSTDEISNLELCALLLKQFGHDPAVDFDSDRPFNDRRYAVDATKLKS-LGWVQKTTFAEGLKATVDWYRKF--------- 142262017 -----VFITGSSGFVGFHLSQIFLSYEWKVIGLDAMTQYYDVELKKDHSYEGFLQDHKLLDKICIKYNPKIVIHLAAQAGVRYSIQNPFSYVESNLVGTFHILEMVRKHKPDHLLIASTSSVYGSNKEVPFNENQKSDPVSFYAATKKSNEVMAHSYSHLYNIPVTMFRFFTVYGP----------WGRPDMALFKFTKNILSGKPIDVYNKGNMVRDFTYIKDLIPLEPEQRITNIKNDSISNTAPFRIVNIANSQPIDLVDFIKQLENVLGKVAHKNLLEMQDGDVYKTHSNIELLKELIGPFQRTSLREGIFEFVKWYKDYYK------- 139218513 -SSENILIVGGAGYIGSYVNKYLHKLGYNTVILDNLSTGKKKRVSQGVFIQGDMGNQLLLGEIFENYNISSVMLFAAYISVGESVKDPMKYYFNNVYNPLKLLECMVKHNVLNLIFSSSAAIFGLPENIKINESHPCAPINPYGETKWMVEKILRDFDHSYKLKSSCLRYFNAAGGDPEGELTLFDLASSNLIPTAIQKHMKGQ-SINIFGTDYNTPDGTCIRDYVHIADLA----------------------------------------------------------------------------------------------------- 49084284 -----ILITGGAGFIGSHLTDALLARGYRVRVLDNLSTGKRDNLPLDD-ERVELLEGDAADAATLAAGCAAVAHLAAVASVQASVDDPVATHQSNFIATLNLCEAMREQGVKRVVFASRAAVYGNGEGTAIDEDTPKSPLTPYASDKLASEYYLDFYRRQHGLEPAIFRFFNVYGPRQD------PSSPYSGVISIFTERALKGTPITVFGD------GEQTRDFIYVADLVDFLVQALEATAVEPGAVNV--GLNRSISLKQLLAEIGQVLGGLPPVTHADARAGDIRHSRANNERLLR--------------------------------- 269219080 ----RVLITGGAGFIGANFVHSTVESDADVVVLDKLTYAGNEGLDRVELVVGDIADPDTVDPLVK--DADVVVNFAAESHNDNSLNDPSPFIRTNIEGTFRLLEAVRRHG-TRFHHISTDEVYGDGESRRFMPGDPYAPSSPYSSSKGASDLLVRAWVRSFGVPATISNCSNNYGP---------YQHVEKFIPRTITNMIDGIRPR-------VYGTGEQVRDWIHVRDHNTAVWEIIDKGRI---GETYLIGADGEKNNLEVVQMILAEFGREPDFDHVNDRPGHDQRYAIDNSKLVEETGWSPSFDFAAGLHDTVEWYKANEDWWRPQKD 135731535 -----------------HLSKLLLTEGHEVHGYDGMTDYYDVNLKQDRHKLLELEDHKLLYDDFKKFNPDVVIHLAAQAGVRYSLENPRAYIESNVVGTFNIMEAARKNNIKHLLMASTSSVYGANQNMPFDECDKADTLTIYAATKKANENMAHSYAYLHKLPTTMFRFFTVYGP--------WGRPDMALFKFTKQILNND--------EIDIYNNGEMYRDFTYVDDLVNGITLLIDAIPETGKSLCVNIGNSKKIKLLDFIDAIESELDIKAKRNMMPMQIGDVTETWADVSLLEALTGFSPNTNINQGIKNFIHWYKEYY-------- 10334815 ----RLLVTGGAGYVGSVCTTVLLERGHEVVVVDDLSTGNADAVPAGEFIEGDIAAVAGLGSGNSTPRFDGVLHFAAQSLVGESVERPEQYWQGNVVTTLALLEAMRLSGTPRLVFSSTAATYGEPERTPITEDAPTRPTNPYGATKLAIDHAITSYANAHSLAATSLRYFNVAGAYRGA--GENRVVETHLIPLVLQVALGQREKISVFGTDWPTKDGTAVRDYIHVLDLADAHLLALESSV-PGHHRIYNLGSGAGFHC------------------------------------------------------------------------ 89067504 -------VTGGAGFIGSNLAASFLSEGRDVVVLDNLSRPGVERNLRWLADRVDLRDEPSVREAL--HDADAVLHMAAQTAVTTSLTSPVADFDVNARGTLNVLEALRATGRPVLLFASTNKVYGDLADLTVTEGRPLDFCTPYGCSKGVADQYVLDYAKSYGLPAAVLRMSCIYGPRQFGT-------EDQGWVAHFLIQALKKRPITVFGTG------RQVRDVLDVADAVRAYRAVLGQIDGRGRAFNLGGGPGNAVSLMQVLREIESITGRDIDVTYQDWRQGDQPWFVADTRALEAATGWRARIGWRDGLRGLADW------------- 242093780 -KDAKVFVAGHRGLVGSAIVRRLLSLGFTSVVV-------------RTHAELDLTRQADVEAFFAAERPRYVVLAAAVGGIHANSTYPADFIAANLQIQTNVVDAARCVSVRKLLFLGSSCIYPKFAPQPITESGPLEPTNEWYAAKIAGIKMCQAYRIQHGLDAVSAMPTNLYGPH-----DNFHPENSHVLPALIRRFHEAKATNAP--EVVVWGSGSPLREFLHVDDLADGVIFLMEHYS---GLEHVNVGSGSEVTIKELAELVKEVVGFQGNLVWDSSKPDGTPRKLMDSSKIQG-MGWKPKIALKEGLIETYKWYVEN--------- 140827992 ---KKILVTGGLGYIGSHTIVELMEQNYEVIIADDLSNSNSICGIKPKWFNIDLSDSHKSDNFFKKQEFDGIIHFAAFKAVGESVLNPLKYYRNNLLSLINVMSYLKTYNHCAFIFSSSCTVYGQAKSLPITENEIKNAESPYGNTKQICEEILEESSAAYDLKSIALRYFNPIGAHPTGKIGELPLGPQNLVPFITQSAAGIRGPLNVYGDDYPTPDGTAIRDYIHVVDLAQAHIMALERLLNN---------------------------------------------------------------------------------------- 135273671 --KMKLLVTGGAGFIGSNFIRYWMKKKDKIVNLDKLTYGNLENLKDIDFVKGDVRNPKDVEKAIK--GINLIVHFAAESHVDRSLFAAEEFFTTNVLGTNVLCELAVKHKVKRFHYIGTDEVFGLKSKNKFKETTKFDPRNQYSASKASAEHVVNSYFHTTDLQTTITNCTNNFGP--------YQHPEKFIPLFITNLIEDKKVP--------MYGDGLYVRDWLYVEDHCRAIDLAI-KKGEIGESYLV-GALHKEVSNLDLTKMILNILGKDEYIEHVKDRPAHDRRYAVDWSKIKR-LGWEPKHDLNTWLIKTVEWYKENETWWKR--- 148241285 MTRRH-LVTGGAGFVGSHLVDRLMEAGEEVLCLDNYFTGRKENIRQWIGHRHDVTEPIKLE-------VDRIWHLACPASPVHYQFNPIKTAKTSFLGTYNMLGLARRVGA-RLLLASTSEVYGDPEVHPQPEGNTIGIRSCYDEGKRIAETLCFDYKRMHGTEIRIARIFNTYGPRM--------LENDGRVVSNFIVQALQGIPLTLYGGGQQT------RSFCYVDDLVEGLLRLME----GDHTGPINLGNPNEFTIRQLAEKVRDQINPSLAFVGEPLPQDDPLQRQPVISLAQEELRWQPSIELDEGLKKTIADFRR---------- 141192756 --NMKILLTGGAGFIGSHTAVELVNEGYEPIIIDDFRNSKSENVEKIIGKKVDIGDQEKISSIIKQEKPVGIIHFAADKAVNESVKNPLKYYHNNVGNLIKLLSVIDKHNIDSFIFSSSCTVYGSPSFIPVNEKTPIQPFSPYGYTKQVGEKILTDFFKTKQQTSTMLRYFNPIGAHPSSLIGELPLGPSNLVPYITQTAIGKRKELTIFGNDYNTADGTCIRDYIHVVDLARAHVLSL--KNKHSYNNIYNVGVGNGKSVLELVHSF----------------------------------------------------------------- 152984173 MSERPILITGGAGFIGSHLTDALLARGHRVRVLDNLSTGKRDNLPDGRVELIEGDAADAATLAAALKGCAAVAHLAAVASVQASVDDPVATHQSNFIATLNLCEAMREQGVRRVVFASSAAVYGNGEGSAIDEDTPKSPLTPYASDKLASEYYLDFYRRQHGLEPAIFRFFNVYGPRQD------PSSPYSGVISIFTERALKGTPITVFGD------GEQTRDFIYVADLVDFLVQALEAQAV--ESGAVNVGLNRATSLKQLLAEIGQVLGGLPPVSHAEARAGDIKHSRANNDRLLQRFRFDQPTPMSVGLAR----------------- 145592309 ----RIVVTGGAGFIGSHIVDRLVEEGHEVVVVDNLSSGRREFV--NKSAEFHVRDLKE-REWGVGIRGDVVFHFAANPEVRISTTEPSVHFNENVLATFNVLEWARQTGVRTVVFASSSTVYGDAQVLPTPEEEPLRPISVYGAAKAAGEIMCGTYARLYGIRCLAIRYANIVGPRLRHGVIYDFIMKLKKNPNV----------------LEVLGDGTQRKSYLYIKDAVDATLLAWRKFEELGEPFALNVGNVDAVRVLDIAQIVAEVLGLKPEIKLIPTWPGDVKYMTLSINKLLKLTGWKPAMTSAEAVRKTAE-------------- 218960444 ----KILITGGAGFIGSHLAERLLQEGNEVYVIDNLSTGRLENIKDKPNFHLNILNRELLDKLISNV--EQVYHLAAAVGVKYIIENPLLSLKTNIMGTDNVLEFCNKYKAKAL-IASTSEIYGKNEQIPFSETDDHISRWGYGCSKAIDEFLALAYFREKKLPVVIVRCFNTVGPRQTGQY--------------GMVLPKFIKAALLNQPLVIYGTGEQTRCFADVSDVVDAFIKLMNTPECAGE--IFNVGTTESISITDLAQKVKDMCHSKSRIEYMRYEDADMMHRQPDLTKIKNFIGWEPKHKLDHIISRIIDYYEK---------- 141542530 --SCRILITGGAGFIGSHTCLVLLEKGHSLVVVDNFDNSSPEALRRVQKVEGDLRNPEVLDRAFRSGGPDGVIHFAGLKAVGESVADPLRYWDVNLNGSRVLAAAMERHGCRTLVFSSTSTVYGEPEQFPLHEGMATAPVHPYAQTKLAVEQMLRALCRSGSWRVACLRYFNPVGAHPSGRIGEDPLGPNNLFPFITQVAAGRRDKLRVFGQ------------------------------------------------------------------------------------------------------------------------- 119492189 LRDKRIVVTGGAGFLGRQVIDQLLKAG-----------ANIDKILIPRSQEYDLCTFEACQKVVQGQDI--IIHLAAHVGIGLNREKPAELFYDNLMMGTQLIHCAYQAGVEKFVCVGTICAYPKFTPVPFKEDDPEETNAPYGIAKKALLVQLQAYRQQYNFNGIYLLPVNLYGPEDNFDPRSSHVIPALIRKVYEAQQRGDKK-------LPVWGDGSPSREFLYSTDAALGIVM---ATQDYNEPEPVNLGTNQEVKIRDLVETICELMGFEGEIVWETDQPNGQPRRCLDTQRAKEKFGFVAEVDFKQGLKNTIDWYRQH--------- 157953346 MTSKVVLVTGATGQDGSYLCPFLVNKGYTVYGLVRHTSSENPRVEEINIVHGDLTDSSSLIHIITKIRPDEIYNLAAQSFVHDSFNQAEVTANVDALGVLRLLDAVRISGVNRICQASSSELYGKVQEIPQTENTPFYPRSPYGVAKLYAYWICKNYRESYNMYVCNSICFNHESPN------RGHQFVTRKITKVVANIFNGVEKCMYLGNIDAKRDWGYAKDYVEAMWLMLQQDTPDDYVIATGKTTSVREFVKMAFGVLDIVVEFSKVGDVVMRINKDFYRPAEVDLLVGDASKAQKILGWKPKTSLEELVRMMV--------------- 140809693 ----NVLVTGGAGYIGSHVVRLLSESGNYVISVDDLSTGMGDRL---DCEAVDLTDSTSVEDLLVEKNIEVVIHFAARKQVGESVEKPEFYFQSNIGGLANLLTAMRQAEVKNLVFSSSAAVYGMPDVEKVTELDKTDPINPYGQTKLVGEWMINNASVW-GLSAVSLRYFNVAGAG----WPELADKQALNLIPIILDRIERGESVLVFGDNYPTEDGSCIRDYIHVLDLARAHIDAIEQLGKPG-NQIYNVGTGEGSSVFEVIAEIKKVSGIDFEVEIAGSRA------------------------------------------------ 163938434 --SEKCLITGGAGFIGSHLAEELVKRGYEVTIVDNFYKGHNELMKELRVIPISVLDKNSIYELVNQH--DVVFHLAAILGVKTTMEKSVELIETNFDGTRNILQAALKGK-KKVVFASTSEVYGKGEPPFSEEGDRLYGATSKIRWSYAIETLCLGY-ALEGLPVTIVRYFNIYGPRA------KDGPYAGVIPRFIRAALQGDD-------ILVYGDGKQTRCFTYVSDAVEATIRAMDEKVN---GEIINIGSENEKNIRVVAEDIKKLTKSISKIVHVPYPHGEIPNRRPDVTKLRELVQFQAKVTWEQGLKETIKWFRE---------- 25026936 ----KILVTGGAGFIGSNLVKQLQKDGVDVAVIDDFSTGFRKNLLDITLFEGSILDRELLAEATRGAH--AVVHLAARPSVPRSIQDPVASHHANATGTLYVLEAARVENAHV-TLASSSSVYGANKVLPKSEKLRAMPISPYAVSKLATETYALAYSAVYDLPVLPFRFFNVYGPGQAA----GHAYAAVIPAFVDAALKGLPLPIQGDGL--------QTRDFTFVDTVTQTISRAAREHIRSEDS--VNLAFGTRHSLLDVIEVMEEILGSPLDRDHKESRTGDVRDSQAANDQLISLF---PEINLSEGIAKTIEWFRK---------- 158337095 LASKKVLVTGGAGFLGRQVIAQLQKAG-----------AQLENISIPRSSTCDLRSLSACQDAVAGQDI--VIHLAAHVGIGLNQIKPAELFYDNLMMGTQLIHAAYEKGVEKFVCVGTICAYPKFTPVPFKEEAPEETNAPYGVAKKALLVQLQAYRQQYGFNGVYLLPVNLYGPEDNFNPQSSHVIPALIRKVYEAQQAGAT-------QLEVWGDGTPTREFLYSEDAARGIIMAME---DYNESDPINLGTNSEVSIRDLVTLICRLMDFKGDVVWLTDKPNGQPRRCLDTAKAKEKFGFTANITLEQGLQNTIDWYRQHPE------- 136313097 MKHKNILITGGCGFIGSNLAEHCVALDNNVTILDSMDYGGNSFNLHTIKNKVDLRDPHSLKYLVQNQDI--IFNLAGQVSHIDSMIDPVTDLEINTKAQLNLLECCREYNPNKIIFTSTRQIYGKPKTIPVNESHPINPTDINGINKYSAEKMHSLYHDIYNLKTVSLRLTNVYGP------KQLIKHNKQGFTGIFIREALLGNTIKLFGDGL------QKRDFNYVDDVVDAL--ILAANNDKAIGNTYNLGYPKPYSLLGFVKILQTYCNVNYEIVPFPKKKIDIGDYQGDFSKINQDLLWTPKTSLDTGLKKTVSYFKSYFEHY----- 219852716 -QDKRILVTGGAGFLGSHVVDQLKRKGVQ-----------QENIRIPRSRDADLRRWDDC--VKAVSDIDLIIHLAAVGGIGYNMGHPAELFYDNLMMGVQLVEAARLAGVGKCVLIGTVCAYPKFTPVPFSEDAPEETNAPYGLAKKMLLVQSQAYRQQYGFNSVYLLPVNLYGPGDNFNPESSHV-----IPALIKKFVEAVQEDRDVVEVWGTGQAS--REFLYVDDAARGIVLAAERYNEPAP---VNLGAHQEISIKDLVTLIADLTGFKGSIHWDTSKPDGQPRRCLDVSRAEKAFGFHAEVGFEQGLRETIAWYRA---------- 310828000 ----KILVTGGAGYIGSHAVVQLLDQGYEVVVVDNLSTGHRWAIERAPFIKGDIRNHRLMDEIFEGEKIDAVMQFAADIVVTESETDPLKYYDNNVYGTVALLQSMLKHNVKNIIFSSTAAVYGNTEAVPVTEDIPVTPISPYGRTKALVEQILEDCHKAYELNYCVFRYFNVSGAHEKYPIIDWNMG------------------------------------------------------------------------------------------------------------------------------------------------- 262376385 -----ILVTGGLGFLGSHIALSLLAQGLEVIVVDNLANANLQTLMYVPFIKIDIRNTPALNKVFEQHSIQAVVHTASFKSLEESVLKPLEYYNDNVSCIMSLMRAMQRTGVRHLVHLSSLAVYGQ-SGTDLSEDFNYTYPNPYIKSQQMVEEIIRDTAKTDNWRIAILRLSNIAGAFEHGVLGEAVPPLPKNILPLAMQVAAQQREYLELRRQAHTADGTVERSFLHVADCCDAVIKSLQWLSQQQELKCEAFNIAGEISIQDLLDQLAEVTQSAIKMDALPYPHAEMDQLGANIGKARNILHWQPQRSLRKMLEDEWLFYQN---------- 136337576 -KDSKIFVAGHRGLVGSAIVRVLKERGYSNI-------------LTRTRNELNLLNQKEVFEFFDSEKPEYVFDAAAVGGIYANDTYSGDFIYENIQIQTNLIHSAWRFGVKKFLFLGSVCIYPKFAEVPVREDSYLEPTNDAYAAKISGIKMLQAYHKQYGMKSVSLMPSNLYGPG-----DNFHPDNGHVIPAMMTKFQNSGGKSVMF-----WGDGTPKREFLYSEDLADACLFAMDHFEN---SELINVGSGNNVSIKELSNTVASVVKYNGEIKWDTSRPNGTPNRPLDCSKMTK-LGWKPKHTLSQGLQKTYNWFIEN--------- 2326950 ----RLLVTGGAGFIGTNFVHSAVREDDAVTVLDALTYAGRRESLADRLVQGDITDAELVSQLVA--ESDAVVHFAAESHVDNALDNPEPFLHTNVIGTFTILEAVRRHGV-RLHHISTDEVYGDDDRARFTESTPYNPSSPYSATKAGADMLVRAWVRSLCVRATISNCSNNYGP-----------------YQHVEKFIPRQITNCLTGLLKLYGDGGNVRDWIHVDDHNSAVRRILDRGRIGETYLISSEGERDNLTVLR--SLLRLMDRDPDDFDHVTDRVAHDLRYAIDPSRLYDELCWAPKTDFERALRTTIDWYRDNESWLAS--- 284046947 ----RVLVTGGAGTIGAAVVRRLMRDPAYEVRVSDQRTAPQWMREACEIHTGDLRDVEQARAAMDGCPL--VIHLAAIVGGIGNFHKLPHTLEVNNGLYNAIFRAALDHGVERFTYVSSSMVFENATEYPTTEPQCPTPTSAYGFSKLTGEVYCRAAHAEHGLPYTICRPFNAYGP---GEMPDPEPGIAHMVPDVIKKVLGGQKPLEIFGSGKQTRTLTHIDDIADGIVVATGHPAAL--------NEDFNISASEELTIAETARIIWEECGAAFRLKHLPTFEVDVVRRWPSVEKARELLGWESRISVREGIAQTVAWLRE---------- 70607433 ------MVTGGAGFIGSSFSREVKK----PVIFDLLTYGRLENLIGVDHVKGDIRNYSQLEDTVKKYDIKIIVNFSAETHVDRSINNAHIFLDTNVYGVVNLLEICRRYD-TRLVQISTDEVYGEQENA--TEDFPLRPSSPYSASKASADMFILAYVRTYGVDAIIIRPSNNYGPR--------QHIEKLIPKTIVRTLLGLEIP--------IYGKGDQERDWIYVEDTAKVIAQLVE---TGKKGEIYNVPGGQRTTNIKLVEMIGELMGREPKIKFVKDRPGHDKKYSMVSTK----LSYK-VTPLKEGLSKTIKWYLENEWW------ 143559238 --TKNVLVTGCAGFIGFNLSKTLIKEDHNVYGIDSLNNAYDKKFKELRLHNLENNDLSNENSLNELVDIDVVYHMGARAGVRQSFNDPLSYIKDNTIATTNVANFCKKNDISKMILASTSSIYGNSGEKEMVEDEKINPPSIYASTKLSGETLAKTILSSQNTNLIITRFFTVYGP--------YGRPDMSILRFIHWIMENK--------EVIIFGDGEQRRSFTYIDDVIDF----LIKVQNCNSDETFNVGNNKTSSLNEVIKIIENFSNKKANIVNEPRAFRDPDVVLPSLSKSKNDLEWEPKTNIEDGIKSTIDWYSTFQDKIKN--- 139643782 ---KNILITGGCGFIGSNFVKNIIKNNYFPIIIDCLSYAGNLDNLKNIFVKGDICDKLLVNQLFDKYNFKAVFHFAAESHVDRSIDGPDKFINTNIFGTYNLLEASRKNNNFRFIHVSTDEVYGDDNNGFFTEETPYNPSSPYSATKASSDHLARAWSRTYGLPVIVTNCSNNYGPLQF---------PEKLIPLMIINCLDWRD-------LPVYGDGQNVRDWLYVDDHCNAIKTIFEKGII---GETYNIGGNNEIKNIDIVNTICSILDYSNQIKFVKDRPGHDFRYAINAE------------------------------------- 144131722 ----KALVTGADGFIGSHLTEALLAAGHQVRCLYN-STGSWGWLAELEVVLGDIRDPLCVREAMRGC--EQVFHLAALIAIPYSYVAPASYVDTNIHGTLNVVQAARDLGVSRVVHTSTSETYGTAQFVPITEEHPQVGQSPYAASKIGADQIALSYWRSFETPVAVLRPFNTYGPRQS---------ARAVIPTIITQIAAGQRQIRL-------GALSPTRDFNFVADTCAAFQAVAGCDAALG--QVVNAASNFEISIGDTASLIAEVMNVELEIVTDEQRLSEVNRLFGDNHRLRELTDWQPAYGFRRGLARTAEWFSD---------- 300945562 LQDQRILVTGGAGFLGKQVIDQLLKAG-----------AKSENISVPRSHNCDLRNLEACQQAAKGQDI--IIHLAAHVGIGLNQVKPAELFYDNLMMGAQLIHSAYQVGVKKFVCVGTICAYPKFTPVPFQEDDPEETNAPYGIAKKALLVQLQAYRQQYGFNGIYLLPVNLYGPEDNFNPKSSHVIPALVRKVYEAQQRGDK-------QLPVWGDGSPSREFLYSTDAARGIVMATQHYD---EPDPVNLGTNYEITIRDLVELICELMEFQGEIVWETDKPNGQPRRCLDTNRAKERFGFVAEVEFRQGLKNTIDWYRQNP-------- 141638669 ----RVLLTGAAGFIGMHTTLKLLARGDEVLGVDSLNTYYDPALKQDRFAHLDLSDALPTNALFEKFKPQRVIHLAAQPGVRYSLSHPQTCLQNNIMAFANVLEACRQHAVEHLVFASSSSVYGSNTKMPYPSDPVDHPLSLYAASKKANELMAHTYSHVYGLPVTGLRYFTVYGP----------WGRPDMAPWLFTRAILKGEPIKVFNHGHMTRDFTFVDDSVRALDCVATASETFSKDTSHAPYRIHNIGHQTPVPLMDFIHALEEALGVQAVLNYLPMQAG----------------------------------------------- 143244134 ----TLLVTGGAGFIGGHTAKTALAMGWDVRILDNLSTGEALEAMGATFIEGDVRNATTVADAVKGC--DAVVHFAAQVSVPRSVEQPQETLEINVGGTGCVIQACQQHGVDRLVMASSAAVYGTKDDFPLDERHAGTFHSPYADSKWQNEHQVLEAKKA-GMEAVALRFFNVYGTGQRA------DGAYAAVIPKFIEMALAGRAATIFGDGQQT------RDFVHVDDVAGAVLMLATQPWENELTHVYNVCTRTECSLLDLMAEIHGVLETRHAPNHGPERAGDIARSIGSNARLLEDTDWAPKVVFSEGLRQ----------------- 140193723 -----------------------IEQGHDVAVIDNLSTGKEENIPKAKFSYLDLVNFEKIKPIFK--DKDYVFHLAALPRVPRSVEDPVTTSEINIMGTINTFEASRKAGVKRVIFASSSSVYGDQEIFPLTEDMEPNPISPYALQKLVGEKFARMFSFLYEFPIVSLRYFSIFGPRIT------FKSEYSLVIGKFLKLQKQGKPLTIYGDGEQTRA------FCYVDDVVRANIKAMKNDNIKGGEIINISGEES-----YTINQVAEIIGGE--KTYLPPRKGDILRNQADVSLAEEILNWSPQVSFKEGIKKTREWF------------ 140242471 ----KLLVTGVAGYIGSTFTYEALKKGHEIIGIDNFSNSDDEYPNQFEFVELDIQNETRLNSVLRSHDIDCVFHFAALKSVTESEKKFDSYWENNVEGTESLLEVMKSHRIKNLIFSSSAAIYGEQEKQPIDEKTETKPISNYALTKLECEKLIKHYASNGIVDAISLRYFNPVASHQDFLIFEDYTKSSNLMSVILQAATKKIKSLKIYGNDYPTDDGTAERDFIHIDDLINGHFFAFEKIGKFENYTEINLGTGVSISVLEMVQTFKKVNRIDFQVDFVARR------------------------------------------------- 138720931 ---------------------------------------------------------------------------------------PLRYYNNNVAGSIALVHALLANGVERIVFSSSAAVYGNPDHVPVNEDAPLKPESVYAETKVHVERFLTS-CNAIGLRSVSLRYFNAAGASADASIGENWDMSQNLIPLVMKAILGASGPLRVFGNDYPTPDGTCLRDYIHVEDLAQAHVNALDYLATGGTSMACNVGTGQASSVLDVLRMAEEVSGRSVPHEIVARRPGDPSTVFADPTLVRAILGWRATHDLREIIASAWRWHSK---------- 116748249 ----RVLLVGGNGFIGSHLIDELLRKGYSVRVLDRNPEIFRKAVPGVEYVTGSFADLFTLRE--AVEGCDILIHLAHSTVPSTSLNHPEEEVLASVGAFVNMINCFKHKAIGKIYFSSGGAVYGNPESLPVFEEARAKPISPYGVAKLMMEKYLYMFSYLYGLEYIIVRPSNPFGPRQNYMGEQGVIP-------IFFRKILDDETISIWGDGKGT------KDYLYVEDLAGAVVSLIESGFDKS---IYNISSGIGRSLLSIIDNISNICGKRPNIEFVAKRTHDVSNITLSFDKIRNRTGWVPTTTFEDGLIQTFKW------------- 114566251 IKGKRFLVIGGAGLIGSHTVDELCKEDVEIIIYDNFSRGRIENAMRDPRVKIDLGGELLHRDILDMANIDGVFHFAALWLLHCYDYPRSAF-EVNIGGTFNVLEACINNGVKRLVYSSSASVYGDAVEEPMTEDHPYNNNNFYGATKIAGEHMARALYHRYNFNYVGLRYMNVYGPRQD------YRGTYVAVIMKILDRLDKGLPPVVFGD------GSQAYDFVYVGDCARANVCAMKADSTDS---FYNVGTGIRTTIKELAELVLEITGSDQKIKYEPQGLTFVKNRIGSPVKAEQEINFKAQMPLREGLIKLIEWRNAH--------- 298491508 LTNKRILVTGGSGFLGRQVIDQLCKAGAD-----------REKITVTRSYNCDLRVWENCQRAVDQQHI--VIHLAAHVGIGLNREKPAELFYDNLMMGVHLIHAAYQVGLEKFVCVGTICSYPKFTPVPFKEEDPEETNAPYGVAKKALLVQLQSYRQQYGFNGIYLLPVNLYGPEDNFNPSSSHVIPALIRKVHEAQIQGEK-------QLPVWGDGSPTREFLYSTDAARGIVMGTQFF---NESEPINLGTGDEISIRDLINLICELMEYEGEIVWETDKPNGQPRRCLDTEKAKEAFGFTAQVSFQEGLRNTIDWYRHN--------- 291615343 ------LVIGGAGYIGAHLVPQLIATGRHVTVLGRSLTPRYELPNEATYISGDFGQRDLIGSLLANHQEG--IHLAYATVPNTSFDNPLADLLENLPPTVQLFSEAAERGTKLILMSSGGTVYGEANELPILETHPTKPISPYGVTKLTLENYAYLYAVTHGLKFVCIRPGNAYGAGQRPFVGQGFISTALASAMT-------GKPIRIFGQQGTI------RDYLYVSDLASGIVSALQHGQL---TETYNIGSGVGLSNLDVIEAIKVLLGCSVKVENLPARAFDVKTNVLDSTKLETHTGWRPKVEFEEGLARTYDW------------- 134805117 MKEKSILVTGGLGYIGSHTVVELINQGYDVHIIDNLCNSDISVLIKPKFYHKDLRDLKSISKCFQENKYYSIIHFAALKSVKESVLEPDKYYNNNIKSLENIFFSMERFGVEKLVFSSSCTVYGQPDKLPVTENSFKKPESPYAETKQISEDMISNFCRINNIKIISLRYFNPIGAHSSGIIGESPNGPENLVPYITQTALGKLDFLKVYGNDYKTHDGTAIRDYIHIEDLSNAHVKALHRLLNKKDSNYEYYNIGTGT-------------------------------------------------------------------------- 136569760 ----------------------LIARGDKVIGLDNINDYYDVRLKEARFVKLDLANRQGMIDLFKEYPIARVVHLAAQAGVRYSLVNPYAYTDSNIEGFMSILEGCRHRKVEHLVYASSSSVYGGNTCMPFSHDNVDHPVSLYAATKKANELMAHCYAHLYRIPCTGLRFFTVYGP----------WGRPDMALFIFTKAILEGKPIEVFNNGKMQRDFTYIDDIVEGDHKARPNPAWSGERPDPGTSRIYNIGNHQSVELLRFIEVLEQALGKKAEKKLMPIQPGDVPATYADINDLTRDVGFTPSTSIEVGIPRFVEWYRKFYK------- 138144283 ------LVTGGSGFIGSALIRLAIKKGYQIVNLDSLTYAGSNSNLNYFFENVDIRDRAKLNDIFLKHKPDSVIHLAAESHVDKSIESPNNFIDTNINGTFNLLEASRFFNKFRFLHVSTDEVYGDDINIRFTEETPYNPRSPYSASKAGSDHLVKAWYKTYNLPTLITNCSNNYGP--------YHYPEKLVPLIIINSICHRPLP--------IYGNGKNIRDWIYVDDHVEALLLVLEKGT---VGRSYNIGSEKEVTNLDLVLKICKILDYSDLITFVADRPGHDFRYSIN--------------------------------------- 139418471 ----KILVTGGAGFIGSAVVRLAIARGHNVINVDVLTYAGCLSNLNYVFEQVDIRDRETLDAVFTKHKPDAVMHLAAESHVDRSIDGPVDFIETNVTGTLNMLEAARSYWTFRFHHISTDEVFGLGPTGMFTEDTPYDPRSPYSASKAGSDHLVRAWHETYGLPVVLTNCSNNYGP--------YHFPEKLIPIVILNALAEKPLP--------IYGNGENIRDWLYVEDHADALLLVLEK-------------------------------------------------------------------------------------------- 142187689 ---RHWVVTGGAGFIGSHLVEALLAAGDRVTVIDDFSSGRREFLAAVQGHSGDVRAPDLFAAAMAG-PVDGVFHLAARVHVQDCIADWLGGHAANAGTTLMVMAAAADRGPIPLVYASTAAVYGDCGTALCREDRTPVPLSPYGADKLACEHHGRAFHGIRGLPSAGLRLFNVYGPRQDAA-----SPYAGVIARYVSNIEGNL-------THEIHGDGGQTRDFVHVSDAVRALRAAMDRLHRHPDAVVCNVCTGRALSVHRIAALIDDLAGRPHAVRRRPARAGDIRHSQGCPARMADLLGLTDMLPLEAGLA------------------ 141928992 ---KNILITGGAGYIGSHISEVLIKNKKKIFIADNLSTGHRRLIKKAKFFKADILKNEKIRDIIIKNKIDSVVHLAANLIIGEGEKFPKKYFRNNVLGTKNLLAACKNTTIKNFVFSSTAAVYKDGQYK-VDEKSVIKPKSVYGKTKIKAEKIIKQFCKRNKINYCILRYFNIAGSSSSGKIGLINKRSDHLFKNFSREIIKKKPILKIYGNDYDTKDGSCIRDFIHVSDIAEIHYKVLEKIDKLNNSKILNCGYNRGVSVLEVAKAFKKQSSKK---------------------------------------------------------- 57235023 -----VLVTGGCGFIGSHLVDALLSQGFKVRVMDNLSNGSLENLKCGQRDKLEIINGNLTDKFLAVKGCETVFHLAAHANVQNSAKDTGIDLENNTLATHNLLEAMRRNRVDRLVFASSAAVYGESGLTVLDEDGPLLPISLYGASKLAGEGLISAYSHLYGLKATMFRFANIVGSRRHSGVIYDFVSRLRQNPS----------------SLLVLGDGSQSKPYLHVSDCVAGMLLGFEKSTK--NLGLYNLGTPDSVAVRDIACLVASEMGLKNCYSYERGWQGDAPQVRFDISRIRT-LGFKPKFTSLQAVKLAIKETLK---------- 218202484 -----------------------------------------------------------------------------------------MYYENNVAGTMNLYSAMTSTAARRIVFSSSATVYGQPEKTPCVEDSKLSALNPYGTTKLVLENYFRQVQAADPMRVILLRYFNPIGAHRSGDIGEDPRGPNNLLPYIQQVAVGRRPELNVYGVDYPTRDGTAIRDYIHVVDLADGHIAALEKLFATPDIGCYNLGTGCGTTVLEVVKAFEEASGKKIPIKICPRRPGDCTEVYASTDKAKKELGWSARFGIEDMCRDQWNWAKKNPYGYS---- 135476708 IAGKKLVVIGGAGLIGSHTVDRLIKHDVEVIIYDNFVRGREENLLKDPRVKGDIMQTDILQSALKGADGA--FHFAALW-LLQCHEYPRTAFDVNIRGTFNVMEACVDQGVGRLVYSSSASVYGDALREPMDEEHPFNNKNFYGATKIASEAMLRSYHHRYGLNFVGLRYMNVYGPRQD------YHGAYIAVIMKMLDAIDNGASPTIMGDGSE------AFDFVSVEDCA---FANVRAMCSSSVDEFYNVGTGKRTSLKELAEKLINLTGSNQPIVYKQRQATLVRNRIGCPKKASEQIGYTAEIDLDEGLKRLIDWRASHIKEVEARR- 292491433 MQDERIFVAGHRGLVGAAILRQLKTEGFKNLIFRS-------------SRELDLRDRRAVEAFFEQEQPAYVFLAAAVGGILANNRYPAEFICDNLHIQTNVIDAAYRGGVKKLLFLGSSCIYPKYAPQPIKEESPLEPTNEWYAAKIAGIKMSQAYRRQYGFNAISLMPTNLYGPGDNFDFKTSHVLPALIRKFHEAKVACAP-------EVVVWGSGTPRREFLHVDDLADAAIFLMRHY---NEGEIINVGTGKDITIADLARLIKEIVDYSGEIVFDTSKPDGTPRKLLDTTKL-TQLGWQPKIDLRGGIASTYKWFLEN--------- 141716356 MKKNKLLVTGVAGYIGSTFTYEALKKGYEVVGVDNFCNSHRNHADHFEFIELDLQNKAELNSVIRQHNIDCVLHFAALKSVPESEEKFDLYWKNNVEGTKSLLEAIENNEIKNIIFSSSAAIYGEQEIQPINEEAQTKPVSNYALTKLESENHIKDYASNGIINAISLRYFNPVASHEDYVIHEDYTKSNNLMSVILQAANKKIDVLKIYGNDYPTKDGTAERDFIHISDLIDGHFCALDKIGKLENYTEINLGTGASISVLDMVQTFKKVNKID---------------------------------------------------------- 140383002 -----ILVTGSAGFIGFHVCINLIKKGFNVIGVDNLNDYYDVKLKKARLDEIDLEDKNNLDLIFKEHKPKKVINLAAQAGVRYSINNPSKYINSNLVGFANILELCRSYEVEHFLYASSSSVYGGNKNLPFSENDFVDPVSLYAATKKANELMAHAYSNLFNIPSTGIRLFTVYGP----------WGRPDMAPMLFTKAILTSKPIKIFNNGDMYRDFTYIDDFIPPLSHSDKRDLDTSEIKITTPYRVINIGNSTSIKLIEFVETLEKELKKKSIKIFEKMQLGD---------------------------------------------- 143380805 ----NVIITGGAGFIGKHLSNYLLKNGFAVTIFDNFSNSKKKSVTNVKIIEGDITDIQSITNATKNQ--DYIIHLAAKISVEDSIRNSSETFRVNVDGTINVLKACKKNFVNKLIVTSSAAVYGEGRGVKLTESSKIKPISPYGESKSVMEEEIRKFASKYDINYTILRLFNVYGIGQS--------DEYAGVITKFIKNIRDNKSFEIFGDGMQT------RDFVAVQDVIASIQNAIM----SGKNKIYNIASGKSLTIKELAEFMIKLSKKQLDIKYLLPKEGDIKYSQSDISLAIKELKYIPKHTLYEI-------------------- 75908317 LKNKRILVTGGAGFLGRQVIDQLCQAGAD-----------TAKISVPRSRDLDLRVWENCQRAVD--QQDIIIHLAAHVGIGLNREKPAELFYDNLIMGTQLIHAAHQAGVEKFVCVGTICAYPKFTPVPFKEDDPEETNAPYGVAKKALLVQLQSYRQQYGFNGVYLLPVNLYGPEDNFDPGSSHVIPALIRKVYEAQVRGDQ-------ELPVWGDGSPTREFLYSEDAARGIVMGTQFY---NDSEPVNLGTGYEISIRDLVTLICELMEFKGEIVWETDKPNGQPRRCLDTERAKQAFNFTAQVDFKQGLKNTIDWYRQN--------- 139141736 ------------------LVEFLLKRDYEVLVLDNLSTGRIENLKEFIFIECDISIRGKWNKYFT--DVDIVFHIAALADIVPSIENPDNYYLSNVDGTFNVLEACRKYKVKKIIYSASSSCYGIPNKFPTDENEPINLQYPYALTKYMGEQLLMHWSQIYKINAVSLRFFNVYGPRTSGTYGAVF--------GIFLAQKLAGKPFTVVGDGKQT------RDFTFVSDIVNAIVTAAESNIT---TEIINIGSDNTYSINHLVNLL------GGEVIYIPKRPGEPNCTWADITKAKNLLGWEPKISLESGVKDNIDYWKEAP-------- 265525341 -SNSKVFVAGHKGLVGSA-------------IYRNLESKHYQNIYWIGRDNCDLRNKLEVDAYFKQSKPEYVFLAAAVGGIGGNSTYPADFIYDNLMIQTNVIDAAYRNGVKKLLFLGSSCIYPKFPKIPITEDQLLESNSAYAIAKIAGMRMCQAYRQQYGFNAISLMPTNLYGPNDNFDINNGHVLPSLIAKFHGSLEKSEHWVVKLWGDG------SPKREFLHVDDLAEACVKCMQEYDDE---EHINVGTGEDVTIKELAETIVDVVGYKNHYEWDTSKPNGTPRKVLNVDKMKS-LGWEPKIGLREGIESTYEWYKENVR------- 143072647 ----RLLVTGGGGFIGAHTAEIALRSGHEVTVLDDLSTGLAHRNSGARTVLGDIRDSAARADALEGVH--AVVHLAAQGSVPRSVEDPDSTLDVNVRATDALLEDMAERGLTRLVLASSAAVYGDLEEVPHVEDMVGERQSPYADSKWANEQAVLR-RRQEGWEALALRFFNVYGPGQRA------DGPNTGVIPIFVTHMCNRTAPTLYGGGKQT------RDFVHVDDVARLLVDLATKPWKAPPRGVYNVGTGIQTSLLDLVHTIADALGEHLAPLIGPARSGDLDHSLADVTAIAADLGWQAKITL----------------------- 242240103 ------MVTGGAGFLGSHLCERLLKDKCDVLCVDNFYSGNVAHLLDNPHFELMRHDYVEVDEIYNLACPASPIHYQ---------WDPVQTTKTSVHGAINMLGLAKRTKAKILQ-ASTSEVYGDPEVHPQREDYWIGIRSCYDEGKRCAETLFFDYHRQHELNIKVVRIFNTYGPRM--------HPNDGRVVSNFIVQALRGEDITIYGDG------SQTRSFCYVDDLIEGFVRMMASSSNI--TGPFNMGNPVEFTIKELAETVLRMVGGPSKLVFKSLPQDDPKQRKPNIGLAHDTLGWEPKVELDKGLKETISYFREF--------- 143827253 MMKTKIYITGVAGFIGFHTAKKFLDKGIRVHGLDSINNYYDVKLKISRFTKGLLENQKILNKSVFSFKPTIIIHLAAQAGVRYSIDNPDVYLNSNILGTFNVIKIANKIKVKHLIIGSSSSVYGANKKIPFQEIDKTDHVSFYAATKKSTESLAHSYSSLWKLPITMLRFFTVYGP----------------WGRPDMAYFKFTKKILSQQKIDIYNKGKMFRDYTYIDDIVDGISKLITKIPSKKQISKYNIGNTKKISLLNFINTLEKELKRKTKKNYLPMQKGDVYSTLSNSTLLKNITGYNPKTDYKKGIKKFILWYLSYYK------- 289635817 ----RITVFGGGGFIGSTIVDRLLRDNHEICVFERPRVGPYREFNKSEKVCWMTGDLTSVHDVTKAIDGDIVVHLVSTTLPKSSNDDPIYDVQSNLVATLQLLNAMVAKNVRKMVFISSGTVYGDPVYLPIDEKHPTNPKVSYGITKLAIEKYLLLYQYQYGIKANILRVANPYGERQ-------RVETAQGAIGVFLDKALRQQPFEIWGDGTVT------RDYLYIGDVAEAFARAVQY---DGSESVFNISSGYGTSLNEIIVKIEAILGHPVERTYRSRRAFDVPASVLDNSLAKRELGWEPKVTLDAGIRITAAW------------- 136225925 --NKSVLVVGGAGYIGSHISKHLIRNGYTPVIVDRNVKNNPIAKQFTDVCEMDLKDIHLIDDLVKRYNIDSCICTAAYTSVPESVKEPMKYYQNNVVMTLQLLNKLKDLDVKKFIFSSSAAVYGIIKNGVCFDDSILEPINPYGQTKLAMEKILKEYNTAYGINSISFRYFNAAGADPEGEIGELHDPETHIIPLIIRAGFQATD-FNVFGTDYDTPDGTCVRDYVHVTDIAEAQIKAL-NLLNNNMCESLNLGSGNGFSNKQIIDEVTRHTGAINVINAPKR-------------------------------------------------- 256395794 -------VTGGCGFIGSHVVDQLVEAGHEVVVVDSVI---RKLNPAAEYRQADILDLAGLTAALD--GGEVVFHLAAAADVEQVTADPVRALRLNVEGTGTALEAARRTGMNRFVLASTVWVYGAAHTDSLTEDDLRRSGHLYVATKLAAEMAVQSYRELYGQHFTILRYGIPYGPRMRDALVI----------AKFVQAALAGQPITIAGEGRQT------RNYVYVRDLAAAHVLAL---SPTAEDETIALEGTTPISVRHIADTVDGLLG-PITVQQVPARAADYAGTRISNAKAKRLLGWSPTTGFTEGVRRYVEWYRA---------- 158313122 ----RVVVTGGAGFIGAHLTRALLARGCEVVVVDDLSTGARSNLIGLPARLVSVTDRELLED--ACTGADSVVHLAARPSVERSLLDPLATHHVNATGTLTVLDVAQRGE-THVVVVSSALVYGTSGGRSQSEDDPPRPTSPYAASALAAEGYALAHQASFGLPVLVARLFNVYGP-----YQPARHAHAAVVPSFIDAALRGRP-------LPVHGDGRQTRDFTYVEAVAGLLADAACRRLAHPGP--VNLAFGTSTDLLALVAHLEDILGRRLDVAHGAPRAGDVRDSRARPDAMHALFGSVERSDLRATLEETVYWYQE---------- 258540194 ------LVTGGAGFIGSNLTELLLTDDNTITIVDDLSMGLRTNIPRITFYEHSITDHAFMSQLLMEGRFDYIVLLAAIASVADSVERPYATHQVNQEANLNIIETLRTQKIPKLFFASSAAVYGDSPELPKKEDMAVKPLTQYAVDKFATERAVLNYGRLYDMPMVCTRFFNVYGP------KQNPKSPYSGVLSIMMAALQEDKPFTFFGD------GEQTRDFIYVGDVVHAIRGLLETPSARDD--VFNVANGKQTTLNQVAKELEKLTGKTLHATHKAPRLGDIRDSYAQTDKL-DQFDFMTHTPLAEGLAKYVA-------------- 136186110 ------FVTGAAGFIGSNFIRRLKSKEHQFISVDKLLYPHSKSNFYDNFYIADIADSITINNILEIEKPDAILHFAAESHVDHSIQTAFPFIHSNVLGTQTLIDAAVKHKIKMFFYISTDEVYGSESDPSWDENANLNPRNPYSASKLSGEFLVKAAHETHGLQYVITRCSNNFGPRQS----------LRNLIPKIIKNISENKEVPIYGQGL------QMREWIFVEDHCDAIWKIIE---SNKYNEIYNISTGNEITNLELFNHIANMMGKGHNLKFVEDRPGHDFRYSCNSNKLRGI-GWKPSSKFTKSLESTISWY-DNNRWF----- 284166287 LSNGRVLVTGGAGFIGSHLTERLLADGVDVTIVDDLSNGDADRVPEGTFIEADLTEPGVLDGRLD--DIDLVFHLAASKHVDTDRPHGQ--FDDNTRMTRNILEAMADAGVTEIAYTSTSTVYGEAPRPTPEDYAPLEPISAYGASKLADEGLLSARAHSHDLTVWNFRFANVVGPRLRGAVIPDFIEKLQDDPE----------------TLTILGDGRQEKSYLDVEDCLDAMFHVVEHADDAMNTYNLGTRTTTSVDRIAAIVADEMDLDPDFEYTGGERWTGDVPKMRLSIEKL-SALGWEPTRSSDEAVRRA---------------- 116788501 MDTRKLFVAGHRGLVGSAIVRKLIESGFENLVL-------------RTHQELDLTRQADVEKFFSDERPKYVIVTAAVGGIHANNTYPADFIAINLQIQTNVIDASYRNGVKKLLFLGSSCIYPKFAPQPITEEAPLEPTNEWYAAKIAGIKMCQAYRLQYKWDAISGMPTNLYGPNDNFHPENSHVLPALIRRFHEAKVSGAK-------EVVVWGTGSPLREFLHVDDLADAVMFLMDKYS---DLPHVNMGSGIEVTIKNLAELVKEVVGFEGELKWDPTKPDGTPRKLMDSSRLAN-MGWKPKISLRDGLIGTYKWYVENY-------- 142847255 -ASKTI-ITGGAGFIGSNLADHLIKIGHKVIILDNFVSGKKSNLSHHKIVKIDISKSKKLDKYFKGV--DYIFHLAALAQIIPSIKNPKKYFTNNVLGTLNVVKAAKKAKIKKLIYAASSSCYGTPNKIPTSEIDKIDLKHPYALTKYMGEEIVMRYASMFNMPNISFRFFNVYGPRLT------ISGQYSAVIANFLSQRKNKKPLTIVGNGKQT------RDFIHVDDLANAFIKAI-------KSKSFNKVYNLGSGKKTSINTIAKIFGGK--KKYIPRRPGEPKNSLANISKIKKE-------------------------------- 134721323 ---------------------------------------------------IDFREIDEVKSLFNEYDFENIIHFAALKSVSESVKFPNLYHENNVGSLKNILECVKSNPRKNFNFSSSCTVYGQANKLPITEDHVVKQESPYGETKKKCEEIISEFCKKNNINSISLRYFNPIGAHKSALIGELPNGPQNLVPYITQTAIGIREELTIFGSDYPTKDGTCIRDYIHVIDLAISHIDSLNYLINKNHYSYFNVGTGNGTTVLELVNSFEKVNKIKLNYSFGGRRDGDITEAFADCSKIYNELKWKANLTLEEALESAWKWEKN---------- 212693267 ----RILVTGGCGMIGSNLVKRLVKEGNDVYVIDNLWRGKLEYLLDTHFFNIDLSVGNECDRVV--FNVDYVIHLADIAGIDYVFGNQGELFRLNNLINTHVFNSVRKAGKDRLIYVGTACSFPLTRQVPLKEEELFPPESAYGWSKLMGQIELGFLEKETGIPCCTLMFHNVYGSPCDYGERSQVIPALIRKAVNYPKE-----------PFNVWGSGSQGRAFIHVDDIVEALCLALEKGWEHG---YIQIGPSVCTSIKEIAETIVKISGKNIEIVYDTTKPEGDKARSADYTKAKTILGWEPKVALEDGLRQQYEW------------- 135218380 -AGKNVFITGADGFIGGWIAKTLVERGANVVVVVRDPRPSSALTLHNIKDKVDICDYKLMRRIFNEYEIEYCFHLAAQPLVQLANNSPLSTFETNIKGTWTILEALRNVAPKNFKIASTDKAYGTHEQLPYTEESELRGIYPYDASKVCADVIARCYATMYKMPIAVTRKANIYGGGDMN----------------FSRLVPDTIRSLITGKELIIRSDSPQRDFLYIEDAVDGYLTLAEH-IDRVKGEAFNFSSGEPISVLDLMKAIIRVYGKEVIPKILGDARGEIDVQYLANQKAANVLGWHPKHTLDQGIAKSIAWYKNHF-GMNNE-- 296446586 MREERIWVAGHRGMVGSALTRLLRAQGRNVITVDR--------------SILDLRSQPEVEFWLRASQPTAILFAAAVGGILANSRYPADFIYDNLTIQSNVIHGAHKAGVERLVFLGSSCIYPKFAEQPIREDSALEPTNEWYAAKIAGIMTCQAYRRQHGRRYISVMPCNLYGPNDNFDLETSHVLPALMRKLHEAKLSRAR-------EVVVWGTGTPLREFLHVDDLARGVVHCLDHYD---DYPHINCGAGSEVAIREIAETMARVVGFDGRLVFDTTKPDGTPRKIMDSSRIRA-LGWAPEISLENGLAATYRWYLE---------- 142404321 --KKKVIVTGCSGFIGFHLTSALLDKGYEVIGIDRLNDAYDVNLKKFRLSK--IKDHENLKFLKFNNEVSAVYHMAARAGVRQSFLTPESYVEDNTVATTNIAKFTKSNKIEKLVIASTSSIYGNSGENLMSEDEKIQPPSVYASRKLSGEILSKIMMEDSDTNLLLPRFFTVYGP--------YGRPDMSILRFIHWILEEK--------EVLVLGDGEQMRSFTYIDDVIEALLLMLDY----NESDTFNVGSNTTVSLNEVIKTIEKYTGKKAKIKNEKRAYKDPDVVRPNLENIANKLNWKPSTNIETGIEKTVSWYIDNKNTMND--- 282866111 ----RVLVTGGAGFIGSHIVRALASGGHEAVIFDALKPWSADCVPGVRTVIADVRDREAVAAALSGV--DAVCHQAAMVGLGKDFADAPEYVGCNDLGTAVLLAGMADAGVRDLVLAGSMVVYGEGTPGLVAEEAAADPRNVYAATKLAQEHLAASWARATGGRAVSLRYHNVYGPGM------PRDTPYAGVASLFRSALARGEAPRVF------EDGGQRRDFVHVRDVAAANAVALAALRERGPGTFYNTGSGQPHTIGEMARALASAHGGPAPVVTGEYRLGDVRHVTADSRRLRDELGWKPETEFVAGMREF---------------- 67524987 -----ILVTGGLGFIGSHTTLELLKASYNVVVIDNLSNSHDERGTEMPALHLDYRDTAALRQLLDQYQVDSVIHFAAYKAVEESIKNPLKYYANNVSGLIDFASTLGKYGIKTFIFSSSATVYGTTSGLPLKEELCTGITNPYGRTKWMCEAILADLAASDPEWTIVARYFNPIGCDESGLLGEDPKQPTNLLPVVVKVMTGEYNELSMFGTDWETEDGTAVRDFIHVTDLARGHIAALDAANERKNFRAFNLGTGRGHSVKEVVDAMESVSRKQIPVRAAPRRPGDVGSCVAVATRSQQELRWKTEKSLTDAC------------------- 136850933 --KMKIMVTGGAGFVGTNLIKRLLKDGHEVVSIDNYSTGTEENHQEGCYHDVDIRDAVDFD--FFMEEPDVIYHLAALPRIQPSFEFPALTMEIGMLGTMNILEWARNKEC-KVIYAGSSSVHSGHYS------------NPYTFSKVMGDELCIAYKKIFGVNAKICRFYNVYGPHQLT------EGEYCTVIGIFERQYANKEPLTI------TSDGEQRRDFTHIDDIVDGLILTSE--SDDLDLDIIELGRGHNHSINE----LADMFGKDYPTEYIAERPGEARITLCDTSVAKKDIKYNPRVNLKDYIEEVV--------------- 140122448 --------------------------------VDNLNDYYDVRVKEWRFEKLDIENQESLKALFKNQGPDAVLNLAARAGVRYSMENPHVYLSTNAQGTLNLLECMRKNGCPKFVLASTSS-LYAGQKMPFTEDLPVEPLSPYAASKKAGELMAYSYHKLYQVDVSVVRYFTVFGP----------AGRPDMSIFRFIKWIDEEVPIELFGDG------SQSRDFTYINDIA---IGTIVAINEIGYEIINLGGGRNPVSLNTVISKLEELIGKKAKIDHKAFHIADIKETWADIRKAKQLLGWEPKVSLDEGLEKSVSWYMQNREWL----- 3061300 -AGRQVLVTGADGFIGSHLTETLVSRGARVTALRNLSAATVDALER--VVHVDLAGPSAVD-VLGRLEADTWFHLAADAYVPASLDQPADVVRTNVMSTLHVLLAAQQRQPAHLLVTSSSEVYGSQPDA-ITERHPLEPATPYAASKVACDRLAWSWHHTYGLPLTIVRPFNSYGPR-----------HVYDAVPLFLARALRGEPITINGSG------EQTRDLTFVADTVAGFLALAELPAT---GETYNIGTGTDHRIIDVARAIVALTGSQSEIVHGPPRSGEVLKLQADPAKLTEATGWRAEYDLARGLADNLVWMREH--------- 239981328 ----RVLVTGGAGFIGSQVVRELAAAGHEAVVFDALPAAHPHGPREARSVVADLRDAERVRAALA--GIDAVCHQAAMVGLGKEFADAPAYVGCNDLGTAVLLAEMAAAGVRDLVVAGSMVVYGEGRPGLVAEDAPTDPRNVYAATKLAQEHLASAWSRATGGRAVALRYHNVYGPGM------PRDTPYAGVASFFRSALARGEAPRVYEDGA------QRRDFVHVRDVASANTVALAALAGREPGFFYNTGSGEPHTIGEMAAALAAAHGGPAPVVTGEYRLGDVRHVTADSRRLRDELGWKPQVGFAEGMAEF---------------- 142051157 MKT---LITGGAGFIGSHIADALLAAGHAVAVLDDLSSGSADNLPTGPLHQVDIRDTAAVARVFATERPDAVCHQAAQMSVSRSVREPRFDAEVNCIGLINVLDAAVACGCKRVVFASSGGVLYGDVTEPAPETTPANPISPYGITKWVGEKYLEFYAREHGLAAVALRYSNVYGPRQNP--------------------------------------------------------------------------------------------------------------------------------------------------------- 135570800 --NKKILITGATGFIGSYITELFVKKGFKVKAFDRYNPNYQLNCLEKSFYKGDIRDYDSVNKAAKGQDI--IIHLAALIGIPYSYSSPIAYVKTNVEGTYNILECAKTHNINEILVTSTSETYGTAQYVPIDEKHPLVAQSPYAASKISADQLALSYYNSFNLPIKIVRPFNNFGPRQSPRAVIPTI-----------------INQAINSKFIKLGNIKPKRDYVYVEDTAEAFYQILLKKNFFGKVVNV--GTGQEHSIKSIVQLIQKILGTNKKVLIDKNRIRHVDRLKCNNNFLKKNTNWKTSDSFNNHLKKTIKWFQAN--------- 87300563 ------LVTGGAGFVGSTLVDRLMEAGEEVICLDNYFTGCKANVRWIGHPHFELIRHDVTEPI--RLEVDRIWHLACPASPRHYQSNPIKTAKTSFLGTYNMLGLASRVGA-RLLLASTSEVYGDPEVHPQPESNPIGIRSCYDEGKRIAEALCFDYMRMHGTEIRVARIFNTYGPRM--------APDDGRVVSNFIVQALRGQPLTLYGD------GSQTRSFCYVDDLVE----GLIRLMNGNHTGPINIGNPGEFTILQLAEQVLQRINPELPLTYLPLPQDDPLQRQPVIDLARAELGWEPQVTLEQGLGPTIA-------------- 136466583 ----KVLVTGGAGYIGSHVARDLKNAGYEVRIYDDFSNGLEKRVKFSDIFRGDILDRQALAKALQ--GIDSVIHLAAKKAVGESVENPLKYYLNNVSGTLNLLEAMNINKVKKIVFSSTAAVYSPSEKAAIEESDPTQPLSPYGATKLLSEELIEKVAATEKLTSVSLRYFNVVGAGSD----ELGDNSRDNLVPKVFQALSASHSPEIYGDSYPTPDGTCIRDYIHVIDLSRSHLAALKMVEEGAAGSSEIFNVGSERDIR----------------------------------------------------------------------- 221369996 LAGKRILVTGGSGFVGSHIVDLLLREGCEVAVIDNMVRGRPENLAGARLVTGDIRDRALMESLV--EGRDIVFHQAAL-RITHCAAEPDEAMSVMVQATYDLLQDCVRHKVGKVVMASSASIYGLAPHFPTPESDPYDNRTLYGAAKSFGEGLLRSFNDMYGLNYVALRYFNVYGPRMDL------HGRYTEVMVRWMERLARGEAPIVFGDGLQT------MDMIDVRDVARANILAAKAAATD---TVFNIGSGTETSLLQLARLLGEGMGRPDLVEHAPERVNPVPRRLASVSAAAEQLGFTAAIPPERGLRDLIAWWR----------- 136487478 ----RILVAGSAGFLGTNLCHRLLSEGHEVIGADNLYTGSFQNVPKFKFFHHDVNTPIN-------FDIEAVINFASPASPIHYQRDPIYTLKTNIIGTSNLLELAHAKGAVYLQ-ASTSEVYGDPEVSPQHESYWIGIRSCYDEGKRAAETLVMDFNRLYGLDTRIARIFNTYGPHMAVNDGR--------VVSNLVTQALKNQPLTIYGD------GNQVRSFCYVDDLIEGIVSLLTADSIH---EPINLGNPQPITMNQLAEDILRITGSKSKKVFLGLPQDDPRTRTPDITRAKVHLDWEPKVDREEGLIQTVNYFK----------- 136464036 ----NILVTGVAGFLGSHLSEQLAKQGHNVFGIDNMIGGYEDNIPKNIKFKIDCCDFLKVKEIMRGINI--VYHCAATAHEGLSVFSPFEITKNNYLASVSIFSAAVNEKVKRIIFCSSMARYGE-QQTPFLETMKPSPVDPYAISKVAAEEVLINLCELNKIEWVIAVPHNIIGPRQRYDD------PFRNVVSIMINRMLQGKAPIIYGD------GEQKRCFSYIDDCLSCLLPMLDQKNLNK--QIINIGPDEEFVSINKVAEICSITRTNLQPIYKPVRPREVKHATCSANIARKLLKYKTTTSLEEGIQKTYEY------------- 218883571 --SMRYVVTGGAGFIGSHLVDYLVYRADEVVVIDNLSSGSPRNIEMHIDVKADLSKRGEWEKGLKGADI--VFHYAANPEVRISSVEPGIHFENNVVATFNVLEAMRLGDVDKLVFASSSTVYGEPSVIPTPEDHPLKPISIYGASKLASEVLIQVYSELYGFKALILRYANIIGARSNHGVIVDFINKLRTNPS----------------RLEILGDGTQRKSYLHVSDAVEATMHLAVNKLNSMHGVDYNVGNHDWVTVTEIADIIVEEMGLGR-VDYVFKKPGDVKLMLLDIRKLES-TGWKPRLSSRDAVRRTVR-------------- 255319043 -----ILVTGGLGFIGSHVVLNLLAQGQEVIIVDNLANANLQTLMYVPFVKIDVRNTPALNKVFEQYSVDAVIHAAAFKSLEESRLKPLEYYNDNVSCIMSLLRSMQRTGVRSLVHLSSLAVYGKSGDLTEDEPFNYTYPNPYVKSQQMVEEIIYDYKTDNEWKIAILRLGNVAGAFEHGVLGEYVTPLPKNIMPMAMQAAAMQREYIELQRHANTEDHTVERSFLHVLDACDAIISSLLWLQNQYHCCEAFNIAGEKVVIQALLDQVATHTQSDIRTIDATHKLDELEQLGANLNKAKIQLQWGPKRTLKQMIEDEWRFYQN---------- 218280999 ----NILIAGGTGYIGSHICVELLESGHDVVVIDDFSNSKPDVLKHVKFYEFNVLDEEKTEAVFKENKLDAVIHCCAFKAVGESVQKPIEYYTNNLMTTLVVAKMMKKYHVPSIVFSSSATVYGDPEVVPLTEDCKLETTNPYGATKAMMERILTDVQFANPMSVTLLRYFNPIGAHESGLIGENPKGPNNLMPYIMKVATGELKELGVFGDDYDTPDGTGVRDYIHVVDLAKGHVLAIEKYNTP---------------------------------------------------------------------------------------- 134888376 LQQMKCIVTGGAGFIGSHIVDALIDLGHTVIVIDDESSANAEHNEEAVYYCNDIVDYKATRHLYEGV--SHVFHLAANSRIQPALNNPLRCVEVNTYGTATVLQCAREAGCQRVVYSSTSSSYGLKNNIPYREDMPEDCLNPYSVAKVAGEKLCKMYSDLFKLDTIILRYFNIYGERQ------PLKGQYAPVIGLFQEQARRGEPLTIVGTGL------QRRDFTHVKDAVRANIACLTSLPPGGSVINI--GTGFNHSIREIADMISD------NQVHIPERPGECTETLADISQAKRYLKWEPTVKLED--------------------- 15614867 ----RILVTGAAGFIGSHLCEQLLNEKVSVVGVDKVKERNVRNLLKHPRFTLLKQDLLTIDLEPLVDQVDVIYHLSGIPGVRSSWTDFKKYVTHNIVATQQLLEACRRHSLEKFIYISTSSVYGQ-KNGKVGEKASPTPLSPYGVSKLTGEHLCNVYYRNFSIPTVILRYFTVYGPRQR----------QDMAFHRFIKAIIDNQPIPIFGD------GNQSRDFTYIDDCIAATCAVLHADVI---GETINIGGKERATVLQIISMLESIFQKDAKLQFLEKPFGEPMSTWADISKAEKLLRYSPNVPLLQGIEKEVD-------------- 18312971 ----RIVVTGGAGFIGSHLVDRLVEEGHEVVVVDNLSSGRREFVNKA--AELYIRDLKE-SDWGVGIRGDVVFHFAANPEVRLSTTEPVVHFNENVLATFNVLEWARQTGVKSVIFASSSTVYGDAEVIPTPEEAPYKPISVYGAAKAAGEVMCATYARLYGVKCLAIRYANIIGPRLRHGVIYDFIMKLKRNPNV----------------LEVLGDGTQRKSYLYIKDAIDATILAWKKFEEIKEPFALNVGNLDAIKVLDIAQIVAEVLGLRPEIKLVPTWPGDVKYMTLSITKLMKMTGWRPTMTSGEAVRKTAE-------------- 23321128 ----KLLITGGCGFLGSNLASHAIKSGMEVIVFDNLSYGSSDNLKWFTYVHGDIRNRNDITRLIQKFKPDNIFHLAGQVAMTTSIDNPQMDFEVNVGGTFNLLEAIRLFNPECIIYSSTNKVYGDLEQFTYRETDQLTFHSPYGCSKGAADQYMLDYARIYGLKTVVFRHSSMYGGRQFSTY--DQGWVGWFCQKAIEASRGVNSPFTISGN------GKQVRDVLHAEDIISLYFSTLSNLERKGNAFNIGGTIEHSLSLLELFSLLEKYTETELKYTRIPVRESDQKVFVANINKISESTGWIPKVSSESGIKIMLDW------------- 135943462 ----KMLVTGGAGFIGSNLVDQLILEDNEVHVIDNFISGKKECNDKAIYHNLDISVVEHIDTFKKIFEVDTIFHCAALARVQPSILNPLKYEVNNTLGIMNILKAAADVKVRRLVYSSSSSAYGPTNNLPSKESNPINPISPYANQKYYGELCCRMFSEVYGLETVSLRYFNVYGERQNL------GGAYATVVGIFINQILEGKPLTINGD------GSQRRDFTYVKDVVRAN-ILASNSLKVGRGEVINVGSGKNISINDLADMLSK------NKKYM-KPVNEPFANLADIGKAKELLNWEPIVDLHNWIKD----------------- 289595826 ----KIMVTGGAGFIGSHIVDALMEEEHEVLVYDNLSSGKMEFIKEHMFVQADLLDFEKLKE--EMEGVELVYHVAANPDVRLGASDTHVHLEQNVIATYNVLEAMRLNDVKDIIFTSTSTVYGEANEIPTPEGGPLIPISLYGASKLGAEAFITSYAHTFGMSAVIYRFANIVGPRSTGVIYDFIM-----------------KLKRNMHELEILGDGTQTKSYLYVKDCVDAIIFG--YKNRKRDVEIFNIGSEDWINVRKIADIIVEEMGVKYKFTGGKRWKGDVPKMLLSIEKIKSY-GWKPKYNSEESVRLTAR-------------- 170593307 ---KRILVTGGAGFVGSHLVDRLMLEGHEVIALDNYFTGRRRNVQWIGHPNFELVHHDVVNSYLT--EVDEIYHLASPASPTHYMYNPVKTIKTNTIGTINMLGLAKRLKA-RILLASTSEIYGNPEVHPQPENYWVGPRSCYDEGKRVAETLMVAYHVQEKVDIRIARIFNTFGPRM-------HMNDGRVVSNFILQAL-RGHPITIYGDGKQTRSFQYVDDLV----------TGLIKLMGSNCTDPVNIGNPEEKTINEFAELIRGLIGSNSSIVHQPEQQDDPQQRKPDISRANEKLNWRPIVSMCDGLIKTIDYFRK---------- 115525494 --SKLILVCGGAGFLGSHLCDTLISGGDRVICLDNFQTGNVRHLLEHPRFKVDVVDPVD-------FKVDRIYNLACPASPPRYQDDPIRTIRTSVLGALNLV-ALAERTGARLLQASTSEVYGDPELHPQTEESFVGPRACYDEGKRCAETVLFDAARAGRADVRVARIFNTYGPNMD--------VADGRVVSNFAVQALRNEPISVYGKGDQT------RSFCYVTDLIDGLVRLMEHPGDLPGA--VNLGNPNEMTVIELARLIIDLTGSRSRVVHLPLPKDDPTRRRPDIARAGRYLGWRPTTNLVEGLAMTIGYF------------ 140036140 MTKMRFLITGGAGYIGSHLANFLCKKGYEFTIVDDLSTGNIWAVRDYHFIKLNILDYQSLERSLGDKKFDAIFHLAAKSIVSESYSNSAIYYDNNHIGTRNILKLMNKIGCKYIVFSSTASVYGHSQKEYLSENDFIQPINPYGESKLLAENEIIKSVAKYNLKAAILRFFNVAGANIEAGLGESRQNETHLIP-NVLASIKNSYEFKVFGNDYHTFDGTCVRD------------------------------------------------------------------------------------------------------------- 140319243 -------------------VDKLVSKGHFVTVLDNLSTGRLSNISHHNNKTVDISKSKNLSKIIKGHSF--IFHLAGLADIVPSIENPDKYFNANVSGTLNLLKACKNLNIKKFIYAASASCYGIPKKYPTNENSKLDPKYPYALTKLLGEELVMHWSNVYNMPNISFRFFNVYGLRSRT------TGAYGAVFGVFLAQKLANKPLTIVGNGKQT------RDFIHISDLVDVIYKASIKKNLKGK--IFNLGSGKETTVNEIAKII------GGKKINVPKRPGEPERSMADISKIKKELGWKPKVSIKTGIHELLR-------------- 141721328 IERMTILVTGGAGFIGSHLVRHLVKRDYTLINLDALTYARLDDVKNAPFVEGNINDSSLLDSLFSTHKFEAVIHLAAESHVDRSIDGPLPFGKTNIVGTMNLLNACRLHWNHRFYHISTDEVYGLGKKGVFTEESPYQPRSPYAASKASADHMVRAFGETYDLPYVISNCSNNYGPDQHT----------EKLIPTLLSCLQNNQPLPIYGDGLNVRDWLYVEDHVSAMDVIFHHGKLGETYLVGGRCERSNLEVAKTLCQAYDRRLGQDLGTAEKLITFVTDRPGHDFRYAID--------------------------------------- 136314282 -----ILVTGGLGYIGSHTTVELLQKGFDVVIVDDLSNSKLNVFKKPNLEIIDLKDKIKVEKLFRDFNFDGIIHFAAHKSVNESVNFPEKYFKNNIGALENLLE--NIDEEMYFIFSSSCTVYGQADRMPITETFPIKSESPYGETKQICEGILKNFCEANPLNNITLRYFNPIGAHKSGMIGELPLGPENLVPFLTQTAIGKREQLVVFGDDYNTSDGTCVRDYIHIEDLAGVHVSCLEYKKNKANYEFYNVGTGKGLSVLELINLFEKV-------------------------------------------------------------- 143177546 ------LVTGGKGFIGSNLVDELVRQGHEVIVIDNSSDGNFYFNDNAKFYDYDIRDEEATRPLYEGV--DYVFHLAAEARIQPAIENPIQAVSINSVGTCTVLQLAREAGVKRVIYSSTSSGYGMNE-PPNVECQPDDCLNPYSVSKVNGEKLCKMYTDLFGLETIIFRYFNVYGKRQ-------------PLKGQYAPVIGIFFRQLVDGQTLIVGDGEQRRDFVNVEDVVKANILAATKELDKAFGEVYNVGSGVNHSVNQIADMISK------KQKHIPPRSGEARITLAHISKIKGKLGWEPTIKVEDWIK------------------ 16263982 -----ILVVGGSGFLGCNLADSFLRDGEHVIVLDNLSRPNLEWLVDGHGRAVDIRDLGAIEAAFRDAK--AVFHFAAQTAVTTSLERPTDDFETNARGTLNVLEAARLAGRRAVIFASTNKVYGATREHGVSETQPLDFCTPYGCSKGVADQYVLDYARSFGLPTAVLRMSCVYGPRQFGT-------EDQGWVAHFLIRALAGEPISIYGDG------KQVRDILHVTDAVAAYRALLNSIDRKGRAFNLGGGPGNAVSIVDVLNEIELLTGRKLATAKSDWRAGDQLYFVADTRAIADALGWKAGMPWREGLRDLYAW------------- 143667544 ----KIFVAGHNGLVGSAIVRNLESKGFTNII-------------TMDRAKLDLTKLHDVQMFFAVEQPEYVFLAAAVGGIGANAEYPADFIYENLMIQTNVISSAAKHGVKKLLFLGSSCIYPKFANQPITEDHLESSNSSYAVAKIAGINMCQSYRKQHGFNAIAVMPTNLYGPNDNFDHNTSHVLPALISKFHGSLEKSKHWVVKLWGDG------SARREFLHVDDLAEALYICMEKYDDE---EIINIGTGEDVTIKELAETIIDVTGYENDYEWDTSKPNGTPRKVLNVDKMKA-LGWEPKIGLREGIESTYEWYKNN--------- 46122179 ---KNIMITGGAGFIACWVVRHLYPHAYNIVSFDKLNTGILSESRNFTFYHGDITNPAEVVDCMERYNIDTVLHFAAQSHVDLSFGNSYGFTHTNVYGTHVLLESAKKVGIGRFIHVSTDEVYGEEDDDDLLETSILAPTNPYAASKAAAEMLVQSYQKSFKLPAIIVRSNNVYGPHQYP----------EKIIPKFICLLNRQRPLVLHGDGLPTRR------YLYAGDAADAFDTILHKGQT---GQIYNVGSHDEVSNLELCSMLLDRMEISHWIKYTRDRPFNDRRYAVDGTKLKR-LGWEQKVSIDEGLNITVDWFTRFGES------ 206890820 ------FVTGGTGLLGSWLVKKLLELKADVVCLVRDWVPQSELVLSKTIEKVDIRDETLLERVLGEYEIDTVFHLAAQTIVTIANRNPISTLETNIQGTWALLEACRRPTVKQIIIASSDKAYGEQGVLPYDENTPLQGRHPYDVSKSCADLISQMYAYTFNLPVVITRCGNLYGGGDLN---------------WNRIVPGTIRSVLKGENPIIRSDGTLVRDYFYVEDAVLAYIMLAEKLAEDRKGEAFNFSNEEPLSVLEITERILRLMKSELKPVILNEVCYEIPKQYLNAKKAREILGWKPIYSLDEGLTLTIKWYKKNERNFK---- 142725591 ---KTILVTGGAGFIGSAVVRHLINDDHTVVNLDKLTYGNLESLTRYSFEQVDICDAEEVKRVFSTHQPDIIMHLAAESHVDRSIDGPGEFIQTNVVGTYTLLEQARAYWGFKFHHISTDEVYGDLPHPLFTENTPYAPSSPYSASKASSDQLVRSWLRTYKLPTLVTNCSNNYGP--------YHFPEKLIPLVILNALAGKPLP--------VYGKGNQIRDWLYVEDHARALVVVA---LNGEIGETYNIGGHNEKQNIEVVQTICSILD------------------------------------------------------------ 152975819 ----KILVTGAAGFIGSHLCEELLDKSYSVIGIDHFIGPTPMQLKINNIRSL-ITNSANLSKILQ--DVSAVYHLAAIPGVRTSWKDFHPYVTNNILATQHLLEACKNKDLDKFIYISTSSVYGE-KSGAVSEDLLPIPLSPYGVTKLSGEHLCHIYHTNFHIPTIILRYFTVYGP----------KQRTDMAFHRFIKQLIENKPLTIFGD------GTQTRDFTYIDDCIKGTVATLKTRKNI-IGEVINIGGKEQASILDIISMLEKIVGQRAILNFSKGVPGEPKQTWADISKAQSLLQYSPSVSLFHGLKAEYEYMKQ---------- 135024745 ------------------------------VLVDDLSNSSKKVLYNIEFEEIDLKNEELVKNLFKHNNVGGLIHFAASKAVVESVLNPLLYYQNNISSLVNVNEIKNLKRSFNFIFSSSCTVYGQSKNLPVSEDPIQKATSPYGNTKQICEEIIEDFCKSNNIKSISLRYFNPIGAHISSKIGELPKNPNNLVPFITQTGIGKQKELSVFGNDYPTSDGTCIRDYIHVVDLARAHVKAFEILQNPFDKINYNIGTGLGHSVFEVIKAFEKVSGIKLNYKICPRRSGDICEAYANTEKANKILKWKAKYSLEEAILSAWEWEKN---------- 142358929 -KDSKIFVAGHRGLVGSAIVRQLTKQGYKNIV-------------TKTKMELDLRRQDQVDSFFVSEKPDYVFLAAAVGGIGYNKEYPADFVRENLQIQTNVIDSAYNNGCQKLLFLGSACIYPKHAPVPIKEEGPLEPTNDGYASKIAGYYMCKKYTEQYGFPTVSVMPNNLYGINDNFIPSQCHVIPSFINRFI-------KAKESADSEIVCFGDGTPTREFLFSDDLADGLVFLMNNYDN---PEVINIGPNREVSIKELSELVAKEVGYTGKIVWDTTKPNGTPRRALDTTKMNQ-LGWKANTPLEEGLKSTIQWFLQNRSNY----- 141034908 ----------------------LLDDGHKVHIIDNLSTGNEMLIPKAKFTNCNINNEELISNLIQSNNFDLLMHFAGFIQVEESVKQPEKYFENNTNNAIKLFNICKKNSLTKIVFSSTAAAYGVGEDKLIDEHTSLNPQNPYAESKIKTEKFL--FNNKDNFQFIILRYFNVAGADKKLRSGQISKKSTHLIKKISEVVVGKRDQIEIFGNNYNTPDGTAIRDYIHVSDLADIHLEVAKYLLKNLESNLFNCGYGNGFSVLDVVKTTNSIYQNKVTYKFSSRREGDVEKLVADTSKLLNYFKWQPKYDLREII------------------- 301058128 ----KILITGGAGFIGSHLAEHLLQNGDEVFVIDNLWTGKLANISKIQNHEIDILNESVMNELI--FKVDQIYHLAAAVGVRNIMDHPVETLDINVKGTEVVLRLANQFKKKVF-IASTSEIYGKHVEHHLSEDDNRKRRWAYACSKTLDEFQALAYSDEKKLPVLIGRLFNTVGPRQTGQY--------GMVLPNFVQSALLGKPITVFGD------GTQSRSFAHVNDVVEAITRLMGEPSAEGD--IFNVGNNEEVTIKDLALKVKEMTGSLSEIDFVPYEPGDMERRCPNIGKLKKTVGFEPSYDLESIIQSVIDYFKE---------- 142367331 ---KNILITGAAGFIGYNLTKNLIEKEIKVFGIDSLNSAYDENNENFTFSKIDLSNQDSFDDI-QGSNFDCVIHLAARAGVRQSFREPKKYILDNTVSTTNLSGFVKKEEIQKFLIASTSSIYGDSGETPMKEDEKYPPPSVYASSKLSGELMAKTILDDTETCIQIPRFFTVYGP--------YGRPDMSILRFIHWIYTGT--------EILLYGDGNQKRSFTYIDDVISG----LNTLILSKDSGVFNIGSDKTVSLNQVISIIEKELNIESKIDYQPRAFKDVDVVIPDLNRMKS-LNWNPTTSIEKGISKTIQWYLEYAEELKN--- 152983181 ITGQSILVTGGAGFIGSHLVDGLLKEGAEVVVIDNLFVGSEDNLNSAVFYRDDAELSTSLDYIFKNHQIDVVFNCAT-KALNYSFLNPANAFSTNVTVVLNLLEIQRRGGFKTLCHFSTSEVYGSAVYEPMDEAHPKNPTTTYAAGKAAADHAVESYVRMFDLDAFIVRPFNNYGPRQNYKGMLAGIIPITAWR------ILNGIAPELHGDGL------QSRDFIYVHDTVDAVVKLYDKMPA---GESVNISTDNQISISELLPRICSGMGYAGEIVKKPGRKSDVLCHLASNEKVHGMIDYK-LTPFDQGLRDTLAWYKAN--------- 269929342 ----RVLVTGAGGFIGHHLVRYLVAKGYWVRGVD-IKYPEFESSLAHDFQLLDLRRFDNC--LIATSGVDEVYHLAAMGGIGYITGNHASIAHNDILINTHMLEASRINGVQRFLFSSSACVYPDPDVTPLREEDAYPPEEGYGWEKLFTEKLCQYYAEDYGMETRVVRFHNVYGPLGTYEGGKEKAPAALCRKIALAED---------GDEIEIWGDGEQTRSFMYVDDCVEGIYRLMRSDYRHP----LNLGTDRLVTINELVDIIADIAGKRIVKRHELTKPQGVRGRNSDNTRLRQVLGWEPQISLEEGLAVTYQW------------- 307104751 -------VTGGAGFIGSHVAIRLLKRSYKVVVLDKLDYCASMHNLRFKFVRGDITGLDLVQYVLREEGVDTVLHFAAQTHVDNSFGNSLAFTANNTYGTHVLLEACRVYRVRRFINVSTDEVYGDTSHSLLPESSSLAPTNPYSAAKAGAELMSLAYLTSYNLPVIVTRSNNVFGPH--------QFPEKLIPKFVLLASRGERLP--VHGDGLAT------RSYLYVADVAEAFDIILHKASGGGSGLFYNIGSQQERTVLDVAADICAVFRLPSQVEHVRDRAFNDRRYFICDAKL-SALGWRESTPWEAGLQKTVDWYLRH--------- 142148374 ----RVLVTGGAGFIGTNLVRRLLSEGQEPVIFDDFSSGLGSNVLDVRVIRGSLVDLEAV--VGAAQGVDAIVHLGARGSVPRSIAHPVATHEVNATGTLNVLEAARAQDSHVIM-SSSSSVYGANPLLPKVERTWTQPLSPYAGSKLAGEGYMLGYQASYGLPTLVLRFFNVFGP------WQRPDHDYAAVVPKWLWKIMTGRPIEVHGD------GTQTRDFTYVDSVVDVLIDALDRRVSLDSP--VNLAFGKRVSLLDVLAQMQTLLGVTPEVEFAAPRVGDVLNSENDPTLLRSIF---PAVKFDVALLKTAEWLKAHHES------ 21227236 -AKKRVLVTGGAGFIGSNLVDRLLEKESEVIVFDNLSSGKMDFIENHSFIKGDLLDPEAIEKVCK--DTDMVYHVAANPDVKLGASDTKVHLDQNILATYNLLEAMRKGSAKKIAFTSTSTVYGEASIMPTPENGPLIPISLYGASKLACEALITSYSHTFDMQAWIFRFANIVGPRSTHGITVDFIKKLKENPR----------------KLEILGDGKQEKSYLHVSECVNAILFAIEK--SKEEVNIFNIGSEDTISATEIGKIVMGLSDVEFTYTGGSRWKGDVPRMRLGIEKLKAI-GWKPEYTSERSVRETAR-------------- 289548953 ----RVLVTGGAGFIGSNVAKA-VERAYRVTVLDNFSSGHFKNLFGGDVITGDVTDLSLWEYLKKNFRFEVVFHKAAITDTT--VMDQALVMRTNADSLRYILDACSYWGA-KLIYASSAAVYGK-TTPPMKEGKGEIPQNVYGFSKLIMDRIALRYLQQTDIKVVGFRYFNVYGPGES------FKGKAASMVYQLYLQMKEGRRPRLF------KWGEQKRDFVYIEDVVKANLLALEKDV----SGVFNIATGKARSFNDIVGILNGLLGTNLEPDYFDCPYDYQEHTEADISLAREILGYEPMFSLEDGIEDYVK-------------- 136208082 ----KILVTGGLGFIGSHVCLELLKFDYEIIVLDSFVNSSKNFIGNLRLVEGDLRNESLLENLFFDEPITAVIHCAGLKSVGESIKNPLDYWNTNVSGSINLLKAMNKYNCKNLIFSSSATIYSKNNSIPLKENANFKPVNPYGNTKLAVERILEDYSSPKEWKICNLRYFNPIGAHDSGLIGEDPKDPNNIFPLIQKVASSELPKFTIYGDDWETSDGTCIRDYIHIMDLAEGHTIALKYLIKEPPQIIFNLGTGIGTSVLELINTFEKV-------------------------------------------------------------- 135330632 ---KKILVTGGLGFIGSNLIELLLKKKYFVINIDKVSYSSNKKVQNYKFIKCDINDK-KINDIFFKFKPNVIFNLAAETHVDRSIDNPSNFIKSNILGIYNLLECFKQFNKSKLIHISTDEVYGDVLKGRSDENYSYNPSSPYAASKASSDHLVSSYVKTYKIPAIITNCSNNFGP--------KQHPEKLIPKLIYNILNNISLP--------IYGKGTNSREWIFVED----HCHALLKISKIGKGNFYNIGSNKNIENIKVCQNLINVIGKNVKIKFVKDRPGHDIRYALNSKKIIRELKWHPKTTL----------------------- 143831866 ------IVTGGAGFIGSHIVEKLKRLDHMVVVIDNEYSDNDHWRKDTLNVNIDITDYKALKKAFTGA--DYIFHLAAEARIGPAIENPLNALNINTMGTCNVLQCAREVGAKKVLYSSTSSGYGLNE-APNIETQPDDCLNPYSVSKIAGEKLCKMYTDLYGLNTIIFRYFNVFGERA------PRKGQYAPVTGIFLRQKAAGEPLTIVGD------GEQRRDYIYVKDVANANVMAISNPDDDAYGQVYNVGSGKNYSVNEIASFI-----SDDTINI-PPRIGEARNSLANIDKIQKTFAWKPEVDVEQWIKT----------------- 118443902 ----NILVTGGAGFIGRWVVKALLKEQHNVLALDNLSNGRFNENNNFKFIQGDIKDTKLLDEIFEKQQFDIIYHLGASINVQDSIDDPTTTFYNDTVGTFHILEKAKIQHPCKVVFMSTCMVYDKAKGKGIDEFHPVKPVSPYGGAKIAAENMVLSYYNAYKLPTVVIRPFNTYGP------FQKTGGEGGVVAIFIKNALNN-------NDFNIYGTGNQTRDLLYVKDCARFV--TMAGFNSNVDGEVVNAGTGRDVTINELADIISKGRVKINHVKHIHPQ-SEIMKLLCDYSKAEKLMGWKPEYTL----------------------- 158318391 ----KIAVTGGSGFIGGHVVDRLLDAGHKVLSLDLSTCGRPD--PRAAYREIDVLDLEALVDAFTGV--DVVFHIAGMSNVDFAFADPVRTVRLNVEGTGKVCEAARRTGVRRVVFASTVWVYGAVADVPAGHDDHPRPAHVYTSTKLAAELLLHSYQQTYGLPFTILRYGIPYGPGMR----------DELVLARFVHNAVHGRPLTVAGDGL------QFRNYVYVRDLADAHVLAL---ADSAANTTLALEGNEPVSVLEMAQAVQRHF-PGTEIEHMPARPGDFRGREISAQRAAGLLGWRPTTPFLDGVRQYIEWYQANRQ------- 139568460 ----RILVTGGAGYIGSHTLIELLARGHELFVIDNYANSSPEVLKSFRSDKVDIMDELAMGEVFAAFRPEAVIHFAGLKAVGESEELPLLYYEVNVGGSIALLKCMSEHGCNSIIFSSSATVYGEPQYLPYNEGHPLAPINVYGRTKYFIEEIIRDWARGEGRRGILLRYFNPVGAHTSGKIGENPRGPNNLMPFISQVATGVREQLKVFGGDYDTVDGTGVRDYLH---------------------------------------------------------------------------------------------------------- 139021662 --------------------------------------------------KIDIRDYKELKKEIDSYQPDAIINLAAESHVDRSIDSASKVLQTNIMGTFNLLDIFTKYWIYKFIHISTDEVFGEADSEMFTESTRYDPKNPYSASKAASDHLVRSWHNSHGTPTIITNCSNNYGP----------FQFPEKLIPLTINAIRNSNPIPIYGNG------KQIRDWIYVDDHINGVLNVLEKGTI---GDSYNIGSNNELKNIDLVEMICSNLDKKNLIEFVKDRPGHDRRYAIDSSKIRTELGWTPSFDIETGLDKTIDWYLSN--------- 143848214 MKKDTILVTGGAGFVGSHLCDALIKRGKNVICMDNCFTGNISHLLNNPQFEFDVVEPYSVEAIYNLACPASPIHYQ---------YNPLKTVQTSVMGMINCLTLAKDTGA-RVLQASTSEIYGDPEEHPQKETNPIGPRACYDEGKRCAETLCYDYNREHNVDVRVARIFNTYGPRM--------HPDDGRVVSNFIVQALEGRDITIYGT------GEQTRSFCYVSDLVTGLIKLMNDPEMPAGP--INLGNPGEFTMIELATKILAKLPTTCELTFKPLPTDDPTQRRPDIELAKLYLEWEPTVDLSVGLDKTIGYFKN---------- 135437518 MKTKKVLVTGGAGMIGSNLVKRLIKDKYAVYVADNLWRGKKRYIPEKNFFKIDLRDYKNCLKVTK--KMDIVVHLADVVAGINYVFSHEELFRSNILINTNTLKACVENKVNKYIYAGTACSYPKSKQPPFKEEEVYEPESAYGWSKLMGEYEAELAHNEKLINVEILRLHNVYGS----------PSELNPLLSQVIPALCRKTIENKKNELDVWGSGKQKRAFVHVDDVVDGFIKAIKK--NSKFKGAIQLGPNYSTSISEIAKMIVKISNKKIRIKFDKSKPEGDVDRMANNTKARKLLNWYPKVKIENGLRKVYKW------------- 209547597 ----HALVTGGAGFIGSHLCDRLLALGYRVTAIDNLHLGRMRNIPDFHFQQLDMLDREGMDQLVAADRPDAVFHLAANSDIAAGNANAELDLQLNQLTTTTLLAIMRKYEIGRLFFASTSAVFGEAEGNIHENHGPLRPISLYGASKLAAEAYLSVYALSFGIKTLVLRFPNVVGER------------STHGAIYDFINRLKADPTRL----QVLGNGRQTKPYLYVGDLVDAILLAWDK--APGAYEVFHASGIGETSVRDIAEIVVSKVAAGAAIEYGSEWLGDVPRFSYDISRLVT-LGWSPKRKSTEAVELAVE-------------- 134453064 ---KTVLITGGCGFIGSHLTLYLKKRGYRVIVIDNLSIGRKLLFRGNKFYKLDINNKKKLNSIFEKYNFEAVFHLAGLSKLTDSFKKKNSYKKNNITSTKILIDLVKRNNVKYFIFSSSASVYGKQKKFPIKENAKTSPISYYGKTKLICENIIKKNCSNNSLKAISLRYFNVIGSNFKNKLGEIHNPPIHLIPIFVKNIL--KDKPVKLRLNFNTKDKSGVRDYIDVGDIVKAHYFSLQKLKKTKKPFYIINGSKTFYSAKQILELIRKFL------------------------------------------------------------- 188580531 -DSRPILITGGAGFVGANLADRLAAEGRTVIVYDALARAGVERNLAWLSERADIRDRDELAR--AARDVGAVFHFAAQVAVTTSLTAPRDDMEVNLGGTLNLLEALRRGEAVPLLFASTNKVYGGLPDVSIGEDRPLDFHTPYGCSKGAADAYVLDYARTYGLPTVVMRMSCIYGPRQMGN-------EDQGWVAHFLIRALKGEPITFYGD------GRQVRDILHVDDCVAAYRAALARIDRAGRAFNLGGGPANAVSLRGLIAHAGQLLGRDITIETGPWRPGDQRYYVSDPSRAIEALGLERPLPWREGVAQLAGW------------- 135411026 -------VTGGTGFIGSNLVKYLLKKKYFVINIDKLSYSANPYNLKNVFYKADLNNKNKILKILKKYKPIGIFNLAAETHVDRSIDNPKSFINSNILGTYNLLEAILYKKKIKLIHISTDEVYGDLKSGRSDEKFPYNPSSPYSSSKASADHLVKAYIRTYKIRALISNCCNNYGPN--------QFPEKLIPKLIFNIINNKPLPIYAKG--------KNSREWLHVQDHCEAL---LTIFLKGKIGESYNVGSNINLKNIDIAKKLLKIAKSKHKIKFVKDRPGHDFRYALNNKKILKRLRWKAKISLQKGLSETFDWYLNNFNSISKKH- 108803417 ----RALVTGASGFAGGYLVRYLLELGYEVVGA--VHGAGARLPDGCHRAVLDITDRQSLREVVAATQPDEIYHLAGIARPANDSVD--EFYEVNFGGTLKLLETVREHAPDAAVLLVGSAYAYGSVGHPISEIELFKPVNHYGSSKASADLLGHVYS-LEGLRVVRARPFNHSGPGQSPAFVLPTLVEQFVE-----IEAGKREPVIRLGNLDSVRDFSDVRDIVRGYRLA---------LLKGRSGEPYNLGSGRGTSVRELFEMVREKAEQEVELQVEPSRTRDIPYLVADTSKAREELGWEPEVSLEQTLHDMLD-------------- 283832525 MKRQRIFVAGHRGMVGSAIVRQLAQRDDVELVL-------------RTRDELNLLDGDAVRQFFAAERIDQVYLAAAVGGIVANNTYPADFIYENMMIESNIIHAAHLHNVNKLLFLGSSCIYPKHATQPIAESEQLEPTNPYAIAKIAGIKLCESYNRQYGRDYRSVMPTNLYGPHDNFHPSNSHVIPALLRRFHEACEQNAPD-------VVVWGSGTPMREFLHVDDMAAASIHVMEQEYTQPMLSHINVGTGVDCTIRELAHTIAKVVGYKGRVVFDASKPDGTPRKLLDVTRLHQ-LGWYHEVSLEAGLASTWQWFLENQQRY----- 172039918 ----HVLVTGGAGFIGANFVHRTLATDVHVHIVDAMTYAANPLNLRFRFTQLDLADREAVLALVDEVAAEAIVHFAAESHNDNSLLDPALFVRSNVDGTVHLAEAAVRHGIY-LHHVSTDEVFGDDDPQRFTPTTPYQPSSPYSASKAAADHMVRAFVRSLGLKATISNCSNNYGPR--------QHPEKFIPRQITGLLQGQRPRL--------YGKGDNVRDWIHVDDHNDAVWAIMERGEL---GQTYLIGADGERNNLQVVRALLVAFGREPDFDWVSDRPGHDRRYAIDPS-SMEGLGWQPRYDFAAGLMATIDWYRDNQQWWEE--- 261402199 ----KVLVTGGAGFIGSNLALELQNKGYDVVVLDDFSSGHFKNLLG---FEGDVVAESILDVDLSRFRDVDVIFHQAAI-TDTTVQDQKLMVQINTEGFRRFLDFAVENNI-KFVYASSAATYGNAP-APQKEEDAGKPNNIYGFSKWICDCIAKKYIEKYDAHIVGLRYFNVFGPR------EQYKGKMASMIWQLAKQMVDGKRPRIF------KWGEQKRDQVYIKDVVGANLLAVDAK----KSCIVNVGSGRAVSFNYIIEVLNKVLGFDYEPEYIPYKEFYQEHTEADLSKAEKYLDYKPKWGFEEAVEDYMEWLKEN--------- 56752399 ----RIFVAGHRGLVGAAIVRRLQAAGYQ-----NLVTASRS--------QVDLRDAIAVDRFFAEYQPDYVFLAAAVGGIYANDIYPADFIRDNLQIQTNVIDAAYRQGCQKLLFLGSTCIYPKFAPQPMPETGELEPTNEWYAAKIAGIKLCQAYRKQYGFNAISLMPTNLYGPE-----DNFHPENSHVLPALIRRFLEAKEANQAEVVCWGTG--SPRREFLYVDDLADACLFLMQTY---NEPEIVNVGVGHDISIRELAELVAQTVGYGGAIAWDSSKPDGTPRKLVDVQRL-TQLGWTAQTSLELGLRQTLDWFLAH--------- 289642064 ---RTALVTGAGGFIGGHLAAALVCGGSRVRAFLRYNSRGERGSLEWHDQHVDLRDVESVQRAVSGA--DVVFHLGAQIAIPYSYVNARDFFETNVLGTLNVAEAALRAGVGRVVHTSTSEVYGTARTAPMTEEHPLTAQSPYAASKTGGDQLMGAFHRSHQLPVTVLRPFNTYGPHQSA-----------------RAVTTTVITQALAGGTLRLGELDTRRDLTFVSDTVAGFLAAAQSDAAVGRT--VHLGTGHDVSVAELVTAVGDLLGRKLTVNVDETRLSEVARLVSNPALARELLGWAPQVDLDAGLTATIGWIKSN--------- 209515363 ---KKILVTGASGWLGTEIVKALLARGDAVVGTDIISPGTAASVARQPKVAADLCEWPQVLRLMEQHRPDAVIHAAAIVGVIQCADIPIKANRVNVEGSLNLFEAMRLTGVKRVVHVSTEETYGDFNAPLIDEEHAQNPTSVYGATKLAVEHYGRIYTREHGLECLNVRTCWVYGP---------HLPRLRVPRTYVEAALRGEVLHDPDGADFAV-------DQVYVDDTVAGLLLVLDKPVHRYDS--YNIATGTAPTIADIAAAVNRAIPGARISVRGPYRHGGAKKGALDISRARAELGYEPRYDLQRGIEATIE-------------- 296168675 ----RLLVTGGAGFIGANFVHSTVREHDSVTVLDAMTYAGRRESLADRLVVGDICDAELVSSLVA--ESDAVVHFAAESHVDNALDDPAPFLHTNVVGTFTILEAVRRHG-GRLHHISTDEVYGDDDPHRFTESTPYNPSSPYSATKAGADMLVRAWVRSYGVRATISNCSNNYGP---------YQHVEKFIPRQITNVLTGRRP-------KLYGSGANVRDWIHVDDHNSAVRRILEKGEI---GRTYLISSEGERNNLSVLRTLLKMMGRDPDFDHVTDRVGHDLRYAIDPSTLYDELCWAPKTDFEEGLGATIDWYRANESW------ 143908278 -----------------------------------------------------LLNPTDVADALTGC--DAVMHFAGKSLVGESVEKPDLYHSVNVDGTRILLDEMHKQSISKIVFSSSAATYGEPKVVPILETSETAPTNPYGATKLAIDHMITQEAKSRGISAASLRYFNVAGALKAKRGWLAERHDPETHLIPNVLRSTQDNPVKIFGTDWPTADGTCIRDYVHVIDLIDAHIKALESLEEPG-HEIYNLGSGSGYSVREVVAAASAATGHQIPFIDSPRRAGDPAVLIADISKAKAKLNWEPTRDLNAMVSDAVE-------------- 256831047 --TKRVFVTGAAGFIGSNTVRTLAESGWTVVGIGQEEAKHLRELGLHAWFETSVTLDALWRVESEAGSPDAIIHCAGSGSVAYSMTQPRQDFLGNVNTTLDILEFVRQSSGRIVVVPSSAAVYGVVHQLPISEDAPLHPISPYGTHKIVMEMLCKSYAKQWGIPVCVVRLFSVYGAGLRKQLLWDACCK------------------ATAGTFSFFGTGAEIRDWLHVRDAARLLADAINF--SSPDCPVLNGGSGRGISIREILTTVGNLWNPKVTPTFGEARSGDPAHYIADVSRLNAR-GYVPSVILDQGLAEYVEWFRA---------- 293332397 ------------------------------------------------------------------------MHFAAVAYVGESTLEPLRYYHNITSNTLLILEAMASHGVKTLIYSSTCATYGEPEKMPITEATPQFPINPYGKAKKMAEDIILDFSKSKGMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRCFDAALGVITGLKVKGTDYPTTDGTCIRDYIDVTDLVDAHVKALNKAEPRKVSI-YNVGTGRGRSVNEFVDACKKATGVNIKIEYLSRRPGDYAEVYSDPTKINKELNWTARYDLKESLSVAWRWQKSHPSGYG---- 137418362 -----------------HVANNLVDAGHKVHIIDNLITGNKVLIPKGDFTNCNIDDSKKIESLLTNNQFDILMHFAGFIQVEESVNFPEKYLDNNTTKAIKLFKLCKKNNLTKIIFSSTASVYGSGNNTLISEENLLNPQNPYAFSKMKTEEFLKENEDSY--KFIILRYFNVAGADKLLRAGQISKRSTHLIKILSEIAVGKRNFIEVYGNDYNTHDGTAIRDYIHVSDLADIHIEIAKYLLMHSNSQILNCGYGKGYSVLDVINEANKICNNSIKHKFTKRRKGDVEKLISNTNKIQKLINWEPKYDLNTIIKSSIMW------------- 140427211 -----ILVVGGAGYIGSHVVKALRDAGRQPVVFDNLSSGLRENLPEIPFIHGDLLIPEQVRAAMRGIR--SVVHLAALKAAGDSMLEPERYALHNLNGTVNLLHAAGTAGVRHFVFSSSAAVYGEPQYLPLDENHPTEPANFYGQTKLQIEMLLSWFSRLRDMRYAGLRYFNAAGYDPDGEVRGLEKEPNNLLPLVLETLLGWRENLEVYGTDYDTEDGSCIRDYIHVSDLADAH-------------------------------------------------------------------------------------------------- 135472207 MSKIKSLVTGGAGFIGSNLVDQLLAIGHQVIVIDNEYSDAHDQFYWNDNHKYDIRDYEKTRPLYDGVQ--YVFHIAAEARIQPAIENPIGAVSINSVGTCTVLQCAREAGVKKVMYSSTSSGYGMNPY-PNVETQPDDCLNPYSVSKVNGEKLCKMYTDLFDLDTVIFRYFNVYGNRQ------PLRGQYAPVIGIFLRQLAAGEPLTIVGD------GEQRRDFVNVEDVARANIM---AAISNQDPEVYGQVYNVGTGINYSVNDIASMFNHKTINI--PPRLGEAKVSLANNEKIEKTFGWKPYIKLED--------------------- 143372310 ----KIGVTGGAGFIGSNLVQRLVEEGHEVVVVDDLSTGLRSNLHGHEFEFHEISIIDFMKLKSAIKECEVIFHLGALGSVPRSIKNPVATFTANTVGTLNVLEVARESGAHVIFFS-SSSVYGRNLQLPKVETMWLGPMTPYAASKLAGEAYLQAYSSSYRIPTTILRLFNVFGP------KQRPNHEYAAVLPKWIWLAMRGLPIQVFGD------GTVSRDFTYIDTVIEVAMTSMEQKIVSEGA--INLAYGNRITLNEVIGLLRKYF-PNLEVIYADQRVGDIRESQNSPTLIKELF---PEITFEDGLSETINWFREFGKTLAE--- 143226018 ----KILITGGAGFIGSHLLEELLKSDNEILVFDNYLTGKKENIEFHGNFKLDFGTKNSLN-LIKEFNPQICFHLAAQSSVVVSVDDPLLDFEHNILQPVQLIKTLQSTDCSKFVFTSSGGTFGEPNILPTSEYDFAEPESPYGIGKKKFNEIIMSMTIDTHLSYSILNLSNVYGPRQD------PHGEAGVVSIFSNKILKNEKP-------IIYGDGEQTRDYVYVKDVV----HALILSSKIDSNLFLNIGTGIETSVNQLLETIKFEFESDIEPIYKESRKGELLRSVLNSSKAQKELNWESQFSLNKGIKEVVTW------------- 144170385 ----KVLVTGGAGFIGSHIVDQLLAAGHQACVIDDLSSGSRENLPAAPLHVVDIVDTKAVADVFAREQPDAVCHQAAQMSVSRSVREPLFDAQVNCIGLINVLDAAVATGCKRVVFASSGGVLYGEATSPAPENTPANPISPYGITKWVGERYLSFYAREHGLAAVALRYSNVYGPRQN------PHGEAGVVAIFSKRLLAGQAATINGDGCYV-------RDYVYGPDVAAANVTAL---------------------------------------------------------------------------------------------- 136365697 --GKRFLVIGGAGLIGSHTVDQLLSLPVEVIIYDNFVRGSMENLENSLSDPGDILQTDVLDKAMK--QVDGVFHFAALW-LLHCHDYPRSAFDVNIRGTFNVIEGCVANNVERLVVSSSASVYGDALTDPMTEEHPYNNKNFYGATKIAGEAMLRAFHYRYDLDYVALRYMNVYGPRQD------YRGAYIAVIMKMLDAIDNGDAPTIYGDGSE------AFDFVAVEDCARANICAMSSVATD---EYYNVGTGIRTSLKTIAEKLCHITGCNQPIKYAERSDATVRNRIGDPQKASREINFTAEIDLDDGLRRLIDWRASH--------- 167388800 ----TALVTGGTGFIGSHTVVELIEIGYDVVIIDNLTNSHETVIKRITFYKADLLNIDEIDQILNKHRVDFVIHFAALKAVGESVSKPIEYYRNNLNGVLNLLDSMQRHQIWRIIFSSSATVYGEPEVMPVKETTPLQPSNPYGQTKAMTEQILTDFSKAHKASVILLRYFNPIGAHKSGLLGENPLGPTNLMPIITKVLVGKLPQLSVFGNDYNTRDGTCIRDYIHVC-------------------------------------------------------------------------------------------------------- 156743594 MTQRSILITGGAGFIGSHLADALIARGDRVAIIDDLSTGNIRHLKGHPNYTLDIANEAVLAELID--ESDAIVHLAAAVGVQLIVQSPVRTIETN----VNGTELVLRWAAKKGKLASTSEVYGKSERIPFREDDDLMGRWSYACSKLLDEFLALAYHKERDLPVIIARLFNTVGPRQTGRY--------GMVLPRFVRAALRDVPLRVYGDGQQTRC------FCYVGDTVRALIALLDHPGAVGK--IFNVGNPQEVSILELAQRVVRLARSSSPIVLVPYEHADMRRRVPDISRLAALTGFRPTLDLDDIIRAVIAYEQAH--------- 186477048 ----KITVFGGGGFIGSTIVDRLLRDNHEICVFERPRVDPYRQFNDGEKVHWMTGDLTSVHDVTEAIDGDIVVHLVSTTLPKSSNDDPIYDVQSNLVATLQLLNAMVAKNVKKIVFISSGTVYGDPVYLPIDEKHPTNPKVSYGITKLAIEKYLLLYQYQHGIKANILRVANPYGERQ-------RVETAQGAIAVFLDKALRKQPFEIWGDGTVT------RDYLYIGDVAEAFARAVQY---DGNESVFNISSGYGTSLNEIIGKIETILGHPVERTYRPGRPFDVPASVLDNTLAKRELGWEPKVALDAGIKLTATW------------- 255059448 ----KVLVIGGAGFIGSHVVGELLKTDVSVVVYDNFTRGKISNLDPHLTDRCSIDVRDVDLLDDAMKGVDYVVHLAAMW-LLHCKDYPRTAFHVNIEGTFNVLEACVRNNVRRLVYSSSASVYGDAVEVPMTEEHPFNNRNFYGATKIAGEAMCRAYHDRYGLDYVGLRYMNVYGPHQDQTAVYTGVIPIMLNKIDANQ------------QPVINGDGSQAYDFIDVEDVARCNVKAL---LSKATDRFYNVGTGVQTSIRELCDLILELKESDLKVEYRPYSADDARALVQNPKQAENDLGFKYQLPLREGLKKLIAWRDAH--------- 85712142 -EPKIWLVTGVAGFIGSNLLETLLKLNQKVVGLDNFSTGFEEQWANFEFVEGDICDLETCRSVMKGQKINYVLHQAALGSVPRSINDPITSNKSNIDGFLNMLVAAKDAEVDSFTYAASSSTYGDHPALPKVEENIGNPLSPYAVTKYVNELYASVFAKVYGFKTIGLRYFNVFGPRQN------PDGAYAAVIPKWTAAMIKNEEVSINGD------GETSRDFSYVENAIQLNVLAATSDDSVKD-EIYNMALGDRTTLNQLFRSIKEKYGIAYSREFENFRRGDVKHSQAEIQKSKQMLSYEPQVRVDRGIEKTSLWYIA---------- 134910432 MKISKILVTGGAGFLGKHVVQNLEKK------------YPNSEVIVPRSSHVDLRNREETLNYFEMIKPDIVIGIAALGGIGDNRKNPAFYFYDNMMIGMNTIDASHQSNVQKLILIGTVCSYPKITPVPFKEENYPEPTNAYGISKKAVAEYALAVNKQFGLKVVNLLLTNLYGPGDDFREETSHVIPAVIKKMLEAKRQNAE-------EIIAWGDGSPTRDFLFVGDAANAIVQAIDCSYIQP----INVGNGKDISIKNLYTFIQDKLNYSGHVSWDTSYPNGQPKRLLDISKARKHLRFEPQISFEKGIDQTIDWYLEN--------- 121705086 -----ILVTGGLGFIGSHTTLELLKANYNVIVIDNLSNYHEQQGTKMPSLQLDYRDTTALRDLLEQYQISGVIHFAAYKAVEESIRNPLKYYANNVSGLIDFATTLGDYGIKTFIFSSSATVYGTKEEIYVDHEGVPQPVNPYGRTKWICEAILADLAASDPEWTIVARYFNPIGCDESGLLGEDPRQPTNLLPVVVKVMTGEYKELQMFGTDWDTEDGTAVRDFIHVTDLARGHIAALGAANEGKNFRTFNLGTGRGHSVKEVVETMEGVSSKHIPRRAADRRAGDVGSCVAVAARSQEELNWQTEKSLEDAC------------------- 141732828 ----KILVVGGAGYIGSHMIKRFQNTDHQIEVFDNLSTGFKENSQNYKFHMCDLSNKEQVHKILKENKYEMVMHFASSINVGESYDHPMKYYENNVTNTLNLLECMIDLKILNFIFSSTAAVYGEPESIPIKEEQKLSPINPYGKTKSVVESILSDYDKSYGLKYISLRYFNACGAHIDGTIGERHNPETHLIPLILQTASGRRNNFKI---------------------------------------------------------------------------------------------------------------------------- 135248738 ----------------SNLADRLLAEGHEVIGIDNLSYGVKEQIPDDVFYERDIRDAGTYS---LYEGADYVFHMAAKNSIADCQNDPIETADINITGTIHVLEASKRAGAKKVIYAESSAMYEGVEQIPTVED-QTAPHTFYAISKACESLFAEGYKRFSGLPYTALRYFNVYGPRQD------YRRSMAPVMSAFIIKLLRGERPVIYGTGEK------RRDFVHVNDINDFHLLCM--TDSGTDNKTFNLGSGTNYSMLELFYKIRNLFDSDLEPEFKEDLPGEAQTTLADIS-AAKEIGWQPKMDIDEGLKEMIEY------------- 138524244 ----KYIVTGGAGFIGSNLVDRLLKDGHNVVIIDNLSRQRIESKVFSNWENNNLNDIATMTDFSMLNGADGMFHLAALPRVQPSIDNPVKFHSVNVNGTLNMLVACNEVGIKRFVFSSSSAVYGNVKTLPTNENSDLNPVSPYGLHKVIGEQYCQLFEKIYDIETFSLRYFNVFGPRQPLL------GPYSLVIGKFSNQLLNELPLTINGD------GNQRRDFIYVGDVVNANILCM--TIDHTNDNIINIGSGYNISVNEIADML-----SKNAPRINNPPVIEPRETLADIKIATNFLDWEPTVKISD--------------------- 196230516 ----RAFITGGAGFIGSSVADQLLSDGHEVIVWDNFSTGQERFLLISPACQLVRGDNLEVKALQAMSGCDIVFHFGANADVRFGLHHPERDLQQNTLATFGVLEAVRANGIKRIAFSSTGSVYGEAETIPTPEDAPFPQTSLYGASKLACEGLIAAYCEGFGLEGYIFRFVSILGER----YTHGHVFDFYKQLQEHP------------GHLDVLGDGRQRKSYLHIGDCVRAMLHAVEARDMKHRVQIYNLGTNDYCEVKDSIGWICARLGLQPELRFKGDRVGDNPFIFLDTAKIRA-LGWAPKLTIREGVERTVDWLAANP-------- 220916295 MNGRRILITGGAGFIGSHLADHLLAHGHAVRALDVLSPGAARPAYLAREVEGDVRDPEAVRRALRGV--DAVVHLAAAVGVGQSMYEVERYVGVNDLGTATLLQALIERPVERLVVASSMSLYGEGEPVATPETKPPALASIYALSKYDQERMSLLVGEAYGIATVALRFFNVYGTRQ-----ALSNPYTGVLAIFAARYLNGRPP-------LVNEDGLQRRDFVSVRDVAEACRLALTVPGASGQVLNV--GSGRSFTVLEVAERLAVAVGREELRPEVTQRVGDIRHCFADISRARAVLGYAPAVAFDDGLAELAGW------------- 134541900 ---KTALVTGGAGFIGSHMVDFLLSKNYIVKVLDNFSGGQEQNLVHHKNEKNDIRDCEKIKTFFN--DINEVYHFAGIGDIVPSIENPIEYFSVNASGTAILMDCLRNSKNLKKIVYAASSSCYGLASTPTDEHHKIQPEYPYALSKYQGEMIVRHWYKVYKIPVNTIRIFNAYGPRVRT------TGAYGAVFGVFFKQKLSNAPLTIVGD------GEQTRDFLYVTDVAKAFFMAAQTKLT---NEVWNLGAGNPV----SINYLADLIGYKS-KKYIPKRPAEPDCTWANIKKISKDLNWK---------------------------- 135680221 ------------------------------------------------FHHTDLRNFQSILKFSKGKRIDAIIHLAGYKSVGESVLDPITYFDNNLIPTINLCKLVEELGVKNFVFSSSATVYGNPAKMPIDESFSTEALSPYAKSKVINETMLKTLESRENLNVVSLRYFNPAGAHPSGLIGEVRQTVTNLIPVLSRVAVGAQSEFVIYGDNYDTPDGTCIRDYVHVVDLAKAHVTALGKIESFHGWRAFNIGTGKGHSVKDVLQTYELVVGRTLPYKVVQRRPGDAERLFTDPSLANKELQWKASRTLRQICADAWAWEQK---------- 139217523 ---KNILITGGSGFIGSHTCIELIKKGFKLTILDSLVNSKIETINRIKFKEGDIRDLNFLDKVFNNENFVGVIHFCGLKSVNDSMKTPFEYWDVNVLGTINLVKVMLKYECFSLVFSSSATVYGNPKENPIKEDSEINPTTTYGLTKSIIEKFLFDIHKQYKLRIAILRYFNPVGAHESGLIGELPIGPNNIFPLLNLAGIGIKENFQIYGNDWPTKDGTCIRDYIHVMDIA----------------------------------------------------------------------------------------------------- 117926593 MDKQKIYVAGHRGMVGSAICRYLQGQGY-------------SNLLLAGRETLDLCRQAEVEQFVAQHAPEYVIIAAAVGGIHANSTYPAEFIRDNIQIATNLVDASYRAKVKKVLFLGSSCIYPKLAPQPMTEDCALEPTNQWYAAKIAGIKLCQAYREQYGFNAISAMPTNLYGPEDNFHDLNAHVIPALIARFHQAKLAGQP-------SVMAWGTGSPRREFLHVDDLAEAVIHLLDHYE---GGQQVNVGTGQDVTIKHLTELVAQTVGYMGEIVWDSSKPDGTPRKLLDISKI-EALGWSPKIDLAQGLQGAYQWYLDH--------- 288817615 ----RVLITGGAGFIGSNIAKQL-ERSYKVYVLDDFSSGHFKNLIRGEVITGNISDPSLWEWLAQNYQFDVVFHKAAITDTT--IMDQALMMKTNADSFRHLLKCAVKWKA-KVIYASSAGVYGNVE-PPMREEGPQEPENVYGFSKLIMDRIALNFLEEHDIKLIGFRYFNVYGEGES------YKGKTASMVYQLYKKIASGEKARLF------KWGEQRRDFVYIKDVIKANMLALEKDV----SGIFNIATGESRSFNEIVDILSIELNTKPEVEYFDCPYDYQQHTQADISKAREVLGYTPDFSLEDGIRDYIK-------------- 134607703 ----KILITGAAGFIGFHICRELIRRKIELIGFDNLNNYYSINLKKWNFIQGDLINFKILNSTFKNYRPDIVIHLAAQAGVRFSIENPFSYANSNLLGFVNILECCRLYNIKNFIYASSSSVYGGNLKTPFLETDPDHPVSLYAATKKSNELMAHSYSHLYGIPSTGLRFFTVYGP----------WGRPDMAPMIFTKSILSGKPIEVFNNGNISRDFTYIDDIVSIVCELNFNRYKPEANKSWSPHNVYNIGANKSIPILDFIKLLEENLGIEAKKVFKPMQ------------------------------------------------- 136650007 ------------------------------------------------FIKGDIGDTDLINDLLTTHKPRAIINFAAESHVDRSIVSPEDFITTNVVGLFRLLNVSKDYWAFRFLQVSTDEVYGDAKDPAFSETNRFEPNSPYSASKASSDHLVRAYHHTYGFPTLTTNCSNNYGP--------YQFPEKLIPLIILNAMDGKNLP--------VYGDGQQVRDWLYVTDHCEAIQSVL---NSGAVGETYNVGGESEKTNLEVVRKVCEALDYKHLIKHVTDRPGHDRRYAVDITKINKELGWSPKKTFESGIKETVQWYLDNQGWIDR--- 143453032 --------TGGLGFIGSNLIKILLKKKYFVINIDKVTYSSNFYNKNYKFFRLDINNKKKILKILKRYKPKAIFNLAAETHVDRSIDGPESFIKSNILGVFNLLQVFRKYHMNNLIHISTDEVYGDVLSGRSKEEDAYKPSSPYAASKASSDHLVYSYIRTFKIPAIITNCSNNYGPR--------QHPEKLIPKLIYNILNNKKLP--------IYGKGTNSREWIFVDDHCEALIKILEKGKAGNFYNIGSNLNLNNIKICKRLIKIGKKTGKNVKIEFVKDRPGHDLRYALNSNKLIYNIKWKPKTNIVNGLKKTFDWYLKNPGYFSN--- 124025107 ------LVTGGAGFVGSHLIDRLMKSGEKVICLDNFFTGSKENIHWIGHPSFELIDHDVIEPI--KLDVDRIWHLACPASPIHYQFNPIKTAKTSFLGTYNMLGLARKVGA-RILLASTSEVYGNPEIHPQPENGNVNPISCYDEGKRVAESLCYDYMRMHGLEIRIARIFNTYGPRMLLNDGRLISNLLVQSIH--------------GNDLTIYGNGKQTRSFCFVDDLID----GLTLFMNSLNVGPMNLGNPEELSILQITNFIRNISIEKVNLKFLKALDDDPLRRKPDIYLAKKELNWEPKIMFKEGLAITRKYFEK---------- 226334858 ------LITGVAGFIGSTLARRLISEGIEVRGVDSLTDYYDPDLKRFEFVEGDL-NTLELSDLLRGVEF--VFHQAGQPGVRKSWSEFDLYALDNVQATQRLLEAVKTDRIKKLVYASSSSVYGNALQYPTVESDTPRPLSPYGVTKLAAEHLCSLYGENFGIPTVSLRYFTVYGPG--------QRPDMAFTRFFRAVLQGDSIE--------VYGTGDQIRDFTYIDDIVEANI--AAAFSDVPPASVYNVAGGSSVSLNETIKIIGDITKRPVEISSGGTVAGDVFRTGGSTAKISSELGWSPIVPIDDGLEKQYQWARD---------- 226357061 ----NLLITGGCGFIGSNFVRYWLESHDRIVVYDKLTYAGRRENLHDLWVQADIADMDAARRACCENQIDLIVNFAAETHVDQSILGPLIFTDTNVRGTHVLLEVARELGIRMHHISTDEVYGHIPDGHQSVETDPLAPRSPYAASKAAADQLVQAYYITYSLPVTITRGANNVGP--------FQYPEKVVPLFATNAVLGEALP--------VYGDGLQMRDYAHVYD----HCTGIETVLLRGEGQVYNVGTGREMTNLEMVNIVLETLGQDRSIQHVTDRPGHDRRYSMNVDKLRA-LSWEPKYDPWQAVTEATRWYAQNRAWWE---- 300933808 ------LVTGGAGFIGANFVHRTLQTRSDVRILDNLATTDGVPLTEAFFSQLDIADAEWVNDLPATGMRAAIVHFAAESHNDNSLLDPGIFARTNVEGTVNLCEAAVQHGI-HLHHISTDEVFGDDDPARFTVETPYAPSSPYSASKASADHFVRAFVRSRGLSATISNCSNNYGPR--------QHPEKFIPRQITGLIEGEKPRL--------YGTGDNVRDWIHVDDHNDAVWAILDAVAAHEKGQTFLIGANGERSNLEVVQDLLEAFGRENDFVHVTDRPGHDRRYAIDPSSIET-LGWKPKYDFRAGLEATVNWYRDNERW------ 136355301 --------------------------------IDNLITGNKKLIPSGEHYECDMADKKLVEKILKKNKFDIVMHFAGLTRVDESVKHPKKYQLHNFEKSKSFFNCCFENNIRKIIFSSTAGVYGNSKSEYVKETDDLKPINPYAKSKYEIEKYLIESSKNEKAKFIILRYFNVAGADKNKRSGLISESSTNLIKVVCEAATNKRDKIIINGSDYTTKDGTAVRDFIHVSDIADIHSVAANSLFKNAESDFYNCGYGKGYSVKEVISEMENIINDKLKVEIGPRREKDISISIANCEKFKKKFNWKPKFNLNYILRTALEWEK----------- 143541127 --DKKVVVTGCSGFIGFHLSNSLLEADKQVIGIDSLNSAYDVKLKQKRLEKLDLSQEDSLNEI-KKYDISTIYHMAARAGVRQSFLDPQSYIEDNTYATANVANFCKEIEIPEIILASTSSIYGDSGENLMVEDEKIKPPSVYASTKLSGESLSKIILEDTNTNLIISRFFTVYGP----------YGRPDMSILRFIHWIINEEKVKVFGNG------EQQRSFTYIKDVVDA----LKRMSGLENSHTFNVGSNITVSLNEVIKLIEEFSGKNANIENLERAYKDPDVVRPNLEKINEAIGWEPTTKIQEGIEKTVSWYKENEDYLKD--- 139835613 ---KKVLVTGATGFIGSHMCIKLLEENYKVIAIDSFFNSKPTSLENVIKIKCDLKDSNSIRKIFSEAKINAVVHFAGLKSASQSIRNPLLYWKNNTSSTINLLDAMNINNCRDIIFSSSAAVYGETDNYLINEEDPINPISPYGNSKRAIEIILRDLHKPNAWSVGNLRYFNPIGAHESGLVGECPSTNFNIFPIILKVANRELTKINIYGNDWDTKDGTAVRDYIHIMDLVDGHLLALEYFANKGKFINLNLGTGFGTSV------------------------------------------------------------------------ 238501642 ----KILITGAAGFLGSNLADYLLAKGQVVIGMDSFQTGSPQNLEHLRNHDFTFVNQNIQLPLEDVGQIDQIYNLACPASPIQYQKDPISTLRTCFQGTQNVLDLAISKNA-RVLHTSTSEVYGDPLVHPQPETYWGNMRSCYDEGKRVAEALCYAYREQQGADIRIARIFNTYGPRMNG-------SDGRVVSNFIVAALSGED-------LKITGDGTATRSFQYVTDCMEGLYRLMNSDYSEGPVNIGNDGEFTIQQLAEKVALVAEMTNPKVNITYHPRPADDPAVRRPQISLAKAVLNWCPTIPLQEGLRRTIEWH------------ 298252920 ----HILVTGGAGFIGSNFVHYIAKYHVTVTVLDLLTYAGNMANLYDAFIRGDIRDENIVDQLLDPHNPDAIVNFAAESHNDNAIEFSDPFISTNILGTHTLIKFAHKYNI-RFHQVSTDEIYGDDSVLKFDESSPYCPSSPYSASKAAGDLLVKAWCRTYGLRATISNSSNNYGPR----------QHIEKFIPRQITNIMCNMPAKLYGVGDSI------RDWIHVEDNCDAIWHVLTRGTI---GETYNIGANCEVNNINILRILMQLMGVPENITYVNPRIGEDRRYALDTTKIRTQLKWEPKHDLKQELQETISWYDSH--------- 142294154 --TKNVLVTGCAGFIGYNLSKKLIHQEHSVTGIDSLNSAYDKDLENDKNFQFVNNNLSDEDSLNGLNNFDIVYHMGARAGVRQSFRDPLSYIKDNTIATTNVANFCKNNNIKKMILASTSSIYGNSGDKEMVEDEKINPPSIYASTKLSGETLAKTILSSHDTNLIITRFFTVYGP--------YGRPDMSILRFIHWVMENK--------EVIIFGDGEQRRSFTYIDDVVEL----LLKVQNCDSSETFNVGNNKTSSLNEVIKIIENFSNKEARVNNQPRAFRDPDVVLPSLSKSKQILNWEPSTNIEEGIKSTIEWYSSFQDKIKD--- 142117781 ----TLLITGGAGFIGTHLTRIALTKGWQVRVLDNLSTTSKSKVLEELGAEVIVGDVREEGACLAVENCEAVVHLAAQVSVPRSMEYPEETFEVNVEGTANLLNACKTHGVNRFVMASSAAVYGNSEMNPLEEQHAGKFHSPYADSKWQNEQQVMDAKKA-GMDAVALRLFNVYGAGQS------HRGTYAAVIPIFIDQTLKGEPATIFGDGLQT------RDFVHVDDVSQAFLMLATEPWPQALEPVYNVCTETEISMLEVLDRIHSVLKHRFAPNHEAARAGDIGRSVGSNKRLTRDTAWRPNIKFQNGL------------------- 140914226 ----RFIVTGGAGFVGSYLVKLLVNEGHDVIVIDNLHKGKIENLNEVKDKKIDIRDYDAIEQHMR--NIDGVFHQAALTVVQDSFANPKEYHEVNVEGTENIFK-IAMKNNFKVVYASSSSVYGHQDKIPITENSKRSPINPYGQTKLDDEFLMEKYSKL-GVEIIGLRYFNIFGKGQTLEYAG--------VITKFLDRIKEKKSPIIFGN------GTQIRDFIFVEDIVKAN---LKAMISDVSKLLVNVGTGHAISILDLAKMMIRISGLDLPATISEPLEGDIEKSQADTTLFKDSFDWIPTTSLENWLKRLLD-------------- 134804740 ------LVTGGAGFIGANLIYKLIGLGEDVICVDNLSTGNLDQVINNPRFAFINHDLTNPLEI----NIDKIWHLACPASPKKYQEDPIQTSKTCFLGTINMLELARKNNA-RFLFTSSSEVYGNPKEYPQSESNTIGKRSCYTEGKRIAESLCFDYYRKYDLDVKVARIFNTYGPRLDKNDGRLISNLIMQAISDH--------------ELTIYGDGSQTRSFCYIDDLID----GLIKLMNFKETLLLNLGSQNEIKVIEIANLVIDLVNSNSNIINLPLPEDDPQRRNPNINLAISKLKWYPNISIEEGLKKTISYLKRN--------- 152974263 --KKRCLITGGAGFIGSHLAEELVKRGHPVTIVDNFSKYHEELTGNIPIIPISILDKNSMHELVNQH--DVVFHLAAILGVKTTMEKSIELIETNFDGTRNILQAALKGK-KKVIFASTSEVYGKGTPPFSEDDDRLYGATSKIRWSYAIETLCLGY-ALQGLPVTIVRYFNIYGPRA------KDGPYAGVIPRFIRAALQGDD-------LLVYGDGKQTRCFTYVSDAVEATIAAMDEKVN---GEIINIGSEDEKSIQEVAQDIHQLTHSSSKIVHVPYPHGEIPNRKPDVTKLKEMCQFHPNVSWEQGLKETIQWFRE---------- 310638292 -----ILVTGHRGLVGSALVRQLTSRGHTNV----LTVGR---------EELDLRDQHSVLCWFREYQPRYVIHAAGKVGGILANKNQADFLHDNILLHTSVLRAAMEENVEKLLYLGSSCIYPRDCPQPIREDYLLQTNYGYAIAKISGLLACQAYRDQFGCRFISAMPTNLYGPN-DNFDPTTSHVLPALIQRFHRAREARQSTVTIWGSGAP------RREFLHVDDLASACVFLMKHYDS---PQPVNIGTGIDVTIRQLAEQLRSLVYPECELVFDTSKPDGTPRKLLDTSKI-NALGWMPEISLEAGLRSTYEWYLN---------- 140118676 -TDMNVLLAGGAGFIGTHTAAELLIAGHEVFVIDNLSNSYKSSLKKMVFHEADLRDFQSILRFSRDKRIDAIIHLAGYKSVGESVLDPIAYFDNNLIPTINLCKLVEELQVKSFLFSSSATVYGNPLKMPIDEDFSTNTLSPYAKSKVFNEMILESLESRKNLTVVSLRYFNPAGAHPSGLIGESRRAATNLVPVLSKIAAGDQTELLIYGDNYHTPDGTCIRDYVHVVDLAKAHVAALEKTPSLRGWRAFNIGTGKGHSVKEVLKTYELVVGRA---------------------------------------------------------- 218781242 MRQIRVLVTGGGGFLGSRLCDRLVEQGAEVICVDSFISGAKNNVRHLDKKNFELIRHDVVEPIL--LDVDRVYNLACPSSPVFFQKNAVKTVKTNVMGTINMLE-NAAHCKARLLQASTAEVYGEAREHPQKESYWLNPIACHDEGKRVAETLVMDYHNQRGVDTRIARIFNTYGPRLAL--------DDGRVVSTFVMKALKNEPIYINGDGAQTRT------FCYVDDMVDGIIRLME---TENAVKPVNLGSPVEVTILDLAKKIIAISGSRSEIVFQPMPENEIIRRRPDITRAEQLLGWTPTTDLDAGL------------------- 254169859 ----KVLVTGGAGFIGSHLVDRLMEQGYEVRVIDNLSAGSLNNIKQWLDHEGDLRSKEVAKKAVK--DVEVVFHLAANPEVRIGAQSPGLLYETNVLITYSLLEAIREEEVQYLVFTSSSTVYGDAKVIPTPEDAPLEPISVYGGAKLAAEALISGYAHTFDIKSLVFRLANIIGERSNHGVIYDFINKLKADP----------------NRLEILGDGTQKKSYLHVSDTVEGMLFLFEKFKEENKTYDVYNGSEDWITVKEIAEIVSEEMGLSPEFYFTGGWKGDVKFMRLSIEKAKRK-GWKPKMNSYGAVRRTVQ-------------- 143060523 ----KILVTGGAGFIGSNLSRKLVNEGHEVVVLDSLLRGNKDTFAKVKFFKGDVRSRQLVLEASKGC--DVIFHLAAVLGVDIVADNPVETMDVEVVGTRNVVEASETNNVKYILYASTSGIYSHSAKNALTEEVLVDPRTSYAMAKRYNEIYLASHHEERGINVISIRFFNVYGWNQDNRMVVPRFFEQC----------EKGEDITVFGS------GEQTRDFTYIEDTIEACC----KLIGIKGSHIVNIANESEWCITELAHEIKDITGAKSKITYKKRYDYEVERRVGSSEKLYKLTKYKPDTELKEGLQKIYE--INYLKAVKN--- 145608848 ---KNIMVTGGAGFIACWLVRHLYPHAYNIVSFDKLDYCSSLNNTRALNEQGDVTSPTEVMDCLERYNIDTIFHFAAQSHVDLSFGNSYSFTHTNVYGTHVLLESAKKVGIRRFIHISTDEVYGEDDDEDLLESSILAPTNPYAASKAAAEMLVHSYQKSFKLPVIIVRSNNVYGPH--------QYPEKIIPKFSCLLHRGQ--PVVLHGDGSPTRRYLFAGDAADAFDTI-LHRGELGQVYNVGSYDEISNLDLCDKLLTELKIPHDTTEEFRKWVKYTQERPFNDHRYAVDGTKLRQ-LGWDQKTSFAEGLSITVEWYRKF--------- 135934887 ----KILVTGGAGFIGSHLVDKLLEKGHIVTCIDDFTLGSKNNLKNFQLEEFDLLNLDNLSKFFENKNFEFVYHLAANSDIQKGTESTTTDLEKTFMTTYNILESMRKNSVDKILFTSSSAIFGK-HSGPIGENVALKPESLYGAGKSASESYIHAFCNLYDIKSWIVRLSNTVGKRLT----------HGVIFDFLNKIKENEKELKVLGD------GKQAKPYMHVDDLIDCILFVINNTDEKIN--IFNVGPEDKITVEEIAKLIIEKHGNNQKISYTSGWKGDIPIYSQNTKKIKS-LGWEPRYNSEQAIIKALE-------------- 300313483 LTGKKIVVIGGAGLIGSHTVDHLVKEDVKEIIYDNFLRGSRENLLKDPRVKGDIMQTDILQSALEGA--DGVFHLAALW-LLQCHEYPRSAFDVNVRGTFNVMEACVAKGVKRLVYSSSASVYGDAVREPMDEDHPYNNKNFYGATKIAGETMLRSFHHRYGLNYVGLRYMNVYGPRQD------YHGAYIAVIMKMLDAIDKGESPTIMGDGSE------AFDFVAVEDCGLANVCAMKAETAD---QYYNVGTGKRTSLKELAELLLEITGCDKPITFAPRQATLVRNRIGCPKKASSEIGFTATIDLREGLKRLIEWRANH--------- 136118764 MSKKIALVTGAAGFIGSNMTDLLLKKGYKVRAIDNLSVGHNLKNKNFKFKKIDLLKINQGENFFK--NIDYVFHFAGLTEVMPSFKNPQKYIYNNFIGTVKLLEAARKAKINKFVYAASASCYGV-SNKKVKETDLIQNEHPYALSKYLGEMATFHWHKVFGLPINSMRIFNAYGLR------NKSKGAYSSVIGIFLRQRKEKKPLTIVGS------GNQSRDFVNVKDVCE---AFLKAATSKFSGRIYNIGTSKCLKINEVAGFI------SNKKTKLPHRKNEALNSNSDISRIKRELNWYPKILFKEGIKD----------------- 140141967 --------------------------------------------------HGNICDKSYINTIFNNFKFDAVIHLAAESHVDRSIKNPLGFANTNILGTIVLLNAFKNWAGKRFYHLSTDEVYGTGDNGLFKENTPYNPNSPYSASKASSDHFVRAYGQTYEMPYVISCCSNNYGPNQFP----------EKLIPLLINNILNNKTLPVYGEGL------NVRDWIYVIDHIIAIDLIFHKGNN---SETYNIGGYNEYKNIDLVKLICKKMDSEKLIKFTKDRPGHDLRYAIDATKIKKNLGWTPSFSFDDGICKTIDWYLKNKKWLDN--- 141513502 --------------------------------------------------EGDIRDEEALSKLFSSNKFGAVIHLAGAKSISESVKKPLFYFENNVSGSLNLFKTMDKYEVRSIVFSSSATVYGIPKKLPLKESMPTEPTNAYGITKLMIEKNLQEYSRNKAWNIINLRYFNPIGAHKSGLIGEDPQEPNNIMPYLTQVAIGKLNQLNIYGNDYKTHDGTGIRDYIHVMDLANGHIKALQKLEKEKGIWNINLGTGIGHSVLDLVKTFEKVTGKEIPYKLTDRRPGDIAISYTDPSFAKKEINWIAEFSLDD--------------------- 73667591 MEKEKIYVAGHRGLVGSALKRKLESKGYTNLIF-------------RTHKELDLTNQQAVNKFFEQEKPEYVFLAAAVGGILANSTYPAEFIYENLMIESNIIHAAYKCGVEKLLFLGSSCIYPKLAPQPLKEESLEETNEAYAIAKIAGIRLCKHYNHQYGTNFISVMPTNLYGPNDNFDLETSHVMPALIRKFHEAKVNNKP-------EVVVWGTGKPLREFMHVDDMADACVFLMENYDFSEVGEFVNIGVGEDVTISELVKLIKEIVGFEGKINYDTSKPDGTPRKLMDVSRL-NGLGWKARMSLKDGIKETYEWYQD---------- 224142337 -KSAKIFVAGHRGLVGSAIVRKLQSHGLTNLVLRSHS-------------ELDLTRQSDVDSFFAAEKPQYVILAAAVGGIHANNTYPADFIAINLQIQTNVIDSSFRHGVKKLLFLGSSCIYPKLAPQPIPENAPLEPTNEWYAAKISGIKMCQAYRIQYNWDAISGMPTNLYGPN-----DNFHPENSHVLPALMRRFHEAKVNKAKEVVVWGTG--SPLREFLHVDDLADAVVFLMDKYS---GLEHLNVGSGKEVTIKELAELVKEAVGFEGELVWDTSKPDGTPRKLMDSSKLLG-LGWMPKISLKDGLVDTYKWYVEN--------- 142724094 -----ILVTGGCGFIGSHFIEYFSEQDTKIINIDKLGYAVSNQINNYSFYKVDITDIKNVKKIFSENNFDAIFHFAAESHVDNSITSPSDFVNSNIMGTYNLLECTRHSKNIFFHHISTDEVYGSKSENPFEEDNKYLPSSPYSASKASSDLLVEAWSRTYNLDYLITNCSNNYGSR--------QNIEKLIPKIIKNAVIGKEIP--------IYGTGDNIRDWLFVKDHVDGI---LKLHTAKKKNTTYNIGGGFEISNIDLANMILSILEEDYNIRFVEDRKGHDFRYAINSSKIFDEAGWQPSNSFQ---------------------- 27753572 ----KILVTGGAGFIGSHFVRS-YADQDELTVLDKLTYAGNLANLRFTFVHGDIGDAALVAEVVPGH--DLVVNFAAESHVDRSIADADEFVHSNVLGVQTLMRACLDAGTPRVVHVSTDEVYGSIDTGSWDETAPLNPNSPYAAAKAGGDLIALAHARTHGLPVSITRCGNNYGP--------YQYPEKVIPLFVTNLLDGLSVPL--------YGDGGNVRDWIHVDDHCRAIRLVAER---GEPGEVYHIAGTAELTNMDLTTRLLNALDADWRVEKVRDRKAHDRRYSLTDARLRA-LGHRSRIPFEEGLVETIEWYTDNRAWWE---- 168702107 ------LVTGATGLVGGWVVRRLLRAQADVVCLVRDWAPDSEFERSGKSVRGDVCDQALLERVCGEYEIDTVIHLAAQTLVPVANRNPVATFESNVQGTWALLEACRRPKVRQIVLASSDKAYGDAEKLPYDEGTPLQGRHPYDVSKSCADLIAQSYATTYNLPVAITRCGNFYGPGDLN----------------WNRIVPGTIRSVMRGERPVIRSDTHIRDYFYVEDGAAAYLMLAEKLAADPTLRGEAFNFSNETQVTVLDLVRLLLDQMGTRLTPDVRNEHEIKHQSLSAAKARARLGWEPLFTLEEGLSRTVPWYRNF--------- 142623053 ----KILITGGAGFIGSHLLEDLLSSDNEILVFDNFLTGKKENIEFEGNFKFDFGTKNALN-LIKEFNPQICFHLAAQSSVVVSVGDPLLDFEHNILQPVQLIKTLLTTDCSKFVFTSSGGTFGEPNILPTSEEDFAEPESPYGIGKKKLNEIITSMTTDTHMSYSILNLSNVYGPRQD------PHGEAGVVSIFSNKILNNEKP-------IIYGDGKQTRDYIYVKDVVN----ALILSSKIESNLFLNVGTGIETSVNHLLETIKSEFASDIEPIYQESRKGELLRSVLNSSKAQKELNWESQFSLNQGIKEVVSW------------- 187251922 MDKKRYLVTGGAGFIGSNIAFELQNQGHEVTIMDDFSSGNFKNLLG---FKGDVTAADVFKFMPEDVYFDAIFHEAAITDTT--IHDQKLMMEMNVEAFKNVLHFAASNEIKRVVYASSAGTYGQNP-CPMTETQVPMPENVYGFSKAVMDNVAREFASDHDMVIVGLRYFNVYGPG------EYYKGHTASMIYQLYNQMKAGKNPKIF------KMGEQQRDFVYIKDVVKANLCAL----TAKESCVVNVGFGTPRTYNDVVACLNKETGLNLQPDYIDNPPFFQLKTEADLTLANQKIGYTPDYNLEKGIEEYVQ-------------- 307154120 LSEKRIVVTGGAGFLGRQVVEQLLIAG-----------AKADQITIPRSHSCDLRVLDHCQRAVTGQDI--IIHLAAHVGIGLNREKPAELFYDNLMMGTQLIHAAYCAGVEKFVCVGTICAYPKFTPVPFSEDDPEETNAPYGIAKKALLVQLQSYRQQYGFNGIYLLPVNLYGPEDNFDPNSSHVIPALIRKVYEAQQKGEK-------QIPVWGDGSPTREFLYSTDAARGIVMATQSYD---QSLPVNLGTNFEVSIRDLVEMICELMGFKGEIVWQTDKPNGQPRRCLDTRLAREKFGFVAQMDFKEGLKNTIEWYRQHP-------- 163754897 ----KILITGIAGFIGSHVAEYLQKQGHEVVGIDNFSDYYPVQLKKKNAAQADLREITAIEKLPK--GIEYIFHFAAQPGIAATST-FEDYLTNNVIATQNLSYALKLSSLQLFVNIGTSSIYGL--NATFPETVVPKPASHYGVTKLAAEQLVLCSSRLNQLNACSLRLYSVYGSRERPDKLFTKLLDCGLNDKTFPLFEG---------------SLSHLRSFTHVSDIVKGITSVIGK-EAVCNGEIFNIGTEAEYTTQQGVDAVEKLLNTKITFEKLPPRSGDQQRTKADIGKARELLNYNPMVTLEEGVAEQLTWFRD---------- 153006071 MRGRHVLITGGAGFIGSNLADRLARAGERVLLLDDLSRGVARNLAWLQAEHGDRIDLEAAAVARAAREATSVFHLAAQVAVTTSLEDPVGDFEVNARGTLNVLEAVRRSEPPPLLFTSTNKVYGALEDLAVSEARPVEFHSPYGCSKGAADQYVLEWARTFGVPAVVFRMSCIYGPHQ-------HGNEDQGWVAHLLRAALRGDTVTIYGDGRQVRDVLFVEDLVDAFLLARGGARKL-----AGEAFNVGGGPDHTLSLLELVDLIEALDGERPKVTFSGWRVADQRWYVSDTSKLRAVTGWAPRVPVAEGIGRLHAW------------- 77164740 -KEKKIYVAGHRGMVGSAIVRNLEERGYINII-------------TRTRQELDLRDQKKVFSFLEGARPDYLFIAAAVGGIYANNTYRAQFIYENLVIQSNLIHGAYLAGVKRLCFLGSSCIYPKKCPQPIREDGPLEPTNPYAIAKIAGVKMCESYNQQYGTRYISVMPTNLYGPHDNYDLNNSHVLPALIRKAHEANLRGDEK-------WVVWGTGTPRREFLYVDDMANACILLMEKDIPGG---LFNVGTGVDVTIKELAEMIMGVVGFQGSIVFDSSKPDGTLRKLLDVSRI-QELGWRPQIDLREGINKAYRDFLN---------- 134823218 --SKKVLVTGGAGYIGSHTVVELFNTGYEPVLLDDFRNSNESVIDGLSNILGDVCNTDYLRGYIKANEVCGIIHFAAYKAVGESVENPLRYYQNNIEGLVSILKIVSENPKIPFVFSSSCTVYGEPQGEVSEKTPKTIPTSPYGFTKWLGEKIIDDFFSQNELRLMSLRYFNPIGAHSSAQIGELPIGPNNLLPFVTQTAAGLHEKLTIFGNSYPTIDGTCIRDYIHVVDLAEAHVKALDYLINSADGCHVNIGTGNGSSVLEVVKTFEKVND------------------------------------------------------------ 139161910 --------------------------------------------------------------------IENVIHLAASVKVDESTINPIKYYSNNTAKTLKFIGSCSNTNIKNFIFSSTASVYGSKGSDPIKENEELNPESVYGHSKLMSEIMLKDITSTSPMKYGILRYFNVAGADPKLRVGQCDEDATHLINVASLAAIGKRDCINIYGTDYNTKDGSCIRDYVHVSDIAEIHYLTIKYLVSGGESITMNCGYEKGYSVLEVVKYLKEISKRKIVVNYKERRKGDPSSVIADAKLCKNLLNWKPKFDIYTIIEHSIKWQEKHFK------- 304408156 ----KALITGISGFVGSHMAEYLLAEGVDVVGTIRQRSDHIAHIQKDIHVECELRDPLSVEQLMEKERPDYVFHLAAQSFVPTSWNSPIDTIHNNVVGQIHLFEAIRRFNPTKVQIACSSEEYGEPHEVPIREENPIRPLSPYAVSKVAQDYLGYQYYRSYGIPVVRTRTFNHTGPRRGENFVT-----SNFAKQIAEIEKGLRPPVIHVGNLEA------KRDFTDVRDVVRAYWLALEKGT---PGECYNIASGSCVTIDEMLQLLLSLSSVNVTVEQDPMRPSDVEILLGDASKFMAHTGWKPEVPFEQTMQDLLDYWRA---------- 82913605 ----RILITGTAGFIGSHLAHKLLDRGDEIIGIDNVNDYYDRGTYRPGGTRQAVRDVRPPRTCGEPGRPGPACAIHWKTRMPTSTPIWSA-----SATSWKPAATMRWNTWSML---SSSSVYGANTAMPFSVHHNLHPVSLYAATKKANELMAHTYSHLFGLPTTGLRFFTVYGP--------WGRPDMALFKFTRNILAGQPIDVYNYGHH--------RRDFTYIDDIVEGVVQTLDKVAARAPYRLYNIGNNEPVELLRFIEVLEHCLGCKAEMNLLPMQDGDVPDTYADVDDLMRDTGYRPATPIETGIARFVEWYRDYY-------- 134928507 LADKTVVVTGGAGFLGRAILEGLRARGAGRIIV-------------PRKAEYDLVHEDAADRLYRDHDPDVVLHLAAVGGIGANQDNPGRYFYANMAMALHLIERARIHRDFKFVQVGTICAYPKFTPVPFREEEPEETNAPYGIAKKAAMVMLDGYGRQYGLRSAYVLPVNLYGPH-----DNFHLHSSHVIPALIRKCVAAIDDGRDHIECWGTG--SASREFLYVDDCAEGILRAAEALH---EPLPVNLGTCMEITIRELVELIARLTGFEGEIRWDASKPDGQPRRCLDTSRASEMFDWRAAVGFEDGLRRTIDWFRHN--------- 220914629 LEGANILVTGGAGTIGSTLVDALLQAGAGRIVLDNMVRGRLGNLDAAELVRGTIQDRDLVNDLVR--GKDLVFHQAAI-RITQCAEEPRLALEVLVDGTFNVIEAAAAHKVGKLVAASSASVYGMAEEFPTAERHHHNNDTFYGAAKSFNEGMARSFRAMTGLDYVLLRYFNVYGPRMD------VHGLYTEVLVRWMERIMDGRPPLIFGDGLQT------MDFVYTTDVARANVLAAAGDVHEG---VYNIASGTETSLLEMAQALLRVMGSGLAVEHGPRQVNGVERRLADTTAAARDLAFKAEVGLEEGLRELVAWWK----------- 134429605 ----KIIVTGGAGFIGSHTVVDLLENGFEPIIIDDFRNSKPFILRDFKSYDIDCGDVDKLSVVFKKEKPDGIIHFAADKAVNESVNNPLKYYHNNITTLVNILKVVELYPIKSFVFSSSCTVYGVPKNVPVSEKSPIQPFSPYGFTKQVGEQLLKDFALTNKTAISLLRYFNPIGAHPSGLIGELPLGPNNLIPFITQTAIGIRKSLTVNGNDYETPDGTCIRDYIHVVDLASAH-------------------------------------------------------------------------------------------------- 136745263 ---QSVLVTGGAGFIGSHLIRRLLKKKPRTTNLDLLTYAGNLENLNDCFIKGDINNNILINDLFNKNNFDAVIHLAAESHVDNSIKDPFQFAQTNIQGTLNLLEAARNHWGNRFYHISTDEVFGLGQKGQFTEDTAYNPRSPYSASKAASDHLVRSYFHTFGLPILLSNCSNNYGP----------FQHSEKFIPLMIQNIINQKPLPVYGN------GENIRDWLYVEDHVEAIDTILH---DGEIGSTYVIGGNNEQKNIDIVNQLIEITDRSSLISFVTDRMGHDFRYAIDAKK------------------------------------ 141710442 ------LVTGGAGFIGSNFLHYISNDTDLVVVIDNLSYAADQKFIQFIFEWCDISNERNVNYIFEKYKPRKVFHFAAESHVDRSIKNYRPFLESNVIGTINLLNASLKAEIEKFHHISTDEVYGSYDKILFSERTPYDPRNPYSASKAASDYFVKSWHNTYGLPYLITNCSNNYGP---------HQHVEKLIPLVVSNALDNKVT-------YMHGGGNQIRDWLYVYDHCAAIW---ELEVQGIMNDHFNIGGSCEKRNIDVTKTILDMMNKPYDIGINEGRPGIDKRYGMDHSKITNRTGWRPFTNFDIGLRATVTHYID---------- 197690568 -----ILVTGGAGYIGSHASVELLENGYEIVIADNLSNSRMEAIKDFPFYQCDLLDYEALDQLFQEHDIDAVMHFAGLKAVGESVQIPLTYYHNNITGTLNLCRVMSKHNVKKMVFSSSATVYGNPERVPIDETFPLQATNPYGRTKLMIEEILRDYVSDRTWRIALLRYFNPIGAHKSGRIGEDPN-------------------------------------------------------------------------------------------------------------------------------------------------- 90961942 ---KKYLVTGGAGFIGSNLIEKIISQGDEVVVVGRHLPAECKEDDNNTFYQADVTYYEFMEQLLIKEKFDYIVLLAAVISISGTIAEPLSTHFINQEAILYIYEIIRKLKVKKVLFTSSSAVYGNIADTPRREDMPVSLENPYAIDKFASERYAMFYEKVYGIPTVAVRFFNVYGPRQKA------QGKSAGVCAIILDCLLNDKEFRLNGDGKQT------RDYMYVTDAVDATLMLLKDPQISGK--IFNVASGKSVSLIDLIVAFEEITGKKLKIIHNKGLKFDTKNSLADITKLEK-TGFLPKYTFESGLRQYVK-------------- 113474359 LENKRILVTGGAGFLGRQVVDRLHKAGANPDNITILRSRDYDLRTLGACQK-------------AVQEQDIIIHLAAHVGIGLNKEKPAELFYDNLMMGAQLIDCAHKANVEKFVCVGSICAYPKFTPVPFKEEDPEETNAPYGIAKKALLVQLEAYRQQYGFNGVYLLPVNLYGP-EDNFDPKSSHVIPALIRKVHEAQVGGKKELSVWGDG------SPSREFLYSTDAARGIVMATQFY---NESDPINLGTNHEVKIRNLVELICELMDFQGELVWEIDKPNGQPRRCLDTKKAKEKFGFVAEVDLKQGLKNTIEWYRQN--------- 110635113 --SKKALITGGCGFIGRQVTEELLENGYSVSVLDNLVEAAPPKDERVDYHIGDVRDPDCVKAALKGADF--VVHLAAEVGVGQSMYEIARYVGVNDLGTAVLLEALIERPVERIVVASSMSVYGEGRPIATDEEKPVELASIYALTKYAQERAVLIFGEAYPVEAVALRLFNVFGPGQAL------SNPYTGVLANFASRLANNQPPLIF------EDGRQKRDFVHVRDVARAFRLALESKTAAG--QVINVGSGNAYTIQQVAEILADAMGLAEIKIMNKMRSGDIRHCFADISKAHDLLGFEPQHRLEDTVAEFAEWVRE---------- 256371538 -----VLVTGGAGFLGSWVVERLLARGLRVDVVDDLSTGSLENLRRARDRHGDVT-SAAIGSLAERRGWRSIVHLAARASLRASTLDPVRDAEVNVLGTVRVLEAAKRARSRKVVFAASAAIYGDQRALPIREEAPLAPMSFYGAGKVAGLEYLRAARHVHGTASTSLVFANLYGPRQRAELGA--------------VVARFVDALLAGHAPVVVGDGTQTRDFV----WVGDAADAVVAALDRADGEMINVATGLETSIAGLARLLVEVAGIDGHPVHQGRQVGEVHRNALDPSRAAHLLGWRPSVRLADGLARTWA-------------- 135431542 ----RVLVTGGAGYIGSANVDALLGSGHEVAVFDSLYQGHRSAVNEADFFEGDLADASVIEAAVSEFRPDSIMHFASHTLVGESMDKPFMYLGENTNNAINLIEAALNCGVERFILSSTANLFDKPDKIPIAPDERIVPGSPYGESKFFIERLLHWAHVTKGLRYASLRYFNAAGSTE--VRGEDHDPETHLIPLILQVALGQRESIKIFGDDYETPDGTCVRDYIH---------------------------------------------------------------------------------------------------------- 143940985 ----NILITGGAGFVCSHIADKLNEENHNLILLDNLLTGNKKNIEHVKFIEHDVQDHIDIAE-----DLDFIFHFASAASPIAYQENPVNTLKAGSVGTINTLGLAKAKNAD-FLLASTSEIYGDPEISPQNEEYWGNERSMYDEAKRFAEAATATYSRTYNLNTKIVRIFNTYGPRM-------QLNDGRVVTNFIVQALNSKD-------ITIYGDGSQTRSFSYVEDTVNGIIALMKSDHND----VFNIGNPNEITVNQLASTIIKLTNSSSQIINKDLPQDDPKQRRPDITKAKNLLEWEPQIELEDGLMKTIEW------------- 142267933 ----KILITGGAGFIGSHLLEELITSDNEILVFDNYLTGKKENIEFLGNFKLDFGTKNSLN-LIKEFNPQICFHLAAQSSVVVSVDDPLLDFEHNILQPIQLIKTLLSTDCHKFVFTSSGGTFGEPNILPTGEKDFAEPESPYGIGKKKLNEMIISMTIDTHLSYSILNLSNVYGPRQD------PHGEAGVVSIFSNKILKNEKP-------IIYGDGEQTRDYIYVKDVVN----ALILSSKIDSNLFLNIGTGIETSVNQLLDTIKSEFESDVEPIYKESRKGELLRSVLNSSKAQKELNWESKFSLNKGIKEVVSW------------- 254168016 -----ILITGSSGQLGSYLIESI----PNSVGLDIRPSKFTEIV-------GDIR--GNLDILLKNYEIDAIIHAAAQVSVVKSVEDPKNDADNNIMGTINLLEYARKYDVEQFIYISSAAVYGEPKYLPIDERHPTGPKSPYGLSKLTGERYSLLYSELYGLKVASIRPFNIFSPRQD------PNSPYSGVISIFVSRAKKGLPLIIYGD------GEQTRDFVNVQDVVHLVKLALAKKAD----GVYNCGTGKETSINELAKIIAELSGKGIKIVHDKPREGDIRKSYADISKAI-EIGYEPKTNLKE--------------------- 135731621 MDNMNVLLTGGAGYIGSHICNALLDQGHKVSTIDNLSTGNKNLIPKKVDHNADISDKRKVTSLIINNDFDVVMHLAAFTRVGESVKNPEKYYENNFEKAKIFIDICLDNNLKKFIFSSTGSVYGLDNNINIKENEITNPINPYSESKLKFEKYLMTESLKKNAFCTILRYFNVAGADLKKRSGLISNPD-NLIKAICEVAVKKRENLIINGNDYQTKDGTPIRDFIHVLDLVDIH-------------------------------------------------------------------------------------------------- 270158708 LKEKNVFVAGHNGMVGSAIIRCLQEQEDCNVIV-------------ASRQQLELTNQAEVKSFFLDNKIDQVYLAASVGGIHANNTYPAEFIYQNLMIEANVIHAAYQSHVKKLLFLGSSCIYPKYAPQPMAEETILEPTNPYAIAKIAGIKLCESYNRQYGCDYRSVMPTNLYGPN-DNFHPENSHVIPALLRRFHEALKRNDKEVIIWGSGSPMREFLHVDDVAKACIFVMNLEKEKYQRFTEPRLSHINIGTGKDCSIKDLAEIIAQITDFKGNLIFDKSKPDGPPRKLLDISRIQA-LGWQASINLEEGLEKTYKWFVAHENNYRK--- 141402617 --NMNILVTGGAGYIGSHVVELLVKKKNNVFVLDNLSTGYKILIKKSKFFKGDINNKKLIKKLLNKFSIETIIHLAANLNVSEAEKNKRKYYINNIVGTRNLLLCCKNSLVKNFIFSSSCSVYGNVKGS-VDEKKKTKPIGYYAFTKLGGELIVKKYSKKFNYNWGILRYFNVAGASPSGKIGEIDKSHGHLIKNIAIQSLRKKPKIIIYGNNYLTKDGTCIRDYMHVSDLANIHTKVMDYLIKNNKSVVLNCGYGKGYSVLEIVKVFNKI-------------------------------------------------------------- 135901631 ------LITGVAGFIGSNLLEELLKLNQRVVGIDNFLTGRKQNLLEFDLVEGDICDLDICSEITKGVN--YVLHQAALGSVPRSLKDPILTNAVNIGGFLNILLASKNNKVDSFTYAASSSTYGDHEALPKVEDFIGKPLSPYAVTKYVNEIYADVFYTNYDFNSIGLRYFNVFGRRQDPAGAYAAVIPKWIHAMINNNDVF------VNGDGETSRDFCYIDNVVQANILAALVKRSDRNKVKEANNQVYNIAVGERTTLNELYFFLRDNLSKHFSFIYRDFREGDVRHSQADISKARKFLNYTPSHTVKDGLNEAMEWYI----------- 257465950 ---KTYLLTGAAGFIGSNFIKYMLKKYPERILLDKLTYAANLKNIKEIFVQGDICDSLLVKEIFVKYNIDYVVNFAAESHVDRSIANPRIFLETNILGVQNLMDRARECWGKKFLQISTDEVYGSLENQFFTEETPVNPNSPYSVSKTSADLLVKAYYETYHFPMNITRCSNNYG---------QFQHEEKLIPLMIKSALSGK-------ELPVYGDGMNVRDWLYIEDHCKAIDMVL---SSGREGEIYNIGGFNEKTNLYIIHIILEEIAKTELIRFVEDRLGHDRRYAINPRKIVQELGWYPETTFEDGIKQTIQHFMK---------- 220930801 -KESKIFVAGHTGMVGSAIVRRLQKNGYENII-------------CKSHKELDLTDQSSTEYFFRDEKPDYVFLAAAVGGIHANNSYPADFIMENMLIECNVIKSSYKNGVKKLMFLGSSCIYPKLCPQPIKETGELEPTNEAYAAKISGIKMCQSYNKQY-----HTIFISAMPASLYGVNDRFDINNSHVIPSMIIKLHEAKINNKPYVELWGTG--NPLREFLYVDDMAD---ACLYLMQNYEENEFVNIGSGKEISIRKLAETLKLVIGYNGELLFDTTKPDGTPRRVLDNTRIYK-TGWRPQVDMEEGLQREYEYYLKY--------- 254558780 --KKLALITGGAGFIGRHLASALLARGYRVRVLDSLHGGTGQPLSLDPFVEGDVRDAEAVARAIAGA--THVVHLAAEVGVGQSMYAVERYVSVNDCGTATLFQALIEAPVQRVVVASSMSIYGEPGEPWDPPDQQGRPMRPYALTKYMQERLTLTLAPAYGMEGVALRLWNVYGPGQ-----ALSNPYTGVLAIFAARLLHGQPPM-------IFEDGEQRRDFVHVEDVAQAFVLALEHPAAAG--QVYNVGSGEDRTVNEVARLLARAMGREEPQVTGQARAGDIRHCIADIGKIARELGYAPKRNFAEGLAELAAW------------- 83320237 MTG-RVVVTGGSGFVGSHLVERLAEQGREVVVYDAAAPPKDQVREPDRYVTGDIRDADRLAEVITPE-VEVVYHLAAVVGVDTYLGRPLDVIDV-AFGGTKAVLGQACRTGAKVVMVSTSEIYGKNEVVPWKEDADRTDRWSYSSSKALAEHLTFAYMRQHGLRATILRYFNVYGPRQRPAY----------LVSRTVHRALRGLPPVVYDDGRQTRCFTYVADAVEG--------TILAGESPKADGECFNLGSTYEMPIGRVIEMVGELGGLAQTVTVETDRYQDMRRRVPDASKALATLGWRASTPLAKGLAAVIRWGRRNPWWLE---- 188584344 LAGKTVFVAGHRGLVGSALVRRLKAE--------------DCAILTATRAELDLRDQAAVRAWMRDRRPDAVFLAAAVGGILANATYPADFLYENLMIEANVIEAAFREDVGKLLFLGSSCIYPKFAEQPIIETGSLEPTNEWYAAKIAGIKLAQAYRQQHDRDFISAMPTNLYGPGDNFDLTSSHVLPALIRKAHEAKCSGAK-------EMVIWGTGSPRREFLHVDDCADA---CLHLMKTYSDDEHVNVGSGEDIPIYDLTCLVCDVVGFEGEIVRDPTKPDGTPRKLMSADKLRG-LGWAPRVPLRDGIAETYAWFRAN--------- 296536074 -QGRRVLVTGGAGFLGSGLCHALVARGAEVTALDSMRPGANPANLDGSGARLVVADLRDADLAPHCQGLDAVFNMAAQTSHAGSMADPFTDLAINAEAQLRLIAALRQSPGAVVVHASTRQFYGRPAYLPVDEKHPIAPPDANGVSKLAGEQYWLMEGRVQGRPVVSLRLTNCYGPRLR-------------VKDARQTFLGIWFRRLLEGEPFEVWGGAQLRDLAYLDDVVAAFLRAAETPACAGRA--FNLGGSPPVTLTELAEAAIAAHGGGEYRVKEDRAPIDIGSYHADDSAFRAATGWAPETSLAEGLRRSLDWFR--PR------- 254409761 -KDSKIYVAGHRGLVGSAIVRCLRDNGYTNLIL-------------KTSQEVDLRRQQAVEDFFAAERPEYVFLAAAVGGINANNTYRAEFLYDNLMIESNIIHSAYLSGVEKLLFLGSSCIYPKHCPQPMQEEHFLEPTNPYAIAKIAGLKLCENYCRQYGVNFISAMPTNLYGLNDNFDLANSHV-----LPALLRKTHEAKINNAEAVEIWGTG--SPLREFLYVDDLADALVFLMNHYD---DIQFVNVGTGEEVSIKDLAMLIKAVVGYEGELKFDSSKPDGTPRKLLDTSKINAA-GWQPKISLKKGLELTYQWFVENYERIKGK-- 110668556 MHENRVLVTGGAGFIGSNLANHLAE-TNEVIAVDDLHLGTPSNL----DETVEFVNASVLEDDLPTADIDVVFHLAAYSSYTMVEENKQTATRVNVEGFVNTVEQAREDGCQTVVYASTSSIYGSRTN-PSPEDLPVEARTCYEASKLARETYAEYFNNHYDMTLAGLRFFSVY---QGYGGAEEHKGEYANTVAQFAEKIANGERPALFGD------GTQTRDFTHVDDIVRGIEDAAEYELQ----GIYNLGTGESYDFNTMVEMINDELGTDIDPKYIENPLEYVHDTMADSTKIREATEWTPKITFEEGVSR----------------- 134669650 ---KKIFVTGATGFIGSHLVEKLVLRGYNVKALDNLDTLNNEVKKKIDRVIGNINDPFLIEK--ETERCDVLFHLASLISIPYSYVSPANFIETNVKGTLNVLQAVKKNKIKHLIHTSTSEVYGNAQTKFIDEQHAINAQSPYAASKIAADQLVLSFCKTYNIPATIIRPFNTFGPRQSL---------RAVLPNIMCQINQSKDSKKI---NLKLGNLSSKRDFTYIDDTINGFIKCI--GNKKIFDQTINLGTGHSFSINECVKIFKQISNKKIKIIREKPKQSEVYILRSDNSKAKKILNWFPKY------------------------- 142849110 MKKEKILVTGAAGFIGSRLIKMLYENGYKNLRTTSYSRTLRELYDGVEFIKGNLQDRTFCERVSK--DVDVVFHCAANTSNADTKVNPLLHVTPNVEMNVNLMEQSWRNKVRKFMFISSNTTYPDVGDEYCTEDMNVQTPNIYPWMKRYGETLCDFFSNHNPMQCIIIRPSNAFGPNDKYDFEKCHVTPANIRKVADN-----------LNPIPLWGDGTEVRDVIHVDDMVSGFMKVAEEVDT---YDIYNVCYGEGHTVMEVLELIKELEGNDNPIEFVNNKAPMIPKRLLSNEKLRK-LGWKPKYDLRSGLKDALEWYKEHRDEYD---- 308804527 ---RRVLVTGGAGFIASHVVDRLLERTREVTILDAFERSNVTDDARCSVVAGDVRDGALVREILRVKAIDTVLHFAAETHVDASFGNSLAFTETNVIGTHVALEARRCGTIDRFVHVSTDEVYGETFDGGSEGTSVLAPTNPYSASKPPPEMLVVAYGTSYNLPYVITRGNNVYGPR--------QYPEKVIPKFIHLLRRGARVP--IHGDGLALRGYMHVRDAAAAFDVVLR---------AGENKSIYNIGAREERTVVSVARDLCAIFNREEFLEYVEDRAFNDRRYFVDSSKL-EELGWRQEIEWDVGLRETVDWY------------ 222478606 LTDSTALVTGGAGLVGSHLAAQLLDRGATVRVADDLSKGDRDRVPDGEFVEADLTDPDDVAEAVTD-DLDIVFHFAAYTDT--NYDDDRELFEANTAMTYNVLERMHEVGVDRLAFTSSSTVYGEAPRPTPEDYGPLEPISIYGSSKLADEALISTHAHSYGVQSWVFRFANIVGPHQRGNVIPDFIQKLDADP----------------SELEILGDGRQEKSYMHVSECVDAIQHVVEHADE--DLNVYNLGTETTTSVTDIADIVSDELGVDPEYAYGGDRTGDVPKMRLSIERL-ADLGWEPSIESDEAVRRSAR-------------- 228990886 FKNKTFLITGGYGFIGSHLVRRLLKLQAKIVILARKSSNPNDVLKSIKLYEVDIRDKIQVENIIKQIRPDYIFHFAAY-GVNSAHTDYMSAIETNVLGTINIIQATKSIDCKKIINIGSSSEYGNKTES-IKEDMELTPVDIYGSSKAAATIIAHQ--------VAAENNINLITLRPFGVFGEGEEPHKIFCYIILQVLQNRNVNLTL---------CNQLRDYCYVDNIIDACMLTIEN--NSIQNEIFNIGSGETHPLKYYVELLFKHLQTNKRPNYGSYRTNERRISKPNINKIKRMLSWEPRISIEEGIIKTVNWYKHN--------- 135882511 ------------------------------------------------FEEIDITNYQAINELFQYHDFDIVINLAAQAGVRYSLENPMSYIDSNIVGFTNILECCRHSDIEHLLFASSSSVYGMNNKQPFGQDNTDYPVSLYAATKKSNELLAFSYSHLFKIPSTGLRFFTVYGP----------YGRPDMAYYSFTKSIIKNKEIDLYNEGHMKRDFTYIDDIVEGVLKIIPEVPKQETTNAEAPYRIFNIGNNNPITLNRFIEAIEDALGVTAKKNFLPMQAGDVPITYADIDPLSDLLNFKPKTSIETGIGKFVDWYLQ---------- 134837560 ----KILVTGGAGFIGSAIIRYLINETEYVINVDSLTYAGDLSSLRYQFKHLDICDRPGLDDVFLTHRPDAVMHLAAESHVDKSIRSSSNFINTNIIGTYSLLEAARAYWSFRFHHVSTDEVFGDLCDAPFTEVTPYAPSSPYAASKASADHLVRAWSRTYGLPTLVSNCSNNYGP--------YQFPEKLIPLVILNALSGKPLP--------IYGDGSQIRDWLYVDDHARALYKIL---VDGKVGETYNVGGGNEKKNLDVVGEICRLLDEMHPCKHVADRPGHDR-------------------------------------------- 289163563 -KNKKILITGHTGFKGSWLALWLQQLGAEVIGLDAPSSPNLFTLAHVSQNIGDVRDFDTLKNVFIEHRPEIVFHMAAQSLVRQSYEDPRETYATNIMGTVNLFEAIRITKTTKAVVNVTSDKCYENKESLIREEDSLGGYDPYSNSKACAELITSAFRNSYY--QNKEYPCGLASARAGNVIGGGDWAKDRLIPDIVRSILSESE--------FLVRYPHALRPWQHVLEPLCGYLQLAQLLFLLPDNYAQAWNFGPEKSVDWIIHRVKQLWDSKLCIRYISPQLHETSLLKLDSSKAKRSLNWKPIWNLDKALLKTVAWYKAYEKA------ 141460575 MKKEKIIVTGGLGFIGSNLIELLLQKNYLVMNIDKISYSSNKNNKSYKFHKCDLNNFQKLDFLINKFKPTCIFNLAAETHVDRSIDNPENFIKSNIFGVYNLLECFKKYSKSRLVHVSTDEVYGDVLKGRSDEKKPYKPSSPYAASKASSDHLVYSYMRTYKIPAIVTNCSNNYGP--------KQHPEKLIPKLIYNIINNKSLPL--------YGNGKNSREWIYVKD----HCEALIKVFKKGKGEFYNIGSNKDLNNIEIAKTLKIKIGSNVKIKFVKDRPGHDIRYALNSNKIMKEINWKSKEIFRNALEK----------------- 15605858 ----KFLITGGAGFIGSNLAFALQEREAKIYVLDNFSSGHFKNLIGGQVITGDISDPSLWDYVRKNFHFDVIFHEAAITDTT--VEDQYFMMKTNADSFRYILDLAVEWNA-KVIYASSAGVYG-NSPPPMREDKGLEPENIYGFSKLMMDHIAMDYMERYPMNIVGLRYFNVYGPRED------YKGKTASMIYQLAVKMIKGERPRLF------KWGEQRRDFVYIKDVIKANLLALEKNV----SGIFNVGTGRARSFNEIVSILNKELGTNYEIEYFDCPYDYQKFTEADLTKIREALGYEPEYSLEEGIKD----------------- 143176043 -SDSKIFVAGHNGLVGSAIVRNLESNGHTNII-------------TRTRDELDLTNSHAVRMFFMDEKPEYVFLAAAVGGIGGNSDYPADFIYQNLMIQSNVIHSAYIFGVKKLLFLGSSCIYPKFAKIPITEDQLLPSNDSYAIAKIAGIKMCQAYRKQFGFNAVAVMPTNLYGPNDNFDHNYGHVLPSLIAKFHGSKEKSEHWVVKLWGDG------SPKREFLHVDDLAEALNIIMERYDDE---EIINVGTGEDITIKELAEMVVEVTGYKNQYEWDTSKPNGTLRKVLDVDKIKS-LGWEPEIGLKEGLESTYEWYKK---------- 217976279 -RNRRIWVAGHRGMVGSAIVRRL--AGDPVEI---LTTSRAE---------LDLRDQAAVRAWVAKEQPDVIILAAAVGGILANDTYPADFLVDNIVIEANVIEAAHRAGVERLVFLGSSCIYPKFAPQPIKEESPLEPTNEWYAAKIAGIKMCQAYRRQHGRNYISVMPSNLYGLNDNFDLANSHVLPALIRKFHEAKQAGR-------SEVVVWGTGTPLREFLYVDDLADAVVFLMDHYD---GDEPINCGAGCDVTIRELAEIVGRVVGFSGELVFDTSKPDGTPRKLMDSGRL-AALGWQAKTGLEEGITEVYRWFL----------- 218670957 --------------------------------------------------------------------------------------------------------------------------------MPLDETHRQVPINPYGRTKYIVEQALADYDQYKSLRSVVLRYFNAAGADFEGRIGEWHQPETHAIPLAIDAALGRRQGFKVFGSDYETRDGTCVRDYIHVLDLADAHVRAVEYLLKGGESVALNLGTGTGTTVKELLGAIEDVSNRPFPVEYIGRREGDSHTLVANNDKARDVLGWVPQYDLSEIIRSAWNWHAR-----SNQH- 143325416 ----------------------LIEQGHDVNVIDDLSNGFSENIKSAHFINGSILDQANLSQAL--EDVEVVYHLAAKIRVEEGEAKPELYKKVNVEGTLKLVKACADRKINKFIFASTAAVYGNPEEFPVNEKSRTNPVNVYGKTKLDIDNYLEVNAKELGISSICFRFFNIGGAKQGNWLKIKHEGATHLIPSILHSSSNQ--PLAIFGNDWPTKDGTPTRDFLHVVDLVDALIKAL-NCFNKPGNQIINLGTATGSTVLEVVKTAEKSLNKVIEYKFASRRAGDSFALVTSNQKAKEILGWQPTKTLSDILIDA---------------- 134373593 ---KKIVVTGGNGFIGSNLVNFLTKKKYFVINIDKNKYSKGSYLLYYKFYKIDI-NSKKIFQILKKYKPVAIFNLAAETHVDRSIDSPGNFISSNILGTFNILEQLRNYKKKVLIHVSTDEVYGDKKGERSTERSPYMPSSPYSASKASSDHLIKSYVRTYNINAAISNCCNNYGPG--------QFPEKLILTLIFNILNNK--------TLPIYGKGLNSREWIYVEDHCRGLFDILKR-GKSGQSYNIGTGFNTTNLNLTKLLLKIAKISKKVKIQFVKDRPGHDFRYALNSKKIFKELKWKPKKNFESGLNETLSWYIKNY-------- 284043010 ----RVLVTGGSGFIGSHVVDQLHAAGHTPCSFDRRPSPFHAP-DEVETVIGDILDPAALSA--AMEGCDAVLHLAAAADVGEVAKDPAGAEALNSRGTFNVLEAARGQAIEHVLYASTIWVYSDGASRRVDEDEQLAPSHLYTATKLAGEAYCRSYAALYGVRTTILRFGIPYGPRARPAAVVPAFVE----------RALAGEPLTIAGDGA------QSRRFVYVEDLAEGAVRALQPCREDS-CRVYNLVSDRDVSIREIAEVVRDVVG-ETEIVFTPARTADFGGVAVCGERAASELGWSARTPFEEGVRRYVAWRR----------- 134534569 --------------------------------------------------HGDITDEEYINSIFDKYRFDAVIHLAAESHVDRSITDPLGFAKTNILGTMILLNAFKNWKGKRFYHVSTDEVYGLGELGLFEETSSYDPNSPYSASKASSDHFVRAYGETYGLPYVITNCSNNYG--------QYQFPEKLIPLFINNILNNKALP--------VYGDGNYTRDWLYVIDHAIAIDLVFHKGVN---AETYNIGGFNEWKNIDLVKLLCKQIDKKKLITYVKDRPGHDLRYAIDASKINKELGWSPSVTFEEGLSKTIDWYLNNEDWLKS--- 136519160 ----------------------------------------------------------------------------------------------------NLVRALLANGVERLVFSSSAAVYGNPSSVPVTEDSPMQPESVYAETKAVMERFLSS-CEAIGMRTVSLRYFNAAGASSDSSIGEDWSMSQNLVPLVMKAILGFSGPLNVFGNDYPTPDGTCIRDYIHVEDLADAHIKALDYLATGGKSLACNVGTGKGTSVLEVLDIAEQVSGRNVPHVITQRRPGDPTAVFADPTLVRALLGWKATHDLRDIISSAWNWHSK---------- 140958739 --SKKVLVTGGAGYIGSHTVVELVRSGYDPIILDDYRNSDPSVIHRIKEIVGDVCDEDLIHNLFKHKDLSGVIHFAAYKAVGESVENPLKYYSNNLSGLVSILKPICEMAAIPFVFSSSCTVYGEPQGVKVNEQTPLSPTSPYGYTKLLGEQMINDFIANQELRLMNLRYFNPIGAHSSGLIGELPIGPNNLLPFITQTAAGLHDELVIFGNDYPTFDGTCIRDYIHVVDLAEAHVKAMDYLLSQNSGCIETVNVG----------------------------------------------------------------------------- 95930988 LNEKRIFITGIAGFLGSHIAERCLAEGYSVAGCDNLIGGYLDNVPHGAFHQIDCNDFASLAPLLK--DVEVVYHCAATAYEGLSVFSPHLVTSNVVTATTGVVSAAAAGGVRRFVLCSSMARYGTNQ-VPFTEDMIPAPQDPYGIAKWSAERLLANIAETHGMEWVVAVPHNIIGPRQ-----RYDDPYRNVAAIFINLMLQGRQPYIYGGGH-------QKRCFSYVSDVVDPLLRMATDDRCVAEVINIGP-DDEFVTINELAAMIARLLDFDLQPNRIDGRPQEVLFANCSADKARRLLDYQPKVKLEEGLAVMIDW------------- 135368476 ----------------------LLDKGLKVTIIDNLVTGNKKIVPKKSKLHVDISDEKRVAEIIKNNNFDIVMHFAGLVRVDESIKNPKKYENVNFKKGKAFLNTCFKNNLKKIIFSSTASVYGNRGSKRVNEKDKLEPMNPYAKSKLNLEKFIIKKCKINKIQYIILRYFNVAGADKKLRSGLIAKSSTNLIKVICEVAVGKRKKFQINGNDYNTKDGTTIRDFIHVSDLAEIHYLTAKYLLKNKKSKIFNCGYGRGYSVLEVLKYMNKIIPKKIPIVIGKKRPKDIMISISNTKKINKYIKWKPRFNLNKILKSSYRWERKLIKNF----- 87123207 ------LITGGAGFLGSHLVDRLMQAGEEVICLDNYFTGRKANIQWVGHPKFELIRHDVTEPI--KLEVDRIWHLACPASPIHYQYNPIKTAKTSFLGTYNMLGLARRVKA-RFLLASTSEVYGDPEVHPQPESNTIGIRSCYDEGKRIAETLCFDYKRMHNTEIRVMRIFNTYGPRM--------LPDDGRVVSNFIMQALKGLPLTLYGDGQQT------RSFCYVDDLIEGMIRLM----NSDHTGPMNIGNPDEFTIQQLATMVRDRINPDLAIVHQPLPQDDPLQRQPVIKLAQEILQWQPSVPLATGLERTIADFRSRYSGDAD--- 116619709 ----NAFVTGAAGFIGSNLVDRLLAEGHTVIGFDNFSTGQRRFLESAHSHEGNLLELDAVKSAMTGGDF--VFHLAANADVRFGPDHPRRDLEQNTIVTWNVLEAMRATGARRIAFSSTGSVYGEPDIFPTPETAPFPQTSLYGASKLAAEGMIAAYATAFGFQAWIFRFVSILGERYT----------HGHVLDFYRQLLEHPERLDVLGN------GRQRKSYLYVQDCVSAMLLAAEKSTAAVN--IFNLGTDEYCEVNDSIGWISGHLGVSPQLKYERGWIGDSPFIFLDCGRMRS-LGWQPALSIRQGVVRTVEYLQQNPWVLEARQ- 224583404 MNKQRIFVAGHRGMVGSAIVRQLAQRGDVELVL-------------RTRDELDLLDGRAVQAFFAGAGIDQVYLAAAVGGIVANNTYPADFIYENMMIESNIIHAAHLHNVNKLLFLGSSCIYPKLARQPMAESETLEPTNPYAIAKIAGIKLCESYNRQYGRDYRSMMPTNLYGPH-DNFDPDNSHVIPALLRRFHEAAQSHAPEVVVWGSGTPMREFLHVDDMAAASIHVMELAREVWQENTAPMLSHINVGTGVDCTIRELAQTIAKVVGYQGRVVFDGAKPDGTPRKLLDVTRLHQ-LGWYHEISLEAGLAGTYQWFLENQQRF----- 172038145 LTNKKILVTGGAGFLGKQVVKELVSAGAQP-----------EKITIPRSRDCDLRKLDHCQR--AVEQQDIVIHLAAHVGIGLNQEKPAELFYDNLMMGAQLIHAAYETGVEKFSCVGTICAYPKFTPVPFQEDDPEETNAPYGIAKKALLVQLQSYRQQYGFNGIYLLPVNLYGPEDNFNPNSSHVIPALIRKVYEAQKEGKK-------ELFVWGDGSPTREFLYSTDAARGIVMATQLY---NEPDPVNLGTNAEVSIKYLAELICELMEFDGEIVWQTDKPNGQPRRCLDTKRAEDKFGFVATMDFKQGLKNTIDWYRNHAK------- 86359934 -----IIVTGGSGFVGCNLADRLLSDGEDVIILDNLARSGVDQNLSWLKERADVRDLLGIEAAFRDAK--AVFHYAAQTAVTTSLVDPLEDFETNARGTLNVLESIRRAGKRAIIFASTNKVYGLPEDESIREDRPLDFCTPYGCSKGVADQYILDYAKSYGIPAAVLRMSCIYGPRQFGT-------EDQGWVAHFLIRALGGEPISIYGD------GKQVRDILHVDDAVAAYRVLLSNIGQSGKAFNLGGGPRNAVSVLAVLREIEELAGRPVETSFGPWRAGDQLYFVADTTRLEQETGWQAGIGWRHGLRHLAEWLIAH--------- 288797902 ----RVLVSGGAGFIGLHLLETLLEQEQHVSVLDDFSSGSREQL-----ENLQNRHHQRLRLIQACSGQQAIVHLARQSCAPHSLTDPFPSAAIHIQGSLNLFWAAYRQGVGKIVYASSHKVYGDSSAVPLAENSLGKPLTPYGVSRYTCELYAGLMHRQYHIPTVGLRFFNVYGP---GQIWGEQGPALV---TSLIAHLSQAQEPIIFGN------GNQSCDFVYVNDAVSALTAALHRDIPAGE---YNVGSGQRFSVNEICEELQTILKRDIPPRHLPQRPGDMHHGLAHTALAAAELGYSARTSLADGLEKTVQWFVHLP-------- 119492616 -----ILVTGGLGFIGSHTTLELLKASYNVIVIDNLSNSRIKLLASQYHEQHDYRDTAALKELLEQHQISGVIHFAAYKAVEESIRNPLKYYANNVSGLIDFATTLGDYGIKTFIFSSSATVYGTTSGLPLKEEGCTGITNPYGRTKWICEAILADLAASDPEWTIVARYFNPIGCDESGLLGEDPRQPTNLLPVVVKVMTGEYKELQMFGTDWDTEDGTAVRDFIHVTDLARGHIAALSAANEGKNFRTFNLGTGRGHSVKEVVETMEDVSSRHIPRRAADRRAGDVGSCVAVATRSQQELNWKTEKSLKDAC------------------- 255059003 MENAKIFVAGHRGLVGSALVRELERNGYRNLVLRKSS-------------ELDLRDQGATRAFFEAERPEYVLLAAAVGGIVANSSFPADFIYDNLMIQNNVIHSSYLAGVKKLLLLGSTCIYPKLAPQPIREEAPLEPTNPYAIAKIAGIKMCQSYNRQYGTRFICAMPTNLYGPNDNFDLTTSHVLPALIRRFHEAKVAGAP-------GVTIWGTGTPYREFVHVDDVAGASLFLMERYE---GWEPVNVGSGEELTIADLAGKIAAVVGFAGDILFDTSKPDGTPRKLSDVSRIHG-LGWRHKIQLDQGLKDTYAWYLAN--------- 134785423 --------------------------------------------------QLDIKEAHKVKTLFEDYQINGVIHFAAAKAVGESVQSPLKYYKNNVGGLLNLLE--YLPPHAHFIFSSSCTVYGDAEQQPIVENSPLRPKAPYGNTKKIGEEVLEDYTRQRKFKALSLRYFNPIGAHESGYIGETPKGPQNLVPYITQAGIGKIPPLMVFGDDYPTPDGTCIRDYIHVVDLARAHVAALAYLDDASQSIPYNIGTGKGHSVLEVLASFERVSGKKLDYSIGPRRSGDIITAYAAIEKVLEHFDWSPKYSLDDAVRSAWVWEQKN--------- 300897473 MTKKRIFVAGHRGMVGSAICRQLLARNDVELIV-------------KTHKELDLTVQKDVECFFEQERIDQVYLAAAVGGIHANNTFPAEFIYQNLLIESNIIHSSYKAGIKKLLFLGSSCIYPKFAEQPMKETGTLEPTNPYAISKIAGIKLCESYNRQYGCDYRSVMPTNLYGMNDNFHPNNSHVIPALMRRFHEAKELG-------LNEVVVWGTGTPKREFLYVDDMAAASVYVMEKKNTQPMLSHINVGTGVDCSIREMAETMALVVGYDGKIVFDITKPDGSPRKLMDVTRLEN-LGWKYRYNLKQGLELTYKWFINNLDSFRS--- 307328973 ----RVLVTGGAGFIGSHIVTALTEHGHEPVVLDALAAHPTPPTVDGEWIHADVRDREAVVAALRGV--DAVCHQAAMVGLGKDFADAPAYVGCNDLGTAVLLAAMAERGVRELVLAGSMVVYGEGRPGKVEEDAPVDPRNVYATTKLAQEHLAAAWARSVGGRAVSLRYHNVYGPGM------PRDTPYAGVASFFRSALARGEAPMVF------EDGGQRRDFVHVRDVAAANVAALEAAPEPSTLTAYNTGSDDPHTVGEMAAALATAFGGPAPVVTGGYRLGDVRHITASSRRIREALGWRAAISFTEGMTAFAR-------------- 143313218 ----NVIVTGGLGFIGVNLIEELLKK-YFVINIDKVSYSSNNPKIFYIFFKKDINDKNFFINILKKFKPKIVFNLAAETHVDRSIDNSSPFIKSNILGTISLLEIKAVKKKIRFIHISTDEVYGDAKNKNSKETDRYNPSSPYASSKASADLIIKSYFRTFKLDVVITNCCNNYGP--------YQYPEKLIPKIIYNIDNNKFVPIYDKG--------KNVREWIFVKDHCNALIKVSKYGLS---GESYNIGSGTRLSNLEISKKIFSQIKKKLKINFVKDRPGHDFRYSINSNKIKKNLKWRCITTLNEGLKTTVDWYIK---------- 229032901 -KDSRIYVAGHRGLVGSAILRKLEEQGYTNLV-------------YKTSKELDLRDPKQVEEFFQTEKIDYVFLAAAVGGIVANNQYPADFIRDNLMIQTNVIDSAYRSGVEKLLFLGSTCIYPKLAPQPLKEEGELEPTNPYALAKIAGIKMCESYNRQYGTKYISAMPTNLYGENDNFDLHTSHV-----LPALIRKFHEAKENNAEFVEVWGTG--TPLREFLYSDDLADACVYLM---NNYEGNEIVNIGVGKDLSIKELAEKVKATVGFTGELRFDTSKPDGTPRKLVDVTKI-NALGWKATTSLDEGLKKAYDWFLEAEKGLVRK-- 140317011 --------------LGSAVTMRLLERGDTIIGIDNHNDYYDPRIKEARHLRIDLTDRNAIEEAFTTYKPQRVVNLAAQAGVRHSIHKPLAFINSNIVGFAHILEGCRRHKIEHLVYASSSSVYGANTAMPFTNQNVDHPLSLYAASKKTNELMAHTYSHLYDLPTTGLRFFTVYGP----------WGRPDMALFKFTRSILAGEPIQVFNHGKHRRDFTYVDDIIHTLDRPAAADTIWDSNLPNPSTRIYNIGNSKPIELMEYIDAIETALGKTAIKELLPLQPGDIVDTHANVDDLIEQFEYKPTTTVQDGIDRFIQWYRNYYK------- 159046665 ----RIYVAGHRGMVGSAICRALTAQGYT-------------NQLTRTRAELDLLDGATVRAFFEAERPDHVFLAAAVGGILANDTEGGDFIRENLLIQTHVIDAAYRAGVQKFAFLGSSCIYPKFAPQPISEDSALEPTNSAYAAKIAGKEMCDAYRRQFGFDAFTIMPCNVYGVGDNFDPLGSHVAAGLMRRFHEAKTVGA-------SEVTCWGTGSPLREFIYADDLGDACVFLMNTYTEGG---MINAGSGQEVTIRALAETIRDVVGFEGALVWDETKPDGTPRKLMDNSRL-AALGWKPKIELRDGLTEMYRWFVD---------- 143320398 -----------------------------------------ELSPNYRFVKGDITDEKFIDDLFQKEAFDGVIHLAAESHVDRSIMDPLAFVKTNVIGTATLLNAARKNWQDKFYHVSTDEVYGLGETGFFTEDTPYDPRSPYSASKASSDHFVKAYFHTYDLPVVLSNCSNNYGSH--------HFPEKLIP--LMINNIINNKPLPVYGKGDNVRDWLWVEDHARAIDVIFHNGRLGETYNIGGFNEWKNIDIVMLLCRLMDQKLSRAEGESAKLITYVKDRAGHDKRYAIDATKLNKELGWEPSLQFEEGLSKTIDWYLANQDWVKN--- 289644283 ----RVLVTGAAGFVGGAVTDTLAAAGHQVTSLVRSGRSCPPFAPGVEVVAADLVDFHELELARLDRGFDAVCHLAALTRVRESRYDPLRYFAVNVTGTANLLRTKAAGTAPVVVLGSTCAVYGTPEIQPIPESTPPAPTHPYGASKLAAEQLVVHQAATGGIGAVVLRSFNVAGA----AGRHADNDQSRIIPAALAVAAGRCESFGVNGDGAVI------REYVHVADMAEAYLAALDA-VRPGHSRIFNVGTGLGVSVIDVLDAVERVTGRAVQRVHRP-PAAEPPVLVADSRRIRAELGWQSRSTIDRIVADAWD-------------- 296121304 LTHERVCVTGSSGFVGQHVVQILKQRG--------LS---EKSLLLPRHKDFDLTDERDVQQMFAELRPSVVIHLAALVGIGANRSRPGEFCYANLAMGLHLIEQARIHHVQRLVHVGTVCSYPKFCPTPFSESQPEESNAPYGIAKKALIVLLDSYRRQYGFSSAVVLPTNLYGPH-DNFNEESSHVIPALIRKMIHARSTHQNDIEIWGSGKAT------REFLYVADAAEGIVRAAERID---DPSPINLGSGQVLTIQDLVEVLAKACRFDGNISWNATYPDGQPQRHLDSTRATQLLDWKASTSLEYGLETTVEWYCR---------- 77464089 ----RILITGGCGFIGRHVAEELLAHGYEVRLYDALIDQSAELPEGAEVVRGDMRDVDRLRPALK--DCDAVLHLAAEVGVGQSMYEIARYVGANDLGTAVLLEALIDRPVSRIVVASSMSVYGEGHYVPTDEEKRVDLASIYALTKYVQEQAVLIHGEAYGVDAVALRLFNVFGAGQAL------SNPYTGVLANFAARLANGERPTIF------EDGEQKRDFVHVRDVARAFRLALETPDAAGEVINV--GSGAAYTIAGVARLLAEAMGRPTPEILDRARSGDIRNCFADISKARSILNFEPRHRLEDSLGDFVAW------------- 186471479 ----KVLITGGAGFIGSNLARKLVSQNVTVTVLDNLSPGDDPYNKSARFIEGSVLDRETLERSMRGQ--DAIVHLAAETGTGQSMYEVDRYIKVNVRGTALMLDVLVKHTVRKVVVASSRAIYGEGECLPTDEDSRINPSSVYGITKYNQEQMVMTVCRSLGIAGCALRYQNVYGPGQSL------SNPYTGILSIFSTRIKNENPINVFEDGKE------SRDFVYIDDVCDATMAAL--LSPTADNEIFGIGSGERTEVLGVATKLRDLYGSRVPINVGAFRLGDIRHNYADLTRARERLGFQPKVSFDEGIARFAAW------------- 142749861 LTTQRIVVTGGAGFLGKFVCKKLCQRGV-----------PGDQILVPRSTLYDLTTEDGVVRMYNDFEPDIVIHLAAVGGIGANMAQPGRFFYANAAMGLHLVEHGRQRKIKKFVHIGTVCAYPKYANVPFKESDPEETNAPYGIAKKAVFVMLDAYYRQYSLPAAVVVPVNLYGPH-DNFDPETSHVIPALIQKCEHARINNLSTITCWGTGKATRQ------FLHVEDAAEGIIRATECVES---PEPINLGGGEEIAINRLVKEIFTATGYSGKILWDTKKPDGQPRRAIDITQAQKRLKWRPQMSFQEGLFQTVEWWRTHSKN------ 136177682 MKS---LVTGGAGFIGSHIVDRLLDMGHEVVVIDNQCSNSREEYYWNDNYKLDICNYQGTRSLYDNV--DYVFHAAAEASIVSAIENPLETMRTNSMGTCTVLQCAHEARVRKVIYSSTSAAYGMN-NSPNIETQLNDCLNPYSVSKVAGEELCKMYTTLYSLPTIIFRYFNVYGERAPS------KGQYAPVTSIFMKQKEYGESLTIVGD------GNQRRDFVYVGDIVSANIMALGNLSFEEYGQVYNVGTGTNYSVNQIARMISDNT------INIPPRPGEVRVSLANVNKLK-DFGVAPK-------------------------- 136188087 -------VTGGAGFVGTNLIHGLVLAGYKVRAVDDFSTGLRSNLETLDCEQASITDFESVSKALKGS--DYIFHLAARGSVPRSIRNPRATMEVNVSGTLNVLECARENGADV-AFSSSSSVYGSNLQLPKNEKMWTAPLTPYAASKLAGEGLVQSYSASFGVKAINYRFFNIFGP-----WQRPDHDYAAVIPKWIWKLINGDNTIEIFGD------GTQSRDFAYVHSVTSVLLDGLARHVNHPEP--VNLAFGNKISLNDVVAILRQHF-PQIRVKYLEPRLGDVKNSQNDPS---ELLNVFPKVDFNKAVEETIEWFRQNGKRIVD--- 94969865 -RDRSVLVTGATGLLGGWLTRHLLEQGASVTALVRDSVPQSEMRQRVNVVQGDLSKPQLLERVLGEYEVETVFHLAAQTIVGIANRNPVSTFESNIRGTWNLLEACRRPNVSAIVLASSDKAYGDQTVLPYTEDMPLQGRHPYDVSKSCADLIAQSYAHTFRVPVAITRCGNFYGGGDLN---------------WNRVVPGTIRSVFRGERPIIRSDGKFVRDYFYIEDGAAAYMLLAERLTVDKKLIGSAFNFSNEINVLDLVNTILQKMNSNLKPEIQNQANNEIRHQFLSAERARKQLNWRAQYTLDEGLERTIAWYKE---------- 256830325 ----KILIAGAAGMVGSAIIRALLAQGFQNIV-GTIHNAAPDFGGRVRLEMLDLLDQAAVRDFFKVERPDHVFLAAAVGGIHANNTYPASFIHDNLVIQSNVIHSAYESGVDRLLFLGSSCIYPKLAPQPMREEHPLEPTNPYALAKIAGIKMCESYNRQYGTKFVAVMPTNLYGPG-----DNFHPVNSHVLPALIRRFHEAKESGVEEVVVWGTG--NARREFLHVDDMAQACLFVLNLEDAYPNPCFVNVGCGVDVSILELAETVARIVGYDGKLFFDAEKPEGTPKKLLDASRL-TGLGWKAEIGLEEGVGGAYRWFLEN--------- 138210620 ----KILVTGGCGYIGSHTIVDLSNKGYEVISIDNLSRSDGSALKGIENHEIDLCDLSLLRQVFHENDIAGVIHFAAYKSVPESIEKPLEYYNNNINSLLNLLYCCKEYKVKDFVFSSSCSVYGNLKTFPVSEESPLGSVCPYAYTKQVGEQILKDFSKTSAINSIALRYFNPVGAHKSCHIGEPHGTPGNLFPILMDVAVGKKNNFFIYGDDYNTEDGSCIRDFVHVMDIAEAH-------------------------------------------------------------------------------------------------- 136497690 -------------------------------------------------EVVDICDAAAVAHVFETCQPTVVMHLAAESHVDRSIDGPGVFIQTNVIGTYTLLEQSRFYYAFRFHHISTDEVYGDSPDELFTETTAYAPSSPYSASKASSDHLVRAWGRTFGLPVVITNCSNNYGP--------YHFPEKLIPHVILNALQGKPLP--------VYGDGLQIRDWLYVEDHARALIAVLTR---GNIGETYNIGGHNEKTNIEVVEAICAVLEYRDLITFVKDRAGHDARYAVDAAKIQTQLGWVPEETFETGLRKTVQWYLD---------- 144224135 ----KILITGGAGFIGSHLLEELLKSDNEILVFDNFLTGKKENIEFQGNFKLDFGSKNSLN-LIKEFNPQICFHLAAQSSVVVSVDYPLLDFEHNILQPVQLIKTLLSTDCNKFVFTSSGGTIFGEPNILPTEDFAGEPESPYGIGKKKLNEIITSMTINTHLSYSILNLSNVYGPRQD------PHGEAGVVSIFSNKILKNEKP-------IIYGDGEQTRDYVYVKDVVN----ALILSSKIDSNLFLNIGTGIETSVNQLLETIKSEFASDIEPTYKESRKGELLRSVLNSSKAQKELNWVSQFTLNKGIKEVVSW------------- 135232267 --SMKVLVTGGAGFIGSHLVEALATKGHSVVVVDNLSTGFREQVPSSRFFEGNITDASFLSSVFEKEKPEAVFHLAAQINLRKSVENPSFDASVNILGSLHLLQLSVTYKIKKFIFSSTGALYGDAEVLPTPESYLARPISPYGCAKLAIEQYIHYYSVVHGLSSTILRYANVYGPRQN------PHGEAGVVAIFLDAMCTGKQPF-------INGDGLQTRDYVFVSDVVSANVLALEHQ------------------------------------------------------------------------------------------- 94972421 ----HYIITGVAGFIGSTLAEKLLSIGHQVTGIDNFSTGKHTFLNKAKQHEGDLLDTKALSKAFAT--GEQVIHLSANADVRFGVEHPSKDLEQNAIATHNVLEAMRLHNIKRIAFASTGSVYGEASIIPTPEDAPFPQTSLYAASKLSGEGFIEAYCESFDFIGHIFRFVSILGPRYT----------HGHVFDFCNQLRKDPSKLTVLGN------GTQRKSYLHVDDCIDAMLLAIEKAPTDTKVHIYNLGVDSYCTVKDSIGWICSSLGVTPTVQFEGDRIGDNPFIYLATEKIRS-LGWTPKYTIEESVKTTVSWLMENTWVFDNKEK 141455655 ------------------------------------------------------------RVLHEHPDIVGIIHFAAYKSVEESVKDPLDYYHNNLQSLINLLRVAGGRTVI---FSSSCTVYGEPDVIPVTEESPLKPASPYGATKQMGERILRDWSESTGARVVLLRYFNPAGAHPSLDIGEDTAQSRNLVPLIVRAAAGRRGPLTVFGTDYPTRDGTCVRDYIHVCDLAAAHRKAFTYAQEKMPTAVFNLGSGNGVTVQEAINAFETVTGTPVPHVKAERRPGDVSAIYADSSLAARGLNWKPVRSLLHIMQSAWDYDRKTP-------- 301166611 LKGKTILVAGAAGFVPSTLCEFYLNLDAKVIGLDNFITGNVDILNKYENFTFHECNIYEKLPDFSGVEIDYIFSLASPASPIDFGLIPMEIMRVNSEGTLALL-ELALEKKARFLEASTSEVYGDPEVHPQTEDNTLGPRACYDESKRFAEAMTMSFHKKFGLDTRIIRIFNTYGPRMR--------PNDGRVIPNFINQAMNGEDITVYGDG------SQTRSFCYVTDLVDAIHNVMF----SDDPTPFNCGNPDEYTILDAAKFIIKALDSKSKIVFKDLPKDDPKRRRPDLTKLQSVSDYAPKISFEEGIKRTTEYFK----------- 142756758 ------LVTGGAGFLGSHLIDRLMEAGDEVICLDNYFTGRKRNIRWIGHPRFELIRHDVTEPI--KLEVDRIWHLACPASPIHYQTNPVKTAKTSFLGTYNMLGLARRVGA-RLLLASTSEVYGDPEVHPQPESNPIGIRSCYDEGKRIAETLCFDYQRMNGVEVRVARIFNTYGPRM--------LIDDGRVVGNFIVQALRGEPLTLYGDGSQTRSFCFVSDLIEG----------LIRLMNGAETGPINLGNPDEFTIRQLAELVRQRINPQLPLIEKPLPLDDPRQRRPLIDLARDQLQWQPTVSLEQGLGATID-------------- 119512447 LENNRILVTGGAGFLGRQVISQLCKAGAD-----------RAKITVTRSREHDLRVMENCQRAVD--QQDIIIHLAAHVGIGLNREKPAELFYDNLIMGTQLIHAAYQAGVAKFVCVGTICAYPKFTPVPFKEDDPEETNAPYGIAKKALLVQLQSYRQQYGFNGIYLLPVNLYGPEDNFDPSSSHVIPALIRKVHEAQIKGEK-------QLPVWGDGSPTREFLYSEDAARGIVMG---TISYNDSEAVNLGTGEEISIRDLITLICELMEFDGEIVWETNQPNGQPRRCLDTERAKQAFNFTAQVSFRQGLKNTIEWYRQN--------- 142089814 MAKNRILITGGGGFIGRHLVKLLLSKGRKVTVLDDLSTGNMDAEPNMRFIVGDVSNPSFRSEAL--EDCCALVHLAAIASVPRCEGDPPLSERVNRRAAISLFDEAAKAGVSAIVHASTSALYGVPEFLPISESHPIAPIGVYGRDKHLAETALL---GRKDVGVCALRLFNVYGVGQPS------DSPYSGVLTIFSERLRNQESLTVFGDGKQT------RDFVHVSDVIQAFTMVLESLEQQCTGSPVHVCSGQTRTLLETIEAFGDAIGVDPVVIFNTPREGDILHSSGTSETLWKALGWSAQMDFTDGLRSLV--------------- 136427367 ----KIGVTGGYGFIGSNLVERLVSKNLKVVVIDDLSTGLKSNLLESNFHKLSITNLDQCANALKGC--DVIVHLAARGSVPRSLKNPMATHDVNATGTLNMLEVARKNN-SHFIYSSSSSVYGANDAIPKNEKMWLAPKTPYAASKLSAESYVQSYGHSYDVPVTNLRFFNVFGPKQRPNHQYAAVVPKWIWKAINAQAI------------DVYGDGTQTRDFTFVGTVVDIIEQAITNRVLTKSAVNV--AYGNNISLLEVIEQIKKYF-PNLTYNFLESRVGDIKNSQNDPALINELF---PSVDFSTGLEKTINW------------- 209521985 ----RIFVAGHRGMVGSALVRRLVANGYENVI-------------TRTRAELDLTDQAAVNRFFETEQIDVVLLAAAVGGILANASQPGEFIYENLVIETNVIHAAYRARVERLVFFGSSCIYPKQCPQPIREESPLEPTNDAYAAKIAGLKLCEAYNREYGTQYVSLMPTNLYGPNDNYDLNSSHVLPALLRKAHEARQSDAP-------TLTVWGTGTPRREFLHVDDLAAATLFVLEHNVANG---MFNVGVGEDMTIRELAECICKVVGFEGELVFDSSKPDGTPRKLLDVSRL-TQLGWSATIGLEEGIAATYREFLE---------- 139453819 ------------GFIGSHTCLSLLKRGYQNVLGRIYKIGHIENNHYENFIKGDIRNYEILKKIFIDAPISGVIHFAGLKAVEESVKNPLLYWENNVFGSINLFKAMKLYDCKIIVFSSSATIYGNPKEKFFREDTTIQPMNPYGYTKLTIEKILKDIFISSNWKIANLRYFNPIGAHESGLIGENPLNPNNLFPYICRVAYKNYKELKIFGSDWNTHDGTCIRDYIHVVDLAEAHCAALDYLIENKPTINLNIGTGIGTSVLEMVNTFSKVNKIDVPYSFANRRSGDVPSIIADN-------------------------------------- 143255376 ----KILVTGGLGYIGSHTSVELIQQGFEIIIVDDLSNSSIKVLDGIKFVKLNLRDKKEVNRLFE-ACPDGIIHFAACKAVGESIDQPLKYYENNIGSLIYLLQAIESKGKRFFIFSSSCTVYGQADKLPITENTPIKAESPYGNTKQIGEEILFDNTRSNGLKVISLRYFNPIGGHPSIEIGELPKGPQNLVPFITQTAAGIHNNLNVFGNDYPTLDGTCIRDYIHVVDLAEAHVSGLKRMMADDNFEVFNLGTGKGKSVLEVIKIFEKVSGVTLNYKIVDRR------------------------------------------------- 123371463 -----ILLTGGAGFIGSHVCNHLVLKYPDVICLDVMDYCNLEEIMNKPFIKGSINNVELVSYIMKTHAVDTVMHFAAQSHVDRSFGNSLEFTHTNILGTHVLLECAKQNNIKRFIHVSTDEVYGEVLSGCAEEESILCPTNPYACSKAGAEFMCQAYIRSFNMPIIITRGNNVFGP--------KQFPEKVIPKFTLLLKAGHK--------CCIHGDGSALRNFLHTSDVVQAFDTILHK---GKLHQIYNIGTDFEISVLEMTKKLIKVLKPEDWIEFVPDRAFNDSRYMINSSKLIA-LGWHANTDFDTLLKETVQWYLDH--------- 304388867 --KKTILVTGGAGFIGSAVVRHIIRNTQDVVNLDKLTYGNLESLPRYAFEQVDICDRAELDRVFAQHRPDAVMHLAAESHVDRSIGSAGEFIQTNIVGTFNLLEAARKHEAFRFHHISTDEVYGDGTDDLFTETAPYAPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLMILNALDGKPLP--------VYGDGMQIRDWLFVEDHAR---------------------------------------------------------------------------------------------------- 262276762 MKKKNILVTGGLGFIGSNLVIELIKQNYFVIIVDKKTYSSNMMNINHLNKKSDINNGKKISKILNQFKPVAIFNLAAETHVDRSIDDPSSFIQSNINGVFQLLEQFKKFNKKSKKHISTDEVYGDPKKKYSVETDSYKPSSPYAASKAASDHLIKSYIRTYKLPIIITNCSNNYGPRQ----------------FPEKLIPKLIINIINNKNLPIYGKGNNEREWIHVNDHCNALIFI---FKKGKIGESYNIGSDEILSNKILAKKILYLCKKKFKIIYVKDRPGHDVRYALNSNKLKKLGW-KKKYTLIDGLNSTIEWYLNNFKWVKK--- 256765414 -----VAVTGGAGFVGRHLVTALSTLSRKVTVVDHLPPGLAE-LPGVSHVRADLRDYG--ETLLALRNADAVFHLAGNASGTESVADPRRDFHLNALATCNVGNACLELGVRRLVYLSSAIVYGTPRRSPIHEDHPTRPFLPYGASKLSGELTLRSLHATAGLPVVTGRSFVVYGPGEDPR-------RAGGEVSQFLRWHLNEQPIPVVGDIDRKR-----RDFIHVEDLCRALITLADR---GADGETYNLGTGTAVSMRELAAEVGTATGRPALLDADPSSLADSFTLLADTTRLQG-LGFAPRITLAEGLARLAD-------------- 139933425 ----KCLVTGGSGFIGSHIVDRLQNENNEVVVIDNESAVSNEKFYNNPYHSFDIRDMDACRSLFEGV--DTVFHLAAHSRIQIAMENPQECLETNIQGTVNMLECARLAGVRRFVNSSTSSLYGLKNEPPLEESMPTDCLNHYSASKRAAEVMCQMYYNLYGLRTVTLRYFNVYGDRQ---------PLKGLYAPVVGLFLEQKKAGKPL---TIVGDGLQRRDFTHVSDVVEAN---IDAMMCNFSGIEINIGTGKNTSVIDLANMI------GGEIKYIPERPGEARETLAEIYKA----------------------------------- 258516008 --NGKIYIAGHRGLVGSALRRRLEELG-------------CNNLLYRTGGELDLRNQQAVEEFFSVERPEYVFLAAAVGGIYANNAYPAEFIYDNLTIQTNVIHASYRYGVKKLLFLGSSCIYPKFAPQPIKEEGELEPTNPYAIAKIVGIKMCYAYNRQYGTNFISVMPTNLYGPSDNFNPESSHVLPALIKKFHDAKTENKR-------EVEIWGTGQPKREFMYADDLADACVFLMNNYDYNDTCPFINIGTGKELTIKQLAEIVKNIIGFEGELKFNTDMPDGTPRKFLDSSKLRS-LGWQAKTALDDGIKKTYEWFVKNYE------- 238763328 ---KTWLITGVAGFIGSNLLESLLLLNQKVVGLDNFSTGHADQWAQFTFIEGDICDADVCQKAVVGVN--YVLHEAALGSVPRSIADPLTTNAANITGFLNMLVAARDKKVDSFTYAASSSTYGDHPALPKVEENIGNPLSPYAVTKYVNELYAQVFARTYGFKTIGLRYFNVFGKRQDPNGAYAAVIPKWTSAMINND------------DVFINGDGETSRDFCYIENVVQMNILAALAN-DAAKNEVYNVAVGDRTTLNELFNALKNALRNNNVVVYQDFRSGDVRHSQADISKAKRSLCYIASHNIFDGVEEAMPWYIRF--------- 142858123 ----RVYVAGNTGLVGSAIVRMLHRKGYTNI----LST---------PSSHFDLRRQEDVERFFKNNEPEYIYLAAAVGGIVANRDYPAHFIYDNLMIQSNIIHAARKYGAKKLLFLGSSCIYPKMCEQPIKEEGPLEPTNDAYAAKIAGIKMCQAYRTQYGFNAISLMPTNLYGPNDNFDLKTSHVPALIRKLDAGKDSIGHDLGGPFHNPVELWGDGSPMREFLHVDDLADA---CFTAMLKYDEPEPINVGTGEDVTIKELATTIADVVGFNGELRWDTTKPNGTPRKVLNVDKIKS-LGWEPQISLKEGIKSTYEWYKDYAR------- 142480556 ----RILVAGAKGMVGSAIIRHLQKNGYTNIV-------------EATRKQVDFTSQVETQVYMRTVNPDYVFVAAARVGGILGNRDHAQMIYENLMIQNNIIDAAYQNKVEKLLFLGSSCIYPKFPNLPITEDQLLTSNDSYAIAKIAGIKMCESYRDQYGFNAISLMPCNLYGPNDNFDLHNSHVPAMIRKFHEGKNVIDYDLGESFAPDITLWGDGSAMREFLHVDDLASA---CLTAMLEYDGRGHLNVGTGEDITIKELSQTIADVVGFKGGIRWDTDKPNGTPKKVMSIDKIK-DLGWKPSIDLKSGIQDTYKWYKK---------- 134615330 ----KILITGGAGFIGSHLLEELLSPDNEILVFDNFLTGKKENIEFEGNFKLDFGTKNSLN-LIKEFNPQICFHLAAQSSVVVSVDDPLLDFEHNILQPVQLIKTLLSTDCSKFVFTSSGGTIFGEPNILPTEDFAGEPESPYGIGKKKLNEIITSMTINTNLSYSILNLSNVYGPRQD------PHGEAGVVSIFSNKILKNEKP-------IIYGDGEQTRDYIYVKDVVN----ALILSSKIDSNLFLNIGTGIETSVNQLLETIKSEFASDVEPIYKESRKGELLRSVLNSSKAQKELNWESQFSLNNGIKEVVSW------------- 169767876 -----ILVTGGLGFIGSHTTLELLKANYNVIVIDNLSNARIKLLASKHHEQHDYRDSVALRKLLEQYQIQSVIHFAAHKAVEESIRNPLKYYANNVGGLIDFATTLGEFGIKTFVFSSSATVYGTDGSKKLMEPGCTGITNPYGRTKWICEAILADLAASDPEWTIVARYFNPVGCDESGLLGEDPKQPTNLLPVVVKVMTGQYKELQMFGTDWDTEDGTAVRDFIHVTDLARGHIAALSAANEKENFRTFNLGTGTGHSVMEVVNTMESVSSKAIPRRAADRRAGDVGSCVAVATRSQEELQWKTEKTLTDACASLCNF------------- 143389844 ---------------------------------------------------------SDLIKLFSANKFEAVIHLAANKAAGESMLNPRKYLKNNLIGTLNLIKACDKFKVRTFVFSSSAAVYGFPKTIPIKEDHPLLPSNYYGHTKMQIEENLKWFSKLSEMKYASLRYFNAAGYDLKRRLKGLEKNPQNLVPIIMESAVGMRSHIDIFGNDYETKDGTGVRDYIHVCDLARAHIGSIKYIMKYNKNLTLNLGAGTGFSVIDILNKTIEISKKEIEYNFTKRRSGDPDILIADSEKAKKLINWVPKSSLDTIINSTWEVYKTH--------- 142214258 ----KIYVAGHRGMVGSAIVRVLKAEGQTHIV-------------TRTHEALDLTDQAAVRRFFAEERPDQVYLAAAVGGIHANSTYPAEFIYQNLMIEANVIDAAFRNGVKKLLFLGSSCIYPKLAEQPMREDAQLEPTNPYAIAKIAGIKLCESYNRQYG-ESHGIDYRSVMPTNLYGPGDNYHPENSHVIPALIRRFHEAKMSHVPSVTIWGTG--TPKREFLYVDDMARASVHVMNLAKTTYDQHHFNVGTGSDLTIKELVESIKTVIGYEGGIEFDPFKPDGSPRKLMDSKRLHS-LGWVPTVGLEEGLARTYADFLNNP-------- 300021637 LKNKRVFVCGHRGMVGSAIVRQLAHEDCTILTADR--------------ERLDLRGQTQVRAWFNAEKPDVVFLAAAVGGILANDSKPADFLYDNLAIELNVIEAAFRTGVEKLLYLGSSCIYPKLAPQPILENASLEPTNEAYAAKIAGLKLCQAYRRQYGADFIAAMPTNLYGPGDNYDLTSSHVIPALLRK-------AHEAKRASHSEITIWGSGTPRREFLHVDDAASALVHLMKVYS---GMEHVNVGTGEDVTIMDVAKLICDVVGFTGTIATDPSKPDGTPQKLLDISKL-TATGWRPRYGLRDGLVDTYRWFAAN--------- 135892644 -DKMNILITGGAGFVCSHLADRLYEKKHNIFLLDNLLTGNKSNVDHLENENVKFIEHDVQNHIEISDDIDFIFHFASAASPIAYQENPVNTLKAGSIGTINTLGLAKVKKADYL-LASTSEIYGDPEVSPQSEEYWGNERSMYDEAKRFAEAATATYARTYSINTKVVRIFNTYGPRMQLNDGRV--------VTNFIVQALNNENITIYGDG------SQTRSFSYVDDTVNGIIALMESSHND----VFNIGNPNEITVNELATKIIQLTNSKSKLINKDLPEDDPKQRRPDINKAKNLLNWEPVVELEDGLTKTIDW------------- 116071585 ------LVTGGAGFLGSHLIDRLMDAGEEVICLDNYFTGRKCNIRWIGHPRFELIRHDVTEPI--KIEVDRIWHLACPASPIHYQFNPVKTAKTSFIGTYNMLGLARRVGA-RLLLASTSEVYGDPEIHPQPESNPIGIRSCYDEGKRIAETLCFDYQRMNAVEVRVARIFNTYGPRM--------LIDDGRVVSNFIVQALRGEPLTIYGD------GSQSRSFCYVSDLVD----GLMRLMGGEHTGPMNLGNPDEFTIRELADQVRQRINPALPLIEKPLPSDDPRQRQPDIGFAKGALGWEPTVSLEQGLGPTID-------------- 135188058 -------------------------------------------------------EPEVLKHCFAQHQFDRVIHLAAQAGVRHSLTCPQDYVEANLVAFTHLLEACRYAKVPHLTYASTSSVYGANTAMPFSEHHGVHPLQFYAATKRANELMAHAYSHLFALPTTALRFFTVYGP----------WGRPDMALFLFTRSILAGEPIKVFNHGKHTRDFTFVSDIVEGVIRADWNSDQPDPASSRAPFRIYNIGNNSPVALGEYIDALEEALGMEAKKELLPLQPGDVPDTYADVSALEAATGYRPATPVREGVRAFVQWYREYYEA------ 136848202 ----NILVTGGAGFIGSNLVKNLLETDHSVIVVDNLVKDCRDVIVYNSCYE------SLIDYILKTYKPDTIIHLAAVPRVSYSVEHPDITTHDNVFLLSKLLNKCRSESVKRFVFASSSSVYGGAEVLPTPETCPLDPKSPYALQKKIGEEYCKMFSELYSLDTVCLRFFNVFGP------------EQYVDSPYATVISNWCNSIKEDLPLIIDDDGNQTRDFNYV-DNVVEAIKCASFTEGRFDGDVFNVGNNEQTSLNEILEWFKQ-HEHEFELDRRDPRVGDVRHTRADISKIKSI-GYSPVCDVWEGLEKTAKW------------- 147921179 -SGKRVLVTGAKGFIGRYLVDALLNEGAEVTALS--TDGAGPEKEGLRWAGGDITKPVSIEGLCKEVDI--VYHLAAISNVDASIRNPIRTFETNAMGTANVLEEARKAGVKKFVYVSSAHVYGVPQYLPIDEKHPVVPREAYAASKIAAENIVQAYGNSYGIEYAILRPFNIFGPGQDPSFLIP-----------------GVIKQALENGVIKVGNTEPTRDFLYIEDAVRVMLLAGEK-----GTGIFNIGSGQQTKILDIVQRIRDEIDPAIPIVQDRMRAGEIPYMYANVLRIEAI-GWHNSISLDDGLSKTI--------------- 156065271 ---KNIMITGGAGFIACWLVRHLYPDAYNIVSFDKLDYCSSLNNTRASFYHGDITNPSEVVDCLERHNIDTIFHFAAQSHVDLSFGNSYAFTHTNVYGTHVLLESAKKFGIKKFIHISTDEVYGEDDDDDLLETSILAPTNPYAASKAAAEMLVHSYQKSFKLPVIIVRSNNVYGPH--------QYPEKIIPKFSCLLQRGQ--PVVLHGDGTPTRRYLFAGDAADAFDTI-LHKGTMGQIYNVGSYDEISNLTLCSKLLTYLNIPHSTQAELHKWVKHTQDRPFNDHRYAVDGTKLRQ-LGWDQKTSFENGMAITVDWYKRFERWWGD--- 139739952 ---KHILITGGAGFIGSHLTEYLLKQGHQVTVLDNLYTGSLSNLPRFNFIKQDVCEPIHLD-------VDAIYHLACPASPVHYQADPVMTTKTCVLGALSVLACAKKNQC-RVLSASTSEVYGDPLVHPQVESDWIGIRSCYDEGKRCAETLFMDYHRCHGVDIMIARIFNTYGPRMSLNDGR--------LVPNFIAQALKNEPLTLYGDGFQT------RSLCYIDDMIQGLTGMM--ALPRKETGPYNVGNPCENTVKQIADEIIQLTGSNSVKQHMPLPQDDPLRRRPDIAKLNHATGF----------------------------- 307596597 ----KILVTGSSGFLGRNIVNCMRSKGHDARGLDIVKAETTDYI-------IDITRRDDVIGL-SKEGFDAIVHLAAYPNPRSFTNAGALGLDVNVVGTINMLELARILNA-RFLLYSTSNVYGKPTKLPVTEDDPLRPFEGYGWSKVAAEAVSMSYHVVHKVPVTIFRLWKPYGPHDNGVVGIF-----------ITRALKNEDLVVNNGGVDTT-------DFLYVEDLCDATELALRKDDAVGQAFNI--GLGVETSILDLARIIVKLVGSNSKINVQP-RTSEPFRSYPDVSKAMRILGFKPKYDLVSGLRATIDWFRRN--------- 139431230 -------------------------------------------------------DSEQVAATLAEFQPDAVMHLAAESHVDRSIDGPAAFIQTNIVGTYSLLESVRSYWAFRFHHISTDEVYGDLHDDLFSETTPYAPSSPYSASKAASDHLVRAWLRTYGLPVLITNCSNNYGP--------YHFPEKLIPLMILNALAGKPLP--------VYGNGQQVRDWLYVEDHARAL---LKVVSEGQVGETYNIGGHNEQKNLDVVHAICALLEYEELITYVQDRPGHDLRYAIDASKIERELGWVPEETFASGLRKTVQWYLDNLDW------ 136592057 ----KVLVTGGAGFIGHHLSNILYELGHDVTVWDNLSKGKKERLFCDKFQHIDIWEDELPEDTF-----DAVFHLAAPTSVQESLENPKKYEEGCYLMTTKLFEWCTNHGVQFFTFASTASVYGPTDKVPSVETDILDPISPYAEFKLKSEEYLMMVSEDTDIQITITRFFNVFG------------EEQPDSGSYAPAVAIFLKQYYENNPITVTGDGKQTRDYIYVKDIVYALLWTIKEQEYK--IEIFNLGTGKEITVLEIAETF------NHPIVHIEQRK-EPRRSLSDCTKANKVLQWETQYNI-------VDW------------- 70606559 -------ITGGAGYIGGHLVDTLVNQNKEIIVIDNFTNGKY-INNKALYLNLDLRGNNILG--LKVPKESILYHLAANPDVRDSMTNTIDHFEMDVKATLNILEVARKNDVCLFIFASSSTVYGEPSKIPTPEEEQTNPISNYGIFKLLGEQLVNYYSRVYGIKSIIVRLANVIGGRVTHGVIKDFINKLRSDPNRLQI----------------LGNGKQRKSYIYITDVIDGFQVIEKSSIDQ--VSVYNLGNEDWISVDEIARIVEEELKLTPAHEYVDAWPGDARYMLLDITKLKK-LGWKPKYSSREAVRLAVRDYIEN--------- 140043002 ---KNILVTGGAGYIGSIITQKLIISGYNVIVIDNLSTGHKKLVHNKCHFKSDFADLRSLDKIFTKFKIDSVIHLAASLSVEESMLNPKKYYLNNVFKTVKILKYLKNKNIKKFIFSSTCSVYGNPKEVKVKEKSFLDPESHYGLTKMMCEQLITNYSQKSSFKYIILRYFNVVGA-EHNMKFGPINNSGQLFKVLANNIVNKRLKINIYGKDYDTHDGSCIRDYIDVNDLAAIH-------------------------------------------------------------------------------------------------- 139224268 ----KILVTGGLGYIGSHVVVELQKMNFEVIVIDDLSNSSLNVLIKPEFEKIDLKKKSDVLEFFTRHDIDGVIHFAASKAVCESVTNPLKYYENNISALVNILQELGKLDSQKLIFSSSCTVYGQADELPITENSPIEAESPYGNTKIVGEQIIKDYCANPSLRAISLRYFNPIGAHGSAKIGELPNGPQNLVPFITQTAIGIREELSVFGNDYATPDGTCIRDYIHVEDLAKAHVFAIKRLLEKKNSEVFNIGTGKGASVLEVIKAL----------------------------------------------------------------- 143678638 FQNKKILITGSAGFIGFHITKLFLSKGIDCIGIDNLNNYYDVKLKKLRIRELDLSKEKQFKKI-ENYNFDIVINLAAQAGVRYAFKKPGSYIRSNIVGFLNLLNFSRKKNINKIIFASTSSVYGDSKNFPWSEQDYVNPLTIYSSSKIFNENLAYSYSKYYNMKVLGLRFFTVYG----------EFGRPDMSIYKFTKNILDEKPIVIFNNGNHTRDFTHI-DIIKDVFQKLITKKKWNQIFKNKNHEILNIAGGDKVKLLKLVELIEKNCKKKSIKKFVGLQMGDIRETHANLYKLKKLL--TKKVPIERGIKNFVKWYKDYAN------- 116207954 ---KNIMITGGAGFIACWLVRHLYPHAYNIISFDKLNTRILNDRRNFTFYQGDVTNPSEVVDCLERYNIDTIFHFAAQSHVDLSFGNSYGFTHTNVYGTHVLLESAKKVGIRRFIHVSTDEVYGEVKDGDDDESSILAPTNPYAASKAAAEMLVHSYQKSFKLPAIIVRSNNVYGPHQYPESTHAPHTNPPPPPSLLRNYPQIHVPPRTPQTGRLHGDGSPTRRYLYAGDAADAFDTILHRGQ---PGQIYNVGSHDEISNVALCRRLLAVMGLAQWVKYTHDRPFNDHRYAVDATKLRG-LGWEQKTGLEEGLRVTVEWYR----------- 142681875 ---KNILITGGAGYIGSHISEVLIKNKKKIFIADNLSTGYRRLIKKAKFFKVDILKNKKIREIILKNEIDSVIHLAANLIIGEGEKYPKKYFKNNVSGTQNLLKACENTGVKNLVFSSTAAVYKDGQYK-VHENSVIKPKSVYGKTKIKAEKIIKQFCKKNNINYCILRYFNIAGSSPSGKIGLINKSD-HLFKNFSREIIKKRPILKIYGDNYDTKDGSCIRDFIHVSDIAEIHYKVLEKINKLNNSKTLNCGYNKGISVLEVAK------------------------------------------------------------------- 135460544 ----------------SNLVDHLIKLGHQVIVLDNLLTGRLSNLIQVKFVNVDLTNKNDIGQYFKNV--DWVFHLAGLADIVPSIKNPDNYFQTNVQGTLNILEESRKAKIKKLIYAASASCYGIPDKYPTDEKSKIDPQYPYALTKFIGEQLAIHWAKVYHMPNISLRFFNAYGPRSRT------TGAYGAVFGVFLAQKLAEKPLTIVGD------GTQTRDFIHVFDLVD---VMVKAAQNGKNGDVYNVASGQETSINSIAEII------GGNKVKIPKRPGEPDRSLADISKIKTHFNWKPKITINEGVK------------------ 141719167 ----NILVTGGAGYIGSHIIELLVKKTNRVIVLDNLSTGYKILINQKKFFKGDINNKKIINRLINKFNIDTIIHLAASLNVSEAQTNKKKYYKNNITGTKNLLLSCRNTTVENIIFSSSCSIYGNIKGS-VNERKKPNPQGYYAYTKYKGEQLIKKYASKFNYNFGILRYFNVAGASPSGKIGEIETSHGHLIKNIAIQSMKEKPKLNIYGNNYNTKDGTCVRDYIHVTDLADIHIKGIDH-------------------------------------------------------------------------------------------- 139086872 ----RIFITGGTGYIGSHCAALFLEHGHEVTLYDNLHNSKPDIVDRLQAIEGDIRDEALVLNSLKSSKADVVLHCAGLKSISESMVAPDLYDSVNVGGLRSLTQAMQEASVHKIIFSGSANVYGQPLALPINEDAPVNPQSPYGKTKLQSELILKDAEKNPEWSTVVLRYFNPVGAHHSGLIGENPKGPNNLMPILCQVASGERSTLDIYGHDYATRDGTAIRDYVHIEDLSEAHLGALNLIINTQGFNLFNIGSSKGVSVWELVQ------------------------------------------------------------------- 168698377 LSGRRVLVTGGTGFLGRAVCAEVRKR-------------RPGELIAPRKAQYDLTEQTAVRLMLDDHKPDLIIHLAAVVGIGANRENPGLYFYQNAVMGIMLMEEARKRGVQKMVNIGTICAYPKFTPVPFKEDDPEETNAPYGIAKKAQLVQAQAYRQQYGFNAIALLPVNLYGPGDNFDPKSSHVIPALIKKVVDAREAG-------LGHIDVWGTGAASREFLFVRDAAEGIVLAAERYDRPDP---VNLGNGREITIRALTELICELCHFDGELRWDATKPDGQPRRCLDATRARERFGWSARTEFRAGLRETIVWYEQ---------- 115384992 -----ILVTGGLGFIGSHTTLELLKANYNVIVIDNLSNSFQNKVPALHLHAHDYRDTAALRILLEQYRISGVIHFAAYKAVEESIRDPLKYYANNVSGLIDFAYTLGEFGIKTFVFSSSATVYGTTSGLPLKEEGCTGITNPYGRTKWICEAILADLAASDPEWTIVARYFNPIGCDESGLLGEDPRQPTNLLPVVVKVMTGQYQELQMFGTDWDTEDGTAVRDFIHVTDLARGHIAALGTANEGKNFRTFNLGTGRGHSVREVVETMETVSSKHIPRKAAPRRAGDVGSCVAVATRSQEELQWKTEKSLKDAC------------------- 135416278 ---------------------------------------------------FDISDKEKVSNLIKSYNFDLVMHFAGLIRVDESVQQPERYRDFNFVKAKTFLETCFDNNLKKVIFSSTAAVYGNPKKDKVTEEDPTNPLNPYASSKLELERFIQQTSKQYNSRYIILRYFNVAGADEKLRTGLISKVSTHLIKVASEVATNKRDSLTINGDDYDTPDGTPIRDYIHVSDLADIHLVSAEYLIDGGNSDLFNCGYGKGYSVKEVIHNLNDVLNKKIKVKIGPRRPGDSKMIVSQVDKFKNKFSWKPKLNLKKILNTSIQWEKK---------- 71666589 -----VLITGGSGFIGSHVVDRAMREGYTVVAVDNHYTGREQNIAHHHFVKHDVRHPYPEEVLRHKYN--YIFHLASPASPVHYQADPIGTTLTCVNGTYHSLLLAQRDDCPVL-IASTSEVYGDPIQHPQTEENCTGVRSCYDEGKRCAESLCFDFHRKHGVKIRVARIFNTYGPRM--------CFNDGRIISNFLIQSLRGEDITVYGT------GTQTRSFQYCDDLVEGFFRLIRHPTEIGP---VNLGNPDEYTVLDMAKKVRDVPGTKSNICFLSPCEDDPKQRCPDISKARRVLGWTPVVPLSEGLRRTAE-------------- 289577898 ----------------------------------------------------DITD-DDLCEILKKEKPDYVIHQAAQIDVQKSIDNPVFDTKVNILGTVNLLECCRKSGVKKVIYASSAAVYGNPEYLPIDEGHRINPISSYGISKHTAEHYFEVYSQLYDLKYTILRYANVYGIRQD------PKGEGGVISIFTDKMLKGKRP-------VIFGDGNQTRDFVYVKDVAKANLLALER----GDNEVVNISTNKPTSINELVEIMNKIMNTSLEPIYTEPRKGDIVHSYLDNKKALDVIGWRPEYSLEDGLREIIEYYR----------- 291300413 ----RVLVTGGAGFIGSRIATALRQAGHDVVIADVLAAAHGPHPRKDHPHMLDVRDRGAMRRALRHV--DVVCHQAAMVGLGNGFADACDYVDCNDLGTAALLSAMADAGVRRLVLAGSMVVYGEGSPGLVEETATIDPRNVYAATKIAQEYLASAWARACAGRVISLRYHNVYGPGM------PRDTPYAGVASFFRSALENGEPPKVFEDGA------QRRDFVHVRDIASANLAALDANIPEGTLRPYNVASGTPHTVGEMAAELAGFFGGPEPVVTGEYRLGDVRHITASTRRIRSELDWKPRVDFRKGVAEF---------------- 241518318 -----IVITGGCGFIGSNLADSYLQDGEDVVVLDNLGRPGVDQNLGWLTERADVRDARSIEAAFADAK--AVFHFAAQTAVTTSLIHPIDDFEANARGTINVLESVRKAGRRAVIFASTNKVYGGLDDLAIGEDRPLDFCTPYGCSKGVADQYVLDYAKSFSIPTAVLRMSCIYGPRQFGT-------EDQGWVAHFLIRALAGEPVSIYGDG------KQVRDILHVADAVAAYRGVLDGIDGKGRVFNLGGGPTNAVSVLAVLREIGKLIGRPVETSFDDWRPGDQYFFVADTRKLQHTLGWSARVGWESGLRHLAEWLIEH--------- 190894632 -----IVVTGGSGFVGCNLADNLLNDGEDVVILDSLARSGVDQNLSWLIERADVRDLMGIEAAFCDAK--AVFHYAAQTAVTTSLVDPLEDFETNARGTLNVLEAVRKAGRRAVIFASTNKVYGALDDQGIGEDRPLDFCTPYGCSKGVADQYILDYAKSFGIPAAVLRMSCIYGPRQFGT-------EDQGWVAHFLIRALGGEPISIYGDG------KQVRDILHVNDAVAAYRSLLANIDRSGNAFNLGGGPRNAVSVLGVLRAIEELTERPVATSFGPWRAGDQFYFVANTQKLERETGWEARIGWRDGLRHLAEW------------- 91773544 MNNDSIAITGSRGFIGTRLTAQLRLKG-----LDLLEISHS----------LDSIDITNWEQVKTIPKRDVLVHLAGMTNIPESFNHPRDVYTINTFGTLNMLEWCRLNDVKRFIYASTF-VYGNPQYTPVDEKHPTLPNNPYSQSKLIGEELCNAYCRDYGIDVISLRLFNVYGPHQKGDYLIPHIIR------------------QLESGKVSLKDPLPKRDFVYIDDVIDSFECALDSGL--GGCNVFNIANGKSNSVREIADMLAETYGQRADIDYCEKRQSEVSDTIANIEKAKNIIKWEPKTDIKTGLAQTLRTYLNEYK------- 294011102 -DGRPVLVTGGAGFIGCNIADRLAGEGEQVVVYDSLARPGVERNLAWLEQRADVRDDAAVDRAVAGAK--AVFHMAAQVAVTTSFTDPRADFEVNALGTLNVLEARRRSTPPPVIFASTNKVYGGLEDLDFPESRPLDFHTPYGCSKGAADQYVLDYARSFGLPAAVLRMSCIYGQRQMGT-------EDQGWVAHFLISALEGQPITLYGDGH------QVRDILDVQDAVDAYLAVWRQIGRGGRAFNLGGGPDNAVSLRQILAFIGVHLGKSIVPDFAPWRAGDQRYFVADTGAARTALNLKARKPWRQGVADLARW------------- 148263739 LRNKRILVTGGAGFIGSALVKRLVNENATVDVIDNLSNGMSCINLKKNFYHVDLTDHNQCVQYIKDY--DYVFHLADIVGGIQFAFSNELFFRQNITIDTNVVSACITNGIGNYIYVGTACSYPNKGITALKEDQVYEPESSYGWSKLMGEYGADLALKSGRINVGILRFHNVYGPGVEFEGNTAQVLPSLMRKAIRFPQE----------DFIVWGSGNQYRDFVYIDDIVEGLILVAQHGMNKG---AIQIGSEKSVTIKKSAEMIVKISGKPIKPVFDIQRPEGDYGRIAICEKARNILNWEPKIDHHEGFKLTYNW------------- 158429570 LANTNVMVVGGAGFVGSNLVKRLLELGNQVHVVDNLLSAEKINVPDHPAVRFSITDDALLASLQDEY--DYVFHLATYHGNQSSIHDPLADHENNTLTTLKLYERLKHFKRLKKVVAGCSIAEKTFDDAKATEETDHNNDSPYSMSKIFGEFYSVYYHKQHQLPTVRARFQNVYGPGEILGAGRWRGTPATVWRNVTPTFIYKALKGMPLPLENG---GVATRDFIFVEDVANGLIACA---ADGTPGGVYNIASGKETSIADLATKINEITGNNTELDRLPKRPWDSGKRFGSPEKARRELGFSADVSIDDGLRKTIEWTKAN--------- 21227295 ----KVLITGGAGFIGSHIAEYFAEAGHSVRILDNFATGFSKNIPQHKNVQGDICDPSSVEKAISGM--DCVFNEAALVSVPLSCEKPVEAFRINTLGTLNVLQACVREGVEKFVTASSAAVYGNNPVLPKSEGMYPEPASPYAISKLDGEFLAKMFYEEHGLRTTCLRYFNVYGPRQDP------------KSPYAAVIPIFLEKAKAGKDLVIHGDGLQSRDFVHVRDVVRANVAALENGDGQ---------------------------------------------------------------------------------------- 142603975 ----------------------------KIINLDKLTYANIENDPRYKFVKGDICNRDFINKIFHDYKPITIVHFAAESHVDRSIDGPKDFIDTNIIGTYNLLQESLNIYFFKFHHISTDEVFGLGKSGYFTEDTAYDPSSPYSASKASSDHLVRAWHRTFGLPITISNCSNNYGP---------YQFPEKLIPLMIINCLSNK-------QLPVYGKGDNVRDWLYVEDHCKAIDLIL---KDGAIGETYNIGGNNEIKNIKIVKSICSILDYSDLITFVSDRPGHDFRYSIDTTKIKNELNWGPEESFDTGLLKTIKWYLDN--------- 139965529 ------------GFIGSHTCVVLLEQGYRLVVLDNFDNSCPEALRRVQEVVGDVRDPSAVDQAFHAAGADGVIHFAGLKAVGESVQDPLRYWDVNVNGSRVLAAAMEAHGCRTLVFSSTSTSYGEPDTFPLREDMPTAPVHPYAQTKVAVEQMLSALCRSGPWRVASLRYFNPVGAHPSGQIGEDPIGPNNLFPFITQVAAGRREQLRIFGQDYPTPDGTGIRDYLHVMDLAEAHGRALE--------------------------------------------------------------------------------------------- 135526389 ------------------------------------------------HYRVDLSDQKKLKDIFKNYKPQKVINLAAQAGVRYSMENPLAYIKSNIVGFANILENCRHYKIEYLVYASTSSVYGANTKMPFSEHDSNHPLSVYSASKKSNELMAHTYSHLYKLPTTGLRFFTVYGP----------WGRPDMALFKFTKAILEEKPIDIFNYGNHTRDFTYIDDIVEGIINNNWNSSKPDPATSKAPWCIYNIGNNKPVKLMDYVKALEKALGKKAKINFLPLQPGDVPDTYANVDNLKKKFNYKPATSVIDGVSNFVKWYKEFYQ------- 262276291 MEKKRVFVAGHKGMVGSAIVRQLSERDDVELVVRD-------------RAELDLLNQSDVDEFFANNAIEEVYLAAAVGGIHANNTLPAEFIYENLMIECNIIHSAHRHSVQNLLFLGSSCIYPKFAEQPMIESSLLSTNEPYAVAKIAGIKLCESYNRQYGRNYRSVMPTNLYGIN-DNFHPENSHVIPALMRRFHEAKLNNDREVIVWGTGTPMREFLYVDDMAAASIHVMELPDNTYKACTQPMLSHINVGTGVDCTIREMAETMAKVVGFKGTIFFDATKPDGTPRKLMDVSRL-ADLGWRYSVDLETGLSKTYQWFLDNQHTFRN--- 135820897 ------------------------------------------------FVKMNIRDRENLDAIFSKHKPDAVMHLAAESHVDRSIDGPKNFVETNIKGTFNILEASRKHWQFRFHHISTDEVYGSDPNTRFTESTPYDPRSPYSASKASSDHLVRAWHETYGLPIILTNCSNNFGP---------YQFPEKLIPLVILNALSEKP-------LPIYGNGKNIRDWLYVDDHANALLLALEKGEI---GRTYNIGDENERTNLEVVKAVCSILDRADLITFVLDRPGHDARYAINPSRIRKELGWETTLSFDQNLEKTVQWYLNNQDW------ 139081621 -----------------------------------------------------------------------IVHFAGLKAVGESVRDPLHYYRTNLTTSMSLVGVMQALDIRHLVFSSSATVYGEPERIPLDETCRTEAANPYGRTKIMIEQMLRDVQASPTLNIALLRYFNPAGAHPSGRIGEDPTGPNNLVPFVTQVAMGMRDELVIHGDDYPTPDGTCIRDYIHVQDLARGHVAAVDKLTQDCGLVTYNLGTGRGYSVKEVVSAFERTTQKHLQQRVGPRRAGDVPVSYTDPGLANLELRWTATRSIDDICQDAWRWQKQNPTG------ 135326960 LNGKSFCVTGADGFIGSHLVELLVNHGANVKALVAYNSWNNDIFNQIEIVNGDIRDSDHMIEFVKGC--DYVMHLSSLIAIPYSYHAPRSYVETNITGALNIVQACRKSETTRLLHVSTSETYGTAQYVPIDENHPLVGQSPYSASKIGADKIVESFNLSFNLPVVIARPFNTFGPRQTARAVIPTIASQLIMRKKQIH----------------LGALSPTRDFNFVTDTARAMLELVLTENNNGEVFNI--GSGEEWSIEQTFRILSEISGYDALIVSDEKRVSEVNRLLADNSKIKKYTSWNSEISFRKGLEITYEWIEKN--------- 37523361 FERAKILVSGAGGFLGRHVVEQLLARG-----------ARAQNLVTPRSGELDLREPDACAR--AVEGMDLVIHLAAVGGIGLNREKPAELYYDNLMMGTHLIHQSYKAGVGKFVCVGTICAYPKFTPVPFREDDPEETNAPYGVAKKALLVQLQAYRAQYGFNGIYLLPVNLYGPWDNFDPKSSHVIPALIYKIAQAKQAGQK-------TLPVWGDGSPTREFLYVDDAAAGI---VEAARTYDGAEPVNLGTGAEISIRDLVEMLCELMDFKGEILWETDKPNGQPRRCLDTSRARAEFGFAASTGLAEGLRRTLEWYARHGERLS---- 299137754 ---RKLLITGAAGFLASHLVDLMLSRGYDVTGVDDLSHGNVQNLDGALRDQADVCDMSALRHCVD--KVDTVIHLAAYKIPRYGTAT--KTLLVNSQGTMNALQLACEKSA-KFVITSTSDVYGKNPNVPFSEDSPTVGRWAYATSKRYDEHLVLAMAEDCGIYTTVLRIFGSYGPRQNLSWWGGPQSVFIDA-------ILRDEVIPIHGDGQQTRSFTFVSDTVRGIAAAAESSNCNQEIINIGANEEVSILDLASRIYRMCGKSGSPAIKLIPYDEIANRKYEDVRRRIPDTRKAKELLNFEAKIPLEQGLLETIAWQRQW--------- 144198902 ----KALILGGCGFIGGHVVQAFAEQGVQTVVWD-LHADPAHSTPCCTYLKGDLSDIEKIDAMLAQHSITHVVHLVSTTLPKSSNENKPYDVHSNVISTLHLLDLCVRHRVQRLLFMSSGTVYGDPQKLPIDESHPNNPLCSYGITKLTIEKYLFLYYRLYGLQYVALRAANPYGPGQ-NPFSAQGIVATIVHKMHMGESL------------EVWGDGSNVRDYFHVRDLSRLTRKALFSDV----TGVYNAGSGVGISVNEIISLIARVGNFVPNVVYRKKRTLDASSIILDCTVAKSKFDWAPRVSLRHGIMGYLNWYRLNF--ISNEK- 15920365 -------ITGGAGYIGGHLTDYLVERGEDVVVIDDFSYGKY-MNNKAIYKKIDLRSNADIE----IPKDSILFHLAANPDVRTSMIHVQEHFERDVKVTLNILEIARKYDVKKFIFASSSTVYGEAKVIPTPEDSELKPISNYGLFKLLGEEMVEYYSRVYSIRAVSVRLANVTGGRIS------------HGVIYDFVNKLLKDSNKL----EILGNGKQKKSYIYITDTIEGLILLAE--ENTGSYSVYNLGNEDWITVDEIAKIVEEEMGVSPKHIYVDSWVGDVRFMLLDIKKIK-EIGWKPKYTSRDAVRLAVR-------------- 212212847 MTKRRILVTGGAGYVGSALVPQLLELGYRVTVYDTLFFGDDFLPKENPYVEGDIRDTERLKQCFK--DADAVISLACISNDASFELDETLSTSINLEAFEPMVKAAKAAGIKRFIYASSSSVYGVSETKDVTEEHPLVPLTLYNKYKGMCEPLLFKHQSPEFVCVTI---------RPATLCGYAPRQRLDLSVNILTNQAVNNNKITVFGG-------SQLRPNLHVQDMCDLYKLLLVVPDEKIAGETFNVGYENSIMEIAHINIVEEEFPEKAPIDIVTAPTDDIRSYHINSDKIKRCLGFEAKYSIEDAVRDLCKAFKKLPDSMTN--- 139429770 --------------IGSHTCKYLRISGFNPIVYDSFVTGHKKFVKWGDFIEGSIGDKSSFKYALEKYQPIAIIHFAGISSVKESIEKPKKYHNENVKSFENMIEVILEMGIKKFIFSSSAAVYSSNSKMPLTEKSELSPITPYGQNKLDIEKILMDLDIEQKIKYVILRYFNAAGADLDCEIGELHNPETHLIPNLINSQINNRLAN-IYGSDYDTDDGTTVRDYIHVYDLAIGHIKALDYLLENRASNIFNLGSGKGYSILKIIEVFKDL-------------------------------------------------------------- 163849955 MENL-VLITGGAGFIGRHLASALIARDYRVRVLDSLIEGPAALDPSVEFVEGDVRDGEAVARALD--DVTHVVHLAAEVGVGQSMYAVERYVSVNDCGTAVLFQAMIEAPVKRVVVASSMSIYGEPWDPLGADGHPLRPVSVYALTKYMQERLTLTLAPAYGMEGVALRLWNAYGPGQ-----ALSNPYTGVLAIFAARLLNGQPPM-------IFEDGEQRRDFVHVEDVAQAFVLALEHPAAVG--QVYNVGSGQDRTVNEVARLLARAMGREDPQTTGQARLGDIRHCIADIGKITRELGYAPKRDFAEGLAELAAW------------- 143323850 -RDRTVVVTGGAGFLGSHVVRRLTDAGARVLV--------------PRHATDDLTKHGVAEAYFAKHKPSHVIHLAAVGGIGYNQAEPAPLFLDNLLMGLNVIEAARATGVEKTTVLGTVCSYPKFTPVPFREESPEETNAPYGIAKKALLVHAQVNRRQYGQRFAFVIPTNLFGPG-----DKFHESVSHVIPALIKKCVEAKEAGVDKVHVWGTGAAS--RDYLYVSDAAAAIVLAAELHD---GTEPLNLGNNREITIRETAETIARVVGFDGDLVWDSTKPDGQPRRRVDASRAEAALGWHAGTSFEDGLRATVEWYLAN--------- 144118533 -----------AGFVGSHLVDRLMEAGEEVICLDNYFTGRKVNIEWIGNPRFELIRHDVTEPI--KLEVDRIWHLACPASPVHYQFNPIKTAKTSFLGTYNMLGLARRVGA-RLLLASTSEVYGDPEVHPQPESNTIGIRSCYDEGKRIAETLCFDYQRMHGTEIRVMRIFNTYGPRM--------LPDDGRVVSNFIVQALKGEPLTLYGDG------SQTRSFCYVDDLVEGMIRLM----NGSHTGPMNIGNPGEFTIRQLAELVRARINPALLLIEKPLPADDPMQRQPLIDLALAELDWKPSISLEQGLQLTIEWFK----------- 143937767 ----KILVTGGLGYIGSHVSVLLLEKGVDVIILDNLDNARIEVLKRPIFEQVDLKDQNLVKALFATYDLDGCIHFAAHKAVGESLKQPLRYYENNIGGLIHILK-PLTRQGIPLIFSSSCTVYGQAQELPITEETPLKPSSPYGFTKQMGEQIIQDSCANPNFRAILLRYFNPVGAHPTAQIGEYPQGPQNLVPFLTQTVIGKRPILKVFGSDYDTPDGTCIRDYIHVMDLAQAHIESLNHLISSSQS------------------------------------------------------------------------------------- 139846208 --------------------------------IDNLNNYYDPKLKKDRIKEGDIVNIKFLEEVFQKFKPDKVLNLAAQAGVRYSLENPHTYIQSNIVGFMNILECCRQFDIEGLVYASSSSVYGNNKSLPFSEFDKVDPISIYACSKKANELMAHSYSQLFNLNTTGLRFFTVYGP--------WGRPDMAMYIFAKKIKSGEQISVYNYG--------KMERDFTFIDDIVDGIKSAL---KNNYQCEIFNLGNNRSEDLLDMIKIIEKEVGKEAKINFEKIQLGDVKSTYANIDYSREMLSYNPKTSINEGIPKFVDWFLKY--------- 73668655 MAAKKILVTGGAGFIGSHLVDRFIEKGSRVTVFDNLSSGKMEFIEDHFEIKGDLLDQEAIKKACK--GIDFVCHVAANPDVRLGASDTRVHLDQNILATYNLLEAMRKNNTKKIAFTSTSTVYGEASIMPTPEDGPLIPISLYGASKLACEAFITSYSHTFDMQAWIFRFANIVGPRSTHGITVDFIKKLWNNASL----------------LEVLGDGKQEKSYLHVSECVDAILFLIENSDEKVN--IFNIGSEDTISATEIGEAVIEEMGVEFTYTGGNRWKGDVPKMRLGIEKMKR-LGWKPVYTSERSIRETAK-------------- 143347028 MSKKRIFITGIAGFLGSHLADRMLSLGHEVVGNDTFVGGYEDNIKLATCYNVDCNDLDRMVEIMKGADI--VIHTAATAHEGLSIFSPVFITRNIFGASVATISAAIQNKVKRFVYCSSMARYGS-QEYPFKETQSPAPIDPYGIAKVAGEEVLKALAPMNNMEWNIAIPHNIVGPRQRYDD------PFRNVMSIMINRVLQGKPPVIYGDGSQMRCFSFIEDTLYCLEKLALDPNIKSETVNIGPDEEF-------ITIKELAEHVIKETGFDGKPEYFPDRPREVKYATCDATKARKLLGYKTSSTFINGLRQTIA-YIKYPLEIINQH- 254303438 ---KTYLLTGAAGFIGANFLKYILKKDIKVIVADSLTYAGNLGTIRVKFEKVDIREKKEIERIFSENKVDYVVNFAAESHVDRSIENPQVFLETNILGTQNLLDNTKKSWIIKYLQVSTDEVYGTYGNKFFTEESPADPRSPYSASKTSADHIVIAYGETYKLPINITRCSNNYGP--------YQFPEKLIPLMIKNILEGKKLP--------VYGKGDNIRDWIFVEDNCKGIDLVLR---EAKVGEIYNIGGFNEEKNINIVKLVIDILKEEITITYVQDRLGHDMRYAVDPSKIAKDLEWYSETDFETGIRKTIKWYLENQDWVNE--- 138697664 ---KTVLVTGGCGFIGSHLTAYLKKKKFRIIVIDNLKIGKKLLFRGNKLYTVDLNNKKKLEKIFKENTIDSVVHLAGLSKLTESFKKRNLYEKNNINCTKNIIDLVKKYNVKNLIFSSSASVYGKQKKFPITENSKLKPISYYGKTKLSCEKIIKRNSSTKTFKSISLRFFNVIGSSYKNKLGEVHNPPIHLMPIFIRQILRNKPISMRYG--FNTNDSTGERDYVDVADIVNAHYLCLIKIEN----------------------------------------------------------------------------------------- 140433157 ----KYVVTGGAGFIGSNIVKKLVARGDNVTVIDNLNTGKEENLDSVKSKKDTILNTNLLEK--ETEGIDGVFHQAALASVQDSFSNPEEYNNVNVNGTENILKLAKKNN-FKVVYASSSSVYGNPERIPIKEEDSKNPINPYAETKLQKEELAKKYSEM-GVKVIGLRYFNVFGKGQS--------------KEYAGVLKLFLEKIRDGLPPKINGDGTQFRDFVYVEDVANANIMSMDSNVNHD---FFNVGTNTSITILDLARTIIKFSDLKIEPVFGPELKGDVKRTVADI-------------------------------------- 135145498 MKS---VVTGGAGFIGSHLVDALVEQGREVVVLDRVHPKH-----GNPRANYYLHDLASDRDHFAYHEAGEVFHLASLISIPYCVDHPSESLTNNVLAVLHCLEAARLHGVERFVFASSAAVYGNSFFLPSVETNPVQCLNSYAVSKHTGEQLCQLYEQLYGLKTVILRYFNVYGNRQ------HEQGQYAPVMGVFLRQKAQGQPLTVVGEGYQT------RDFVHVHDVVRATMLAAQVELEH-YGEVFNVGTGEGVAIEAIADLISS------FQQHQEARPGEQLHSRASIAKISEQL------------------------------- 197105551 ----RALVTGGAGFIGANLADRLASEGCEVVVFDALARPGVERNLAWTSVQADVRDARAVAEAARDVQ--VIFHLAAQVAVTTSLVQPMDDFEINVRGALNVLEAARRRRTPVI-FASTNKVYGDLADVPVSEARPLDFHTPYGCSKGAADQYVLDYARSFDLPTCVLRMSCIYGPRQMGT-------EDQGWVAHFLIRALEGQPIVVYGD------GRQVRDIMHVGDTVDAYMAAWRRIEEAGRAFNLGGGPGNAVSLLQLLGYIEEVIGRKVEVGFSDWRAGDQRYYVSDPSAAKQALQLADPRDWRAGVADLARW------------- 136836318 ----KALVTGGSGFIGSHIVDELIRIGFTVTVIDNQSADAEQFNDKATYVNQDVSNYQTTRVFYA--DIDYVFHLAAESRIGPAIANPIAAVQKNVLGTCTVLQCAREAGVKRVIYSSTSSGYGNN-DYPNVETQPDDSLNPYSVTKVAGEKLCKMYTDLFDLPTVVFRYFNVYGHRA------PRKGQYAPVIGIFCRQRDAEEPLTIVGD------GEQCRDFVHVSDVVNANLLAAIKEVDDDAFGMYNIGTGQNYSVNQIADWISD------NQTNIPPRIGEVRISLANIDRMRSTFGWEPKVELE-------NWVKEN--------- 46110947 -----ILVTGGAGFLGSTLVQLLLDQGHEVVVLDSLWTSSDTNLKRLRYIQADVRDPDGVEQIYHLACPASPVHFETQPIDILQTC-------FNGASNVLDYAVKQGARVLLASTSVGTEVYGDAQIPCQDEGNCFGPRACYDEGKRVMEALGYSYQLEHGLEVRVARIFNAYGP--------FMQAEDGRAVPNFITAALKREPIVIYGDGHATRCFQFSQDCVRG----------LEALMNSDQNGPVNIGSDLEMEISEIADIISRVYDQPVPVRLEPKREDDPVRRKPDTNLAERVLGWKPRVPLEEGVSVTVDWFIQRENGI----- 141173347 --SKAVLVTGGAGYVGSHTCKLLAKNGFTPVTVDRHFREGLKS--FGPNYNLNLQEMDRIDEIINRYNITSCIHFAGSTSVPESVTNPSLYYKNNLIMTISLLDKLIECGVNTFVYSSSAATYGDPGMQLAMESDVANPISAYGGSKLMIEMVCKDYLRAYGLSSVGLRYFNAAGADPEGEVGELREKETHIIPLAITA-AKQGKTFKIFGDKYPTDDGTCVRDYVHVMDLADAHIKALNYSSENPVAEVFNLG------------------------------------------------------------------------------- 139467383 ----RYLVTGAAGFIGAHVSAALLNAGHEVVGLDNVNDYYDPALKRHRFAEGDLSDSATVEQLFRGDRFHCVIHLAAQAGVRYSLENPSAYIQSNIVGFQHLIDACRATPPAHFVFASSSSVYGNSDRDWLSETDPTDPVSLYAATKKSNEMVAHSYSRLYGLPATGLRFFTVYGP--------AGRPDMAYFGFTKAIFEGAPIQVFNHGNLE--------RDFTYIDDIVSGVVSVASAPPSEVPYRLLNLGNHQPVKLGDFIATLEGLIGKDANQHFVAMQPG----------------------------------------------- 138389549 -KNKRVLVVGGAGFIGSHTVDCLVELNADITIVDDFSTGRSNCNSNAKLFEIDAA-TDALAEVFSDVKPDVVMTFASVVDIPVAIKNPLLTCK-GITVTVNTLENAVKHKVEKVLYASSGFIYGNTNIIPISEDQPTKPLNPYNIAKATGEHYLSFFNRHYKLPCIALRYAPTYGPRRS-----------IGPINDYIRSILKGMRSEIYGQK--------TRDYIYVEDVVSANILSIEKSTS--NYNVFNIGTGKEIELDKIYKTITQLLDSPDNKIYCPSKSSEVERFVLNISKAKKELGFQ---------------------------- 134694307 ---KRVLITGAAGFLGSHLCERFLNENFFVIGMDNFITGSSKNILFKTHNNFKFIEHDVSKYLQIDGDLDFILHFASPASPIDYLKIPIQTLKVGSLGTHNLLGLAKAKKATIL-VASTSEVYGDPLVHPQNESNPIGPRGVYDEAKRFQEAITMAYHRFHNLDTKIVRIFNTYGPRM-------RLNDGRVLPAFIGQSLRNED-LTIFGD------GSQTRSFCYVDDLMEGIFRLLLSDYN----YPINIGNPNEITIKGFANEIVNLTGKNQNIIFKELPENDPLKRKPDIDLAKKILDWEPKIQRNEGLKLTYDYF------------ 255564178 LKRKRIVVTGGAGFVGSHLVDRLIERGDSVIVVDNFFTGRKENVMHHKNPRFELIRHDVVEPLL--LEVDQIYHLACPASPVHYKHNPVKTIKTNVVGTLNMLGLAKRVGA-RFLLTSTSEVYGDPLQHPQVETYWIGVRSCYDEGKRTAETLTMDYHRGADVEVM---------------------------------QALRKEPLTVYGDGKQT------RSFQYVSDLVEGLMRLME----GEHVGPFNLGNPGEFTMLELAQVVQETIDPNARIEFRPNTEDDPHKRKPDITRAKEQLGWEPKISLRKGL------------------- 136514651 ----RVLITGGAGFIGSHLADALLAQGDQVVILDNLTTGSHANIAHIEIHQGDIRDEALVNTLVKGA--DLVLHMAAALGVKNIMENTVESVSINFTGSDVVLKA-ATEHGKRLIIASTSEIYGKNPEQPLNEESPQKIRWTYSDAKALEEAIAHTLHRTHGLKVTTVRFFNTVGPRQTGQY-------GMVLPRFVKQALNNED-------ITIYDDGSQSRVFCHVEDAVRAVLALAADDSTIGD--YYNVGGVGETTIKELATKVIERTGSQSKLVYVPFTEADMQRRVPDISKVKAKIGWAPTHTLDSII-DSVAAHLKN--------- 135561497 ---KRVLITGGAGFIGSALADRLLDRSDQVCVIDNYSTGRRDNLTPRDIVEGSIADSELMGRIFDEFKPEVVIHAAASYKDPEAWIEDSDT---NVVGTSIVVDGCRKAEVERLIYFQTALCYGNPDEQPIPIDHELRPRSSYAISKTAGEH----YVALSGLNWVSFRLANGYGPR-----------NVTGPLPTFFQRLTNGNSVFVMDT---------RRDFVFIDDLVNVVVRAVD---GEGESGPYHVSSGSDVSIAELFEAAVEAIGIEEPVEVRPRNPDDAFTILLDPAKTHAQFGWSANTPLQEGVTSAVNYYREF--------- 142591257 --NKKVIVTGCSGFIGYNLASNLLNKDYEVIGIDSLNNAYDESNKNLKFLNIDLSDNNSYEELQTLSEGTSVFHMAARAGVRQSFLDPASYVKDNTVATTNISNFTKSNKIEKLIIASTSSVYGDSGDMLMTEDEKIQPPSVYASTKLSGEILSKIMLEDSSTKVVIPRFFTVYGP--------FGRPDMSILRFIHWIVEGK--------EVQVLGDGEQMRSFTFIDDVVEALMLMLDYD----ESNTFNIGSNTTVSLNEVIKIIENFSGEEAKVVNKERAYKDPDVVRPNLENISNNLKWKPSTNIETGVEKTVTWYLNNKDFLND--- 261368707 ----KVLVTGAAGFIGGQLWHALWKRGDEVVGIDNFSYGNLDNLKFEDHDRMDIRDREAIPALFEAEKFDVVYNIAGIAPLPDCQSDPVQAVEVNTLGLVHLLECARRTGVKQVVQASTNAMYENETEFPTVETSFHTPTLIYPNTKYCGERFCQSFADTYGMTVTCLRFANVYGPH----IDCLRKQPPFVGYMIRELYYGRTPEFHSDG--------NQRRDYIYVDDLIALALRVVERPQPGFDAVNVSS--NQSYSVRELYATACRLMGKDIEAKYCP-----TSHYWADNAHAREAYGWVPQVDIETGLARVIE-------------- 90410681 MNKKRVFVAGHRGMVGSAIVRQLSARDDIELVTRNRT-------------ELDLTSQQAVSEFFATERIDEVYLAAAVGGIHANNTYPAEFIFENLMMECNIVHAAHQNNVQNLLFLGSSCIYPKLAEQPMTETTLEATNEPYAIAKIAGIKLCESYNRQYGRNYRSVMPTNLYGMN-DNFHPDNSHVIPALMRRFHEAKLNNDKEVVVWGTGTPMREFLYVDDMAAASIHVMELDTQTYQDNTQPMLSHINVGTGVDCTIREMAETMAKVVGFTGDVVFDSTKPDGAPRKLMNVSRL-ADLGWRYQIELEQGLATTYQWFLANQANF----- 138820803 ---------------------------------------------------------SDLSNLFESNSFDCVIHLAASKAAGESMLHPSKYATNNIIGSLNLINFCANHKIKSFIFSSSAAVYGVPEYNPIDESHPLVPINYYGYTKLMIENNLMWFSKIKKIRFAALRYFNAAGYDIKKRILGLEKNPQNLIPIVMEAAMGLRKKIDIFGSDYATKDGTGMRDYIHVSDLASAHLDTIDYIMSEKKNLTINLGSGGGYSVLDIVDKAQEICNVSIKYDFVNRRPGDSDIVVANSELAKELMSWEAKYSLDTIINSTW--------------- 144181055 ----KIYVAGHRGLVGSALVRELQRAGYTNII-------------TRTRDEVDLTNADAVTAFFASERPEYVFLAAAVGGILANDTYPADFIYENLAIETNVIHAAHTQSVRKLLFLGSSCIYPKHAPQPISEDSLLATNAPYAIAKIAGITLCQSYHRQYGDRFISVMPTNLYGPGDNFDHQTSHVLPALLRRFH-------EATQRSDSTVTVWGSGNPRREFLHVDDLAAACLFLMDSYT---EPDIVNVGTGEDVTIKELAEFIREIVGFPGEIMWDTSKPDGTPRKLLDVTKLHQ-LGWHHTIPLREGLRSTFEWYK----------- 237808797 MSKQRVFVAGHRGMVGSAIIRQLEQRSDIELILRDRT-------------QLDLLDSHVVQAFFRDNQIDQVYLAAAVGGIVANNTYPAEFIYQNLMIEANIIHAAHLSGVQKLLFLGSSCIYPKLAKQPITESELLQTNEPYAIAKIAGIKLCESYNRQYGRDYRSVMPTNLYGEN-DNFHPENSHVIPALLRRFHEAKLRGDAEVVVWGSGKPMREFLYVDDMAAASVHVMELDNATYQANTQPMLSHINVGTGVDCSIRELAETMAKVVGFTGQVVFDSTKPDGTPRKLLDVSRL-ADLGWRYATTLEQGLAKTYQWFLANQDNFRK--- 135067112 --------------------------------------------------------------------PDVVMHLAAESHVDRSIDGPTTFVNTNVMGTLNMLEAARSYWAFRFHHISTDEVFGSLPQDPFTEDTPYDPRSPYSASKAGSDHLVRAWHETYGLPTLLTNCSNNYGP--------YHFPEKLIPVMILNALAEKPLP--------IYGNGGHIRDWLYVEDHAQALLLVVEK---GEVGRSYNIGGENECTNLELVQTLCAILDRLQPITFVADRPGHDARYAIDPSRMQKELGWRPSVTLEEGLEKTVLWYLNHEHW------ 136681677 MET-SILVTGGAGYIGSHTVVEMLRAGLSVIIFDNLSNSSRVVLRQPIFIEGDIRDRIALRNTFKNHSITAVIHFAGLKAVGESEAQPLKYYDNNVSGSIVLFEEMQRAGVQTIVFSSSATVYGDPGYSQYREDTPLAPVNVYGRTKFMVEGILLDMKKRPSWRVASLRYFNPVGAHISGMIGEHPTGPNNLMPFIAQVATGKREKLHVFGGDYPTPDGT----------------------------------------------------------------------------------------------------------------- 162455053 MTN-HVLITGGAGFIGSHVADELLRQGVRVRALDSLVPDRRRPAYLDPEVEGDVRDRDAVKSALRGV--DAVVHFASAVGVGQSMYKIAEYTSINNLGTAVLLEELAAKPVSRLLVASSMSVYGEGVPIPTPESKPPSLESVYALSKYDQERLCLMIGRAYQIPTVALRFFNVYGRRQAL------SNPYTGVLAIFAARLLNDRPPVIFEDGL------QRRDLVSVTDVARACVRALGADAAVGQVLNI--GSGRSYTVREVAERVRDVMGKSEPEIVGKARLGDVRHCFADIGAAQQKIGYAPRVSLEEGLRDLADW------------- 135355635 ---QKILVTGGAGYIGSHTVVKLIEAGYTPIIIDNLCNTSIKNIKRIKWHNQDCTDKSAMNKLFTEEEIEGVIHFAAYKSVEESVQNPQKYYDNNLGSLKVLLQCMRENNVKNIIFSSSCTVYGMPDILPVDESAFKKAKSPYGETKQICEGML----KEDACNSVALRYFNPIGSHPSALIGDCTDRPSNLVPIITEVAIGKRENITVFGDDYNTPDGTCIRDYIHVVDLAKSH-------------------------------------------------------------------------------------------------- 225013157 ------------------------------------------------FVQGDIIDPSIVKALFDQHQFDGVVHLAAESHVDNSIKNPLGFAQTNVMGTLNLLEAARNQWKDRFYHISTDEVFGLGKEGAFTEETAYDPRSPYSASKAASDHFVRAYFHTYDLPVVLSNCSNNYGP--------AQYPEKLIPLFIKNIVENKPLP--------VYGKGENIRDWLFVED----HATAIDLILHQGRGETYAIGGNNEIRNIDMVHTLIEVTDRLSLISYVTDRLGHDFRYAIDASKIKKELGWSPKTKFKEAIEKTV--------------- 221636321 -KQKTALITGITGQDGSYLAEFLLEQGYRVVGMQRRSSTETLWRIAHELVQGDLLDQLSLIEIVQAYQPDEIYNLAAQSFVPTSWQQPVLTGEFTALGVTRLLEAVRLVKPDKFYQASSSEMFGKAVEVPQNERTPFYPRSPYGVSKVYGHYITINYRESYGLFAVSGILFNHESPRRGLEFV------------TRKVTHGVAKIKLGLARELRLGNLDARRDWGYAPDYVQAMWLMLQ--QDQPDDFVVGTGKTHSVRQLCEIAFGCVGLNWEDYVVVDPARPADVDLLVADATKARTVLGWRPTVSFEEMIELMVD-------------- 134380618 ---KTWLITGVAGFIGSNLLEELLILNQKVVGLDNFETGHQYNIDQANFINGDIRNLNDCKQ--ACDGVDYVLHQAALGSVPRSIEDPLATNDANINGFLNMLIASKDKKVKRFVYAASSSSYGDNTDLPKIEHMIGNPLSPYAVTKLVNELYANVFAKNYNLKTIGLRYFNIFGKRQDPN-----GAYAAVIPKWVASILNNE-------EVYINGDGETSRDFCYIKNAVQANILAAMSTNQESIDQVYNVALNERTSLNELYKLIERVEGLEQKPVYRDFRAGDVRHSQASIHKAQEFLNYRPQYKVSDGLNEAIDWY------------ 142201038 ------LITGGAGFLGSHLVDRLMQAGEEVICLDNYFTGRKANIHWIGHPKFELIRHDVTEPI--KLEVDRIWHLACPASPIHYQYNPIKTAKTSFLGTYNMLGLARRVKA-RFLLASTSEVYGDPEVHPQPESNTIGLRSCYDEGKRIAETLCFDYKRMHNTEIRVMRIFNTYGPRM--------LPDDGRVVSNFIVQALQGLPLTLYGDGQQT------RSFCYVDDLIEGMIRLM----NSDHTGPMNIGNPDEFTIQQLATMVRERINPDLAIVHQPLPQDDPLQRQPVIKLAQDVLQWQPSVPLATGLDRTIA-------------- 310783809 MEDAKVYVAGHRGLVGSALVRALRGEGF-------------SNLLLRTRQELDLCRQEAVERFFREERPDYVFLAAAVGGIGANSACGGDFILQNLLIQTHVLDCARSFGTRKLLFLGSSCIYPKFAPQPIREDGKLEPTNPYAVAKIAGVVAARALARQYGCPMVSVMPTNLYGPGDNFDLETSHV-----LPALIRRFHEAAEVQAPSVTLWGTG--TPRREFLHVDDLARACLLVMERYEGEG---ILNVGTGEDLPIRDLAELVARETGYGGRILWDPYRPDGTPRKVLDVERMKA-LGWEPRIGLEEGVRETVRWYRESLRG------ 149196245 --KKRILITGISGQIGTNLAYNLIDKGFEIFGIDNTWTNDLTTVHQDLSSRYD--NFTDGLGCLDYGKVDLVIHLAANAKVHELVEYPTRALD-NFMMTFNMIEYCRNNNIPII-YSSTREVYGDIQRYITNEADFHTTESPYSASKISGEAFLYSYSKCYGLPYICYRFSNVYG-RYDNDIERM-----ERVIPLFYEKIKNGEPITIYGEDKVL-------DFTYVDDCVAGISSGVDKILTGEQNKTFNLAHGSGNPLRTVADVFQEELGVKADLSFEPSRVGEVTHYVADISSAKKYLGYEPQTDLVNGLRKAAAWY------------ 138509624 ----------------SNLVDQLVKLDHEVIVLDNLSTGNLSNLNKIKFIKLDISKP--LNSINKYFKVDNVFHMAGLSNMQSSILNPKEYFRSNVTGTLNVLEASREAKVKKFIYAASASCYGIPEDHPASEKSRINIRYPYALTKFCGEQLVINWAKIYSMPNVSLRFFNVYGPRSNT---------KSGYSSVFGVFLTQKFSNKPLS---IVGDGTQTRDFIHVYDLVEAMIKICKKGKN---GEIYNVGSGKETTVNSIADLI---GGKKTSI---PRRSGETDRSLADISKIQRQIKWQPKITIPEGIK------------------ 220928402 ----KVLVTGGCGFLGSNVCEYYINKGAKVISYDNLKTGFAVDKARNYNYEYQIGDVRNLEELLDNAQCDYIIHTAAQPAMTISWEDPALDFTTNALGTFNVLEAARRLKIPVACCATVHVYGNEPEAIDETYPTLEGVITPLHASKASGDIYVKAYIDTYGLEAASFRLTGIYGEKQFG-------GEDHGWVANFAIRTVLGWPITIFG------AGKQVRDILYVSDVCAAFDAF--YKTRSSGIYNIGGGTQTAISLLDCIDILEDINGKRPEVNFAPDRHGDLRYFICDISKANKELGWKPAVMPHEGIRNLMNWIKEN--------- 34810285 ----KLLITGGCGFLGSNLASFALSQGIDLIVFDNLSRKGATDNLHWEFVHGDIRNKNDVTRLITKYMPDSCFHLAGQVAMTTSIDNPCMDFEINVGGTLNLLEAVRQYNNCNIIYSSTNKVYGDLEQYKYNETTQLDFHSPYGCSKGAADQYMLDYARIFGLNTVVFRHSSMYGGRQFATYD--QGWVGWFCQKAVEIKNGINKPFTISGNG------KQVRDVLHAEDMISLYFTALANVSKRGNAFNIGGTIVNSLSLLELFKLLEDYCNIDMRFTNLPVRESDQRVFVADIKKITNAIDWSPKVSAKDGVQKMYDW------------- 136675558 LTGKTVLIAGAAGFVPSHVAELYLELGAKVIGLDNFITGNVEKLSKYDNYEFIECNVYENLPDFKGVDIDYIFSLASPASPIDFKKIPMEIYHVNTEGTRRLLELSLEKKA-RFLEASTSEVYGDPEVHPQPESNTLGPRAIYDESKRMAETLTSTYQRLYGADTRIIRIFNTYGPGMRAN-------DGRVIPNFINQAMNNED-------VTVYGDGSQTRSFCFVSDLVNAIHTVMF----SDDPTPFNCGNPDEYSIIDCAKEIIKVLGSESKIIYKDLPEDDPKQRRPVLDKLQSVSDYQPQVGFEEGIKRTAEYFK----------- 51243875 ----KIYVAGHRGMVGSAIVRRLEEMGAGEIV-------------TRTHAQLDLVDQRAVANFFEEERPDVVYLAAAVGGIHANNTYPAEFIYQNMMMEANIIHQAYLHGVRKLLFLGSSCIYPKLAEQPMAESALLATNEPYALAKIAGIKLCESYNRQYGVDYRSVMPTNLYGMNDNFHPENSHVIPAMLRRFHEAKLAGAA-------EVVVWGTGTPMREFLHVDDMAAVHVMNLDRDIYSQHTEHINVGTGLDCTIRELAETMAEVVGFAGALVFDSSKPDGTPRKLMDVSRL-ADLGWRAQISLREGLAETYAWFLSNQDNYRD--- 254558779 -----VLVTGGAGFVGANLADQLAAEGQTVLVYDALTRPGVEANLAWLTERADIRDGAEL--ALAVREAGAVFHFAAQVAVTTSMTAPWEDMEVNLGGTLTLLEALRARRGAVLLFASTNKVYGLPDVELAVEGDAYRPTTPYGCSKGAADAYVLDYARSYGLPTVVMRMSCIYGPRQMGN-------EDQGWVAHFLIRALTNRTVTLYGD------GRQVRDILHVRDAVAAYRAALARIDRAGRAFNLGGGPDNAVSLRGLIAHLGTLLGREVGIETGAWRPGDQRYYVSDTRRAASMLGLAPPLPWREGVADLAAWLT---QWLDAEAE 291294768 -----VLVIGGAGYIGSGLLPRLLERGYRVRLLDLLLFGKEPHVLHHPNLEIIQADFRQVDKVVEAMRVDTVVHLGGLVGDPACALDENLTIEINLVATRTIAEIAKGMRVRRFIFASTCSVYG-ASDLVLDERSNLNPVSLYARSKIASEQVLRQLQSDD---------FSVVILRFGTIYGLSGRTRFDLVVNLLTAKAVVDKKITVFGG-------DQWRPFVHVDDAAHAVMLAVEAPKERVHNETFNVGSNEGNMTLGMVELVKKLVPDAELI--DSGRDGDRRNYRVDFSKIRNRLGFEPQWTVEQGIQQVIE-------------- 116622123 ------LITGGAGFIGTNLADRLLTAGRNVLIYDDLSRSGSERNVAWNVEIADIRNRQSLRTAVREA--DQVFHFAAQVAVTSSLVDPLHDFEVNVGGTVNLLEEIRRDNPPPLLFTSTNKVYGGLPDTGISEERPLDFHSPYGCSKGAADQYVRDYARTFGLPAVVFRMSCIYGLHQMGN-------EDQGWVAHFLIRALEGKPITLFGDGMQVRDILFVDDLVDAFLLAQANIHTL-----AGQAFNIGGGLGNTISLLELLELIERFRDEKPIVRLKPARPSDQRYYVSDTRKFKAATGWAPKVTAREGVERLFQW------------- 261208610 --KKTIMVTGGCGMIGSNLVKRLVKEGWDVYVADNLWRGKLEYLLDTHFFNADLTDYEQAKKVIGT--TEYVVHLADVAGIDYVFKNQGELFRINNLINSNVFDCCRKAGKEKCSFPLTRQNTLNPEPLREEELFPALPESAYGWSKLMGQLEMGYLEKETGIPCCILMLHNVYGT-----------PTDFGERSQVIPALIRKAINNPEEPFNVWGSGEQGRAFIHVNDIVNALVLALDKGWGHG---HIQIGPSHCTSIKEIAYKIIEISGKDIKPFFDTSKPEGDKARCADYSKAKEILDWEPTVSLEEGLRESYNW------------- 66815085 MNNKKILITGGAGFIGSHLAIYLTKKNSKIIVLDKLDYCSNINNLGCKFYKGNILDSELLENIFEKEKIDIVIHLAAYTHVDNSFKQSIKFTENNILGTHYLLETCKNYKLKKFIYVSTDEVYGSGINQSSNEKSILNPTNPYSASKAGAEHLVQSYYKSFKLPVIITRANNIYGP----------KQYPEKIIPKFINLLLNNKKCTIHGTGKNTRNYLYIDDIVSAFDIILR---------KGEIGNVYNIGTDFEISNLDVAKKIINIMDYKKFINYIDDRPFNDHRYNINYSKLSN-LGWKKSISWEEGIEKTFIWYKNNRN------- 139955546 ------IVTGGAGFIGSHLVDRLIADNNEVTVIDNDSNGKYYWNVKANNTTFDICNYSSMRPLFDGV--DYVFHLAAEARIQPTLENPILAAQSNTVGTCTVLQCAKEAGVKRVMYSSTSSAYGLKNKTPLQEDMPNDCLNPYSVTKTSGEELCKMYTNLFGLETVIFRYFNVYGDRQ---------PLKGQYAPVVGIFLRQRDNNEPL---TIVGDGEQRRDFTHVDDIVEANIRAATYNNVVGETINV--GTGTNHSVKEIAEKI------GSEYKHLESRKGEARVTLADTKKINLLLKFIPE-------------------------- 290954996 MARIRVLVTGGAGFIGSHVVDALAEHGHEPLVFDSAVDPGA-----------DVRDGAAVLRALDGV--DAVCHQAAMVGLGTGFADAPAYVSHNDLGTAVLLTAMAEAGVRRLVLAGSMVVYGEGRYACVREDAPVDPRNVYATTKLAQEHLAAAWARATDGTVVSLRYHNVYGPRM------PRDTPYAGVASFFRSALARGEAPRVF------EDGRQRRDFVHVRDVAAANVAALEAGAAPGALTAYNAGSGEPHTVGEMAGALAAACGGPEPVVTGEYRLGDVRHITADSSRLRAEQGWKPEVGFVEGVREFAR-------------- 89092779 ----NYLITGGCGFIGSHLCDSLIGLGHKVRVLDDLSTGVVDYLLSAELVLGDVTDPAIVKGAMQ--DMDGCFHLAAVASVERSNSDWCGTHRINQQGTVNVLDAARTCNPVPVVYASSAAVYGDNATIPLDERSTPRPISAYGADKYGSELHARVAWLTHKVPTTGLRFFNVYGPRQN------PASPYSGVISIFIDRVLKGLPLKINGD------GSQTRDFIYVADVVSHLIKAM--TVNKGEASILNVCTGQSTSITQLAKTLSMVAGVELNIQYQNARTGDISISLGDPQKAHSKLKLSKRIELGEGLQFTLD-------------- 143363547 ---KNILITGGAGFIGSHFCRYIIENDENIICLDALTYAGNLDNIKDIFFKRNIVEINSLVEIFDNFKINSIINFAAESHNDRSILDPTTSAQTNFNGVLNLLELARKYKVEKFHQVSTDEVYGTTDDAFF-EEQILLPNQPYSSSKAGGELLLRAWTETYNLHTTVTRGSNTYGS--------FQYPEKLIPLTITNAIDNLEIP--------VYGKGNQVREWMHVLDHCRGIDFV---YRNGNKGEVYNLGSGYTTENINIVQSILQYLNKPMSIKYVNDRPGHDKRYFMNCDKIKN-LGFELACEFKSHLHNTIQWYLDNENWLKNDQ- 190890473 LSNKKIWVAGHRGMVGSALVRRLQSEDCTVI--------------TATRAEVNLKRQDQVEKFVEATRPDAIILAAAVGGILANDSYPAEFIYDNLIIETNLFEAAHRGGVDRLLFLGSSCIYPKLAPQPIPEEAPLEPTNEWYAAKIAGIKLAEAYRKQYGRDYISAMPTNLYGPGDNFDLNSSHVLPALIRK-------AHAAKLRKDPQIVVWGTGTPRREFLHVDDCADALVFLLKTYS---GSQHVNVGSGTDLEIIELTRLVCRVVGYEGEIIHDLSKPDGTPRKLMSNKKL-QDMGWKPRISLEDGIRATYAWFLQFENG------ 160894493 ---KKILITGGAGFIGSHLANELIKRGYTVRVLDNLSEGKNAKIPAELDERVDVRNTEDWRKALSGM--DVVVHLAAETGTGQSMYEVYHYTDTNIKHGIVYPEFRTEEDLEAKDFGMKCPICGESVSVVATEDALLHPVSVYGITKQVQEELVLTLCKNLGIPALAYRFQNVYGPGQSL------SNPYTGILSIFSTRIRQGKDINIFEDGQE------SRDFVYIDDIVAALIAGIESDRYMHES--FNVGTGKMVTVETVVNELMRCYKKTVPVHISGMRVGDIRNNYADMTKTEKLLGFRTKVDFSEGIEKFADW------------- 288962327 ---KHYLVTGGSGFIGAALVQRLVRDGHRVRVLDDNSRGHAGVLDDVEFVQGDIRDADAVRGAVRGV--DGVLHLAAVNGTKHFYEKPEVVLDVGVRGMLNVLDACRADGVGDLVVASSSEAYQTPPLVPTPEEDVLNARYSYGGSKLISELLAVNWGRSGFDRVAIFRPHNVYGPDMG---WDHVVPEFSRRAVEAVAHPGGPVPFKIQGDGRQTRA------FVHIDDAIDGIVTVI---GKGGHLGIYHVGNPEEVTIADLARRTVACLGREAQVMPGPAAPGGTDRRSPDIAKL-AALGFTPRIPLSAGLPGVVKWYADRARA------ 137885074 ----KVLVTGGAGYIGSTVCSALEDKGHTPVIIDSLITGKERYLSGRIFYKSDISEKNILKKIFDDHPDISIIHCAGLIIVPESASNPYKYYEENLIKSIHLFKNLLNLDKNKLIFSSTASLYKSSDGSMVTEESELAPQSPYAKTKLAIEMVLEDFANAYGLESIVLRYFNPIGADPKMRSGPYFENPTHVVGKLIESTNSKNNVFRITGTDWNTRDGTGIRDYIHVWDLAQAHIAAVEYFDK----------------------------------------------------------------------------------------- 143725706 -DTMNILVTGGAGFVGTNLIKRLVKDGHNVVSIDNYSTGKEENHQEGCYVKADIRDIINYDTF--MENPDVVYHLAALPRIQPSFEYPALTLEVGIMATMSILEWAREKKC-KVIYAGSSSVHSGKYK------------NPYTFSKVIGDELCMMYKKIYDVDVSVCRFYNVYGPHQLT------------EGDYCTVVGIFEKQYEEGVELTITGDGFQRRDFTHVDDIVEGIILTADCETS---YDFIELGRGKNHSINDLAKMF------DCGFTYIPERPGEARETLCDISEAKEKIGYEPKVNLEDGIKK----------------- 143530956 ---KSALITGGAGFIGSHLAEFLIKKKYKVTIIDNLSKGKISNLKKIRNKIVDIS--KNKKNIFLKKDYDFIFHLAALTSVQESFLKPNKYKNINFHSTKSFFKKINLNKTKKIIYAASASCYGNTSKKLVTEKNKIMPLSPYAKSKYNSEKFLKDFLKKRKIDFVSLRLFNVYGP-------KSQINSYTGVIDNFIMSYFKKKNLKIYSD------GNQTRSFVYISDVVRAFVKICE---SEVKNSVFNIGSSKSTTINSLANYF------NLERTYLPKKKGDIRFSKSKITKIFKYIGWKPKVNLKKGLKLTIAYKRKY--------- 140310017 ----KILVTGGAGFIGSAVIRLAITRGHTVINLDALSYSSVSDNPNYFFEKADIRDRNNLDRIFSEHRPMAVMHLAAESHVDRSIDSPSQFIGTNINGVFNMLEAARYYWTFRFHHISTDEVFGIDSDSLFNEETSYKPNSPYSASKASSDHLARAWHKTYGLPIVLTHSSNNYGP--------YHFPEKLIPVVILNAIAGKPIP--------IYGNGGNIRDWLYVEDHADALLLVIEKGQ---VGRSYNIGGNNQRTNIELARYVC---------------------------------------------------------------- 140829055 ----KLLVTGGAGFIGSAVVRLAIARGHEVINLDALSYASVADSPRYHFEQVDIRDRPALEQVFARHAPQIVMHLAAESHVDRSIDGPGAFIDTNITGTYHLLEAARSFWAFRFHHISTDEVFGTGATGLFTEDSPYAPNSPYSASKAASDHLVRAWGETYGLPVVLSNCSNNYGP--------YHFPEKLIPVVILNALAGRPIP--------VYGTGAHVRDWLYVEDHADALLRVAEH---GAPGRSYNIGGDNEMSN------------------------------------------------------------------------ 78355474 ---KTVLVTGVNGFIGSHVA-ALLGKSHRVYGT---GGAPACSVPLAGYRQMVLPDPQ-LAAFMRQVRPDVVVHCAGRGSIPFSVNHPAEDFDAGPRLVAHVLDSMRRAAVPRFFFPSSAAVYGNPERLPVSEDAPLCPVSPYGCHKVLSEKLISQYHSLYGIEYVVLRVFSCYGEGLS----------------KQLLWDAAVKACAGRVELSGTGEET--RDFIHVHDLARLAELLMLRDVS---CVTLNAASGRQVSVKELAGLLMRGLEADVPVLFGAQRQGDPLRWQADVARMQS-LGFEPHISLEEGVRRFARWFRQ---------- 90425671 -------VIGGSGFLGRHVVRALIERGDAVTVVDISPYPADKPHAAPPCRLIDLARPGDFDAIVGTA--TTVHHYAWTTVPQTANADPATDLHVNLGVTLQILEAIKRRGGGIVVFPSSGTVYGRLQCVPVPETHALAPITAYGASKAAAELYFNVYRDLHGIDARIARIANPFGPGQ-----NPRRPQGVASTITYRALAGEA--------VEIWGDGSVVRDFIHISDAVSGLLAVADAKPTSPHILPYNIGSGKGASVREIVAMVERHLGRPIAIEKKPERAFDVPTSVLDISRATTELGWRPAVELDQGIGRMIA-------------- 136283034 --SKNLLVTGGCGFIGSNFINIFYDRNINIINIDALYYCRVRNSNRYTLIKGNICSQDLLKHILEFYNIDTVIHFAAQSHVQTSFTDSLQYTKDNVIGTHTLLECCRIYNIMRFIHVSTDEVYGDITENKKTEQSLLCPTNPYAASKAAAESFVQSYIKSFNMPIIITRGNNVYGPN--------QYPEKVIPKFIQQLNSGKKITIQGKG--------DCVRGFLHINDTADAFIKILEK-GKIGEIYNIGCDEDMEISIFDLARKIHNLSKIKDSIEYIEDRPFNDKRYYISNEKLKK-MGWQITTNLDEGLKKLLQ-------------- 138785864 ---QKILVTGGLGFIGSNLVKLLLDKKYNVINIDKISYSSNSRNNKYQFIKCDLKNSKKIEKILNKFKPNCIFNLAAETHVDRSIDSPRNFIDSNIIGTFSLLESFYKYKKGKLIHISTDEVYGDILKGRSKENDQYKPSSPYAASKASSDHLVTSYIRTFNLPAIITNCSNNYGPRQ---------HPEKLIPKMIYNILNNKP-------LSLYGNGKNSREWIYVNDHCEALIKIFKSGI---VGETYNIGSNKNLNNIEICKNLLNIIGKKVRIKFIKDRPGHDKRYALNSSKLNKKLKWK---------------------------- 15077452 ----HCLVTGGAGFIGSHVAEALLSRGHRVSVLDDLSGGTAERVPEGAHFTGSVTDVELVDRLFAEQRFDHVFHFAAFAAEAISHSVKSLNYGTNVMGSVNLINAALRTGVSFFCFASSVAVYGHGE-TPMRESSIPVPADSYGNAKLTVERELETTMRTQGLPFTAFRMHNVYGE------WQNMRDPYRNAVAIFFNQILRGEPISVYGD------GGQVRAFSYVKDIVDVIVRAPETEKAWGRA--FNVGSSRTNTVLELAQAVRAAAGVPHPIAHLPAR-DEVMVAYTATEEAREVFGDWADTPLADGLARTAAW------------- 144025412 ----KILVTGAAGFIGFHVTRALLARGDYVIGLDNLNSYYDVSLKKSRLENIDLAKESELKNIFLQHSFDAVIHLGAQAGVRHSIENPNVYIDSNIKGFLNILELSSKFSVNHLVFASSSSVYGANTNIPFSESDHVHPVSLYAATKRSNELMAHAYAHLYDLQVSGLRFFTVYGP----------WGRPDMAYFLFTRAILTNQPIKIFNNGLM------KRDFTYIDDIVEGVLRVLDNPPTDGE-------------------------------------------------------------------------------------- 136796352 ----KILITGVGGLLGSRLADWIINNNYEVVGIDDLSGGYTENIHPNKFYKFNLTNLEKLKEVFKKENIEIVYHFAAYAAEGLSPFIRKFNYENNVIASSNLITCSIEYSIKRFVFASSMAVYGNKYEPPFHEDLLQAPIDPYGIAKYAVEMDLKIAFHQHGLNYTIIRPHNFYG------INQNIWDKYRNVLGIWMYQILNKESPTIFGDGSQMRAFSYVDDSVIPFWNASQNDNC--------KSEIINLGGTKEYSISDACNILINVTGQNIKPVFLEPR-HESKYAWATWDKSVELLDFKHKVSLEEGTAKMWEWAKNQPK------- 135083788 ----RILVTGGAGYIGSHTVLELHAAGHDVAIVDSLENAHPEVLARPLFHQVDIRDREGLRAAIEGEPFDAVVHFAGRKAVGESVADPLGYWSTNVGGTLTLLEELERAGVRRFVFSSTCTVYDTDLEAPYSEETPTGPINPYSRSKLAVETLLRDFAAQPNWAISTLRYFNPVGAHPSGEIGEDPNGPNNLMPFITQVAVGRREELAIFGDDYDT--------------------------------------------------------------------------------------------------------------------- 138872670 --KKNLLITGGAGYIGSHVAHSLIDRGDNVTIIDSLITGHKELIPKKAKLIVDISNENKINQIIKEGNFDCVLHFAGLIRVDESVREPKKYNDYNYEKSKVFLNLCIKNNLNKIIFSSTASVYGNSSKNFTSEEDQINPLNPYAETKLKFEKYLIEMKEKQLINYTILRYFNVAGADRKLRTGLISNYSTHLIKVACEVVVGKRAKLIINGNDYETKDGTPVRDYIHVSDLVDIHIIC----------------------------------------------------------------------------------------------- 143332274 ----RAVVTGGAGFIGSHVVDALLARGLRVSVIDDFSTGRPDNLRHVDLHEADIATPGPWQRVLDGA--DWVLHLAALADIVPSIQRPGDYFRANVDGTFHVLEAARDGGVRRLVYAASSSCYGIPDAYPTREDAEIRPQYPYALTKRLGEELVMHWAQVYGLPAVSLRLFNVYGPRSRT-------------SGTYGAVFGVFLAQKLAGRPFVVGDGTQTRDFTYVTDVAD---AFVTAAASEARGEILNVGSGATVSVNRLTELL------GGDVTHIPKRPGEPDCTFADVTR------------------------------------ 142244351 ----KVLITGVAGLLGSRLASWIIENDYEVVGIDDLSGGYTENIHNKKFYKFNLNDLETLKEVFEKEKIKIVYHFAAYAAEGLSPFIRKYNYENNLIASTNLITCSIQYNVLRFVFASSMSVYGDKYKPPFNEDMKQAPIDPYAVAKYAVEMDLEIAFKQHGLNYTIIRPHNFYG------INQNIWDKYRNVLGIWMYQILNKQNPTIFGDGSQVRAFSYVDDSIIPFWNASQKDNCI--------GEIINLGGIKEHTINDACNTLINVTGQNVKPVYLEAR-HETKYAWSTWDKSVKLLDFKHKVELEEGLTKMWEWAQKQPN------- 134748961 -----------------------------------------------------IEDQAKLANLFQAEGFDAVLNLAARAGVRYSMENPHIYLSTNAEGTLNLLECMRAKGCKKLVLASTSS-LYAGQKMPFTEDAVNEPLSPYAASKKAGELMAYSYYKLYQMDVSVVRYFTVFGP--------AGRPDMSIFRFIKWIDAG--------VPIQMFGDGSQSRDFTYVDDIARGTIAAIE---DVGYQIINLGGGRNPVSLNTIISKLEELLGKKAKIDHKPFHVADLMETWADISKAKNLLGWEPRVSLDEGLEKSVQWYVENQHWLK---- 253701148 MAG-SVLITGGAGFIGSHLADELLRHGYRVRVLDSLPDGNRPGYLDPEVEKGDVRDQAAVQRALA--GTEAVFHLAAMVGVGQSMYEIEQYTSVNNCGTAALLEAMAHAKGHRLIVASSMSIYGEGRPVATPEDKSPSLASVYALSKYDQERMALIVGECYRIPVIALRFFNVYGTRQAL------SNPYTGVLAIFASRLMNGNPPRIYEDGL------QQRDFVSVHDVV--TACRLALQVDQRQAQLFNIGSGANISVLEVLQRFRRVLNCDEPEITGNYRAGDIRHCFADISSARSILGYAPRVSFDEGLAELAGW------------- 141559823 ----------------------------------------------------------------------------------------------------------------------------------ITEDHDQNPINPYGGSKWMIETVLADYAKAYGLRSISLRYFNAAGADPDGLIGEAHEPETHLIPLVLEAASGKRPYVTIYGDDYDTPDGTCVRDYIHVEDLANAHVLALEALQQGQETKAYNLGNGNGFSIKEIIQAVERVTGLNVPVQIGPRREGDPASLIGSAETIKQELGWNPRFDLDDIIKTAWNWHQK---------- 135567706 ----KILITGAAGFIGFHLSKKLIQSKYEVFGIDNLDNYYDVNLKKNCFKKIDINQKKKINNLVVSNKIKYIIHLAAQAGVRYSITNPKIYFQSNLEGFFNILEISKLNKIKHLIFASSSSVYGDDNKFPLKEDMNTDPLSFYAATKKSNEIMAYSYANIYNLPSTAIRFFTVYGP--------FGRPDMSLFKFTKKIYKNEKISLFNKGDHI--------RDFTYIDDVVRGVFSIIKKPSNKIPYNCFNIGNGKPDKLINFIKLIEKQLGKKAKSKKISLQIGDVRKTHADIS------------------------------------- 115532424 ---KNVVITGGCGFIGSNFVNYIHDATCNFVNIDKLTQNVAESVRNSPRYKLDIKNEAAILNVFEQNEIDTVIHFAADCTSTRCYNETAEAVQNNVLSFIQFLETVRTYKIKRFVHISTDEVYGDSDEQGKVEFSRLVPGNPYAATKIAGEAYVRAYQTQYNLPIVTARMNNIYGPNQ----------------WDVKVVPRFIEIAKVRGEYTIQGSGKQLRSWLFVDDASAGLKAVCEKGELH---EIYNLGTYYEKNVADLAKTIQEEVDLPPKYKSIPDRPYNDLRYLISIEKAKNDLGWEPTTSFDDGMRHTVA-------------- 308476171 ---KNVVITGGCGFIGSNFVNYIHDATCNFVNIDKLTQNVNESVRQSPRYKLDIKNEAAILNVFEENEIDTVIHFAADCTSTRCYNETAEAVQNNVLSFIQFLDTVRKYRIQRFVHISTDEVYGDADEQGKVEHSRLLPGNPYAATKIAGEAYVRAYQRQYNLPIVTARMNNIYGPNQ----------------WDVKVVPRFIEIAKARGEYTIQGTGKQLRSWLFVDDASAGLKAVTENGRLQ---EIYNLGTYYEKNVADLAKTIQEEVDRPPRYKSIPDRPYNDLRYFISIEKAQNELGWTPSTSFDDGMRITVA-------------- 257469293 LKEKQIIVTGGAGFLGSHVIQKLLERGCK-----------KENIFIPRSKNYNLTKERDVKKLYEDFKADIVIHIAAIGGIGYSKTHPASQFYNNLMMNTLIQDLAYKNRVEKFVGIGTVCSYPKFAPVPFKEEDLWNGYPEYGLSKKMMLVQSQAYREQYNFNAIHLLMINLYGPKDNFSLESSHVIPALIRKMLKANEEN--------SDIEVWGDGSASREFIFVEDAAEAIILATEMYDGK---EPVNIGNGQEISIKELIGILANLLKFQGKIIWDKTKPNGQPKRRLDVSKAKKYFDFKAKMELKEGLNETIKWYLEN--------- 141323209 ----------------------------------------------------------------RHQDIDGVIHFAASKAVGESVENPLLYYENNINALVYILQELQQKPAANFIFSSSCTVYGQAEKMPITEDSSVQTMSPYGNTKQIGEEIITDTTKVTNINAILLRYFNPIGSHPSTEIGELPLGPQNLVPFITQTGIGLRQELSVYGDDYPTPDGTAIRDYIHVVDLAKAHVIAMQRKNNQDKVETFNLGTGTGSSVLEVIHAFEKVSGQKLPYKIVARREGDITEAYANTHKANTILGWKAQSSLEEAMASAWKWEQK---------- 136702565 ----KILVTGAAGFIGSALTLRLLERGDTVIGIDNHNSYYDPAIKEARHLRIDLADRQAIEAVFATNKPQRVVNLAAQAGVRYSIDNPLAYIDSNIVGFAHILEGCRHHGVDHLVYASSSSVYGANTAMPFSVHHNDHPLSLYAASKKANELMAHTYSHLYGLPTTGLRFFTVYGP----------WGRPDMALFKFTKAILAGEPIQVFNYGKHRRDFTYIDDIIEGVINPQWNGNLPDPGTSLAPWRVYNIGNKQPVELLDYIGAIEKALGLRAVKELLP--------------------------------------------------- 143972764 -------------------------------------------------------------------------------------CRPAEYYINNTLNTAHLIAAIVNAGIKRFIFSSTAMVYGNQDQKPMDESVILAPDNPYGASKLMSETILRDTAKAHDLSYVVLRYFNVAGADPSGRSGQLSKPATHLIKVASELVCGKRDAMQIFGTDYDTPDGTCIRDYIHISDLIGAHMVALDHLMDKGAPVIVNCGYGTGNSVRDVLASVARVSGSNMNVTEAPRRAGDAIYLVADSGLLKRKLGWVPQHDLDAIVKSALDWEGKFP-------- 134100450 ----KALVTGAAGFIGSHLTEQLLAEGHSVVGLDDLSTGRFENLPDADEFEGSVMDRGLVDELTSEVDI--VFHLAAAVGAFVIQERTIRSLLTNIHGTENVLDAALRYNA-RLLLASTSEIYGKNPKVGLREGDDRVIGSPLMEAKAIDESLTHAYVRELGLRAVIVRLFNTVGPRQTGRY--------GMVIPRMVNQALQGRPLTIFGT------GRQVRCFCHVDDVVPALVELVQ--LDKARGSAVNLGSGEQVSIAELAARIIEMTGSSSDTVRVPYEPGDMQRRVPDCSRARELIGFEPSRTLDDIIRAVIA-------------- 94970640 ----KALITGGAGFIGSHLAEKLLSRGDEVHIIDDLSTGNIQHLKSSPHYHIDITNQRLMTELVDLCDITY--HLAAAVGVRLIVESPVRTMETNIRGT-EIVLALAERKRKRVLITSTSEVYGKREHIPFREDDDLKGRWSYACSKAIDEFLAIAYWKEKKVPTVIVRLFNTVGPRQTGRY--------GMVIPNLVTQALTGEDMTVFGDGL------QARCFTHVSDSVNSIVQIAAHPNANGE--VYNIGTQEEITILDLARRIKLLTDSDSKIVFVPYEKADMMRRVPDLTKAHKLIGYRPRVALDETLRSIIE-------------- 239827092 MDRKRIFVAGHRGLVGSAILRKLKEYGYENIITRSRN-------------ELDLTKFDDVEKFFQEERIDYVFLAAAVGGILANDTYPADFLRENILIQNNVIHNAYTYGVKKLLFLGSSCIYPKYSKQPIKEDGKLEPTNEWYAAKIAGIKMAQAYSKQYGFNVISLMPANLYGPN-DNFDLKTSHVLPALLRKFHEAKVNNMDTVTIWGT------GTPRREFLHVDDLADACIFLMNNYDS---PEIINVGTGKDISILKLAQKIKEIVGFKGRIVTDTSKPDGTPRKLLDITKLNN-LGWKSKIPLSRGIEETYSWFLENKDKL----- 282898036 LQSKRILVTGGAGFLGRQVVAQLCQAG-----------ANPEKITVTRSRDCDLRVWENCQR--AANQQDIIIHLAAHVGIGLNQEKPAELFYDNLIMGTQLIHAAYQAGVEKFVCVGTICAYPKFTPVPFQEEDPEETNAPYGIAKKALLVQLQSYRQQYGFNGIYLLPVNLYGPEDNFDPKTSHVIPALIRKVHQAQINGEK-------QLLVWGDGSPTREFLYSTDAALGIVMGTRFYHQSAP---INLGTGYEISIKDLITLICQLMEYDGQIVWQTDKPNGQPRRCLDTQKAKVAFSFTAQVSFEQGLKNTIQWYRENAN------- 297570677 ----KVLVTGGAGFIGANFVHQTVETDADVVVLDKLTYAGNPASIAGLDVEGDIADRDTVDPLVK--DADVVVNFAAESHNDNSLNDPSPFIRSNIVGTFELLEAVRRHG-TRFHHISTDEVYGDDEPRRFQPGDAYVPSSPYSSSKAASDHLVRAWVRSFGIEATISNCSNNYGP---------YQHVEKFIPRTITNLMDGIRPR-------IYGTGEQIRDWIHVRD----HNTAVWAIINKGRGETYLIGADGELNNLQVTQMILEEFGRAGDFDHVNDRPGHDQRYAIDNSKLVEETGWEPSFNFRDGLHNTIEWYKTNEAWWRPAKE 136150164 ----TILVAGGSGLVGSAIVRELKRLNKDVVGI--------------SSKDVNLLDRKKTFEFINNLKPTAIIDSAAVGGIGGNNSYPVEFLSQNLQIQSNLMDAAHAAKVSKFVFLGSSCIYPKDCAQPMKEEYLLQTNSAYAVAKIAGIELIKSYRKEYGYKWISVMPTNMYGPNDNFDLENGHVLPVLIRKFIEAKRSGS-------GKVTLWGSGSPLREFLHVDDLAKAVVLCMDKYD---DSEQINIGSGHEVSIKDLASKISNAVGFNDEIIWDSSKPDGTMRKILDSSKIAN-LGWKPLISLDQGIASTVEWYLQN--------- 141349551 ME-MKILLTGGAGYIGSHVSLELLDKGHQVSIIDNLVNGSKKLLPKADFLECDIEDEKKISNFLKNNKFDLVMHFAGYTRVGESTKYPEKYYENNFEKPKKFFDVCIENKLNKFIFSSTGSVYGNVSQTNIPENYKKNPLNPYSDSKYKLENHLISLSNQNKISATILRYFNVAGADKKNRSGLITNPD-NLIKAICEVATGKRKELIVNGNDYKTKDGTTIRDYIHVSDLAEMH-------------------------------------------------------------------------------------------------- 256965530 -----ILVLGGAGYIGSHAVDQLISKGYAVVVVDNLLTGHRSAVHEATFYEGDIRDKAFLRSVFEKESIEGVLHFAANSLVGESVEKPLMYFNNNVHGTQIALEVMQEFGVKHIVFSSTAATYGEPKAMPITEETPTNPKNPYGESKLMMEKIMKWCDNAYGMKYVALRYFNVAG-------------------------------------------------------------------------------------------------------------------------------------------------------------- 218673144 LSNKKIWVAGHRGMVGSALVRRLHSENCTVV--------------TATRQELDLKRQDEVERFVQTNRPDAIILAAAVGGILANDTFPADFLYDNLIIEANIFEAAHRSGVDRLLFLGSSCIYPKFAPQPISEDAPLEPTNEWYAAKIAGIKLAEAYRKQHGRDYISAMPTNLYGPGDNFDLQSSHVLPALIRKAHVAKVTGAP-------EITIWGTGTPRREFLHVDDCADALVFLLR---NYSDAQHVNVGSGEDIEIVELARLVCRVVGYEGKIVHDLSKPDGTPRKLMGNDKLKN-MGWKPRISLEEGVRAVYDWFLQ---------- 226311341 ----KALITGVTGFAGSHLAEYLLSRGDVDVYFRSLTKKEQNLIDRVHMENCELKDPQSVNELIQRIKPDLIFHLAAQSFVPTSLSSPADTMVNNIVPQLHLFEAVRNHAVCKIQIACSSEEYGLPEEIPIKETNPLRPLNPYAVSKIAQDYLGYQYHHSYGLAIIRTRTFHHTGPRRGESYVT-----SNFAKQIAQIELGLQEPKVHVGNLEAIRDFTDVRDIVKAYWLA---------ITRGEPGDVYNISAGTRYTIEDMLKTLLALTDVQVEIHVDTNRPSDVDIVLGDSSLFREKTGWEPEISFQQTMEDLLNYWR----------- 309790433 ----RALITGINGFVGGHLAEYLLQGGWEVWGLDRSSNLNLTSLHGQVHVSADLADAEATAQVLRTVRPEVIFHLAGQAAVPESFRDPAATLATNTLGALHIFLTLIAEKPCRVIVVGTSEEYGQPEDLPLREEAPLRPTSPYGVSKVAQSLLALQYHLSHHLDLIRVRPFTHIGPRQS-----DRFVTAAFARQIARIELGLQEPVMRVGNLTAARDFTDVRDIVRGYVLLAHHGQA---------GEVYNLGSGRAIMIRDILEALLAQSHAQIAVEPDPMRPIDIPLISCDATKLHAATGWQPHYAIEATLADILNFWREYERA------ 224823814 --KKKALITGITGQDGSYLAEFLLEKGYEVHGIKRFNTQRIDHIFQDPHVEGDLTDSSNLTRIIQEVQPDEVYNLGAQSHVAVSFESPEYTADVDAMGTLRLLEAIRFEKRTRFYQASTSELYGLVQETPQRETTPFYPRSPYAVAKMYAYWITVNYREAYGMYACNGILFNHESPRRGETFV------TRKITRGLANIAQGLEPCLHMGNMDALRDWGHARDYIKMQWLMLQQDQPDDFVIATGVQYSVRDFIGHGVEEKAVVAAIEKIGDIVVAVDPRYFRPAEVETLLGDPSKAKEKLGWVPETTLAEMVKEMVAYDLE---------- 143426320 FESKRIFVTGGTGFLGGAVRRSLAERGVTDVI-------------APSSTDVDFRSHAATNSAIADAAPDIVLHLAAVGGIGANMAAPADLYLDNLLLGTNVIEAARHAGVEKTVVVGTICSYPKHTPVPFQEGSPEETNAPYGIAKLALLVHLQANRAQYGQGGAYVMPTNLYGPG-----DKFHPDVSHVIPALIRKCVLAKEAGDTEIEVWGTG--SASREFLYVDDAADGIVRAAEFYD---GDEPVNLGANRELLIKELVEVVTELTGFEGRIVWDSSKPDGQPRRSVDASRARELFGFEARTDFRDGMRETIDWYLAH--------- 143112579 ----------------------------------------------------------------------------------------------------NLINEMIKNGNTNLVFSSSAAIFGNPSKEKVGEDDLKKPINPYGQSKLMVENILYDICSAFDFNATCFRYFNAAGADESGLIGEDHNPETHLIPNILKSVLQNNSNLKVYGDDYSTPDGTCIRDYLHVNDLSNAHLLGLNKMKNIKGFSSYNLGNGNGFSVLEVIKSCERVTGSTIKYNIGPRRSGDPATLVSNSKKAIKNLGWKPVYTLDFIIESAWRWHRK---------- 136664994 ----------------------------------------------------------------------------------------------------------HQQGVRKLVFSSSATVYGDPASVPVTEEAPVSATNPYGRSKLMVEQVLADLVQADPWRIATLRYFNPAGAHESGLIGEDPRGPNNLMPYIAQVAAGRRPHLAVFGDDYPTPDGTGVRDYIHVTDLALGHLAALERLFSSGESFTVNLGTGRGASVLQAVAAFERACGRAIPTRAAPRRPGDVATCYASPAKANELLGWRAEKSLAQMCADHWRWQQMNPDGY----- 301165682 MKP-TIILTGCAGFIGSNFVNSIIRKAYNFLIIDNLTYCSIDNNEHLSFKRIDIRNPSEVAELKKIKNPHGIIHFAAESHVDNSIKNPNIFIETNIIGTLNLLNLSLKLRNFRFLHISTDEVYGSLRELAFTENHQIKPSSPYSSSKAGSDLLVKSYFHTYSLNTVITRCSNNYGP--------FQFPEKLIPKTIINGLSGMPIP--------IYGNGMNIRDWIYVDDHNRGVWA---AYTKGKSGETYNLGGECEKRNIEIAKNIKRINRSEDLIIYTEDRLGHDWRYAVDIKKSQEELSWKPKTSFPEGIDKTIEWYKENQKWV----- 150376037 -----ILVVGGSGFVGSNLADSFLRDGEHVIVLDNLSRPGVERNLEWLVETADIRDLAAIQPAFRNAK--AVFHFAAQTAVTTSLQQPTEDFETNARGTLNVLEAAREHMEMRDVQGRYMPVDEATRAHGVGEAQPLDFCTPYGCSKGVADQYVLDYARSFGLPTAVLRMSCVYGPRQFGT-------EDQGWVAHFLIRALAGEPISIYGD------GKQVRDILHVTDAVAAYRAVLKAIDGKGRAFNLGGGPDNAVSIVEVLNEIEILTGRRLSTGKSDWRAGDQLYFVADTRAIADAVGWRAGMAWREGLRNLYAW------------- 137699603 ----TILVTGGAGYIGSHFVRYLERNKIDFVIADNFSTGHKKFVKNKKFFELDLKDPEEIRANLQDLDITSIVHFAGLSIVSDSQKMEKEYYENNVLASMNLAKFAVEKKIRKFIYSSSAAVYGIPEEIPIKENHPTKPINNYGKNKLEVENLLKDLSMEFPLDVVCLRYFNAAGADDDGDLGEEHNPETHLIPN------------------------------------------------------------------------------------------------------------------------------------------ 302529550 MKGPTVLITGGAGFIGSHLTEHLLARGDEVVALDNLSTGTLDNLPGFRFVRGSITDPNAVESCMA--GIDAVFHLAAAVGVFTILDKTMDSLRTNLHGTENLLDAALRHDVPIL-VASTSEIYGKNTADGLREDDPLKNRWSYAEAKALDETFAHLYAVEHGLRTVIVRPFNTVGPRQTGRY--------GMVIPRFVTQALAGEPITVFGDGQQTRC------FCHVHDVVPALADLLADETAYGK--VFNLGSNEQTTISQLAERVIGATGSSSAITKVPDGYEDMQRRIPDCTRAYNQIGFVPTRTLDDIIEAVVA-------------- 118485066 MAGQTILVTGGAGFIGTHTVVQLLKEGFKVSIIDNLDNSVTEAVDRVKEVVGDLRNKDDLEKLFSRTKFDAVIHFAGLKAVGESVANPRRYFDNNLVGTINLYEVMAKYNCKKMVFSSSATVYGQPEKIPCVEDFNLMAMNPYGRTKLFLEEIARDIQKEPEWSIILLRYFNPVGAHESGKLGEDPRGPNNLMPYIQQVAVGRLPELNVYGHDYPTK-------------------------------------------------------------------------------------------------------------------- 304388884 ---RKILVTGGAGFIGSAVVRHIIRNTQDVVNVDKLTYGNLESLPRYAFEQVDICDRAELDRVFAQHRPDAVMHLAAESHVDRSIGSAGEFIQTNIVGTFNLLEAARAYRTFRFHHISTDEVYGDGTDDLFTETTPYAPSSPYAASKASSDHLVRAWQRTYGLPAIVSNCSNNYGPR--------QFPEKLIPLMILNALDGKPLP--------VYGDGMQIRDWLFVEDHAR---------------------------------------------------------------------------------------------------- 118469570 ----RILVTGGVGTIGSAVVRRLLHESAYEIRVCDQRPAPQWMNEACEIRTGDLRSADEARAAVDGC--THVIHLAAIVGGIANFHRLPHTLEMNTGLYNSVFSAALREGVERLVYVSSSMVFEQATQFPTTEEDCRPPRSAYGFSKLTGEIYCRAVHEEHGLPFTICRPFNAYGP---GELPDTEPGIAHAVPDLIRKALSGQHPLQIFGSGTQTRTLTHVDDIADGIVTAMFHP--------AGENQDFNISASEEHTIAEIAQMIWTACGLDPELENVPTFEVDVQRRWPSVEKARMLLGWQARVDLRDGIATTVEWLRE---------- 140329993 ----RILVTGGAGYVGSACLRHLAGQGHAVLAYDNLVQGHRAAVGAHPLVVGDIAETERLADTLRDFGAEAVMHFAAATYVGESVETPDYHYRNNVGGTLSLLTAMRAAGVERLLFSSTCATYGTGGGETLSEETPQRPESPYARTKLAVEWMIRDFAHAYGLGFTLLRYFNAAGADPSGAHGEDHDPENHLIPLVLKTALGQLPAIRV---------------------------------------------------------------------------------------------------------------------------- 297563631 ----RAVVTGGNGFVGSHLVDRLLARGDEVVVFDTRRPAPAPSWKEVEYVRGSVSSSEQLAKAIRG-GVDVVYHLAAVVGVDRYLESPMEVIDVNFTGTRNVLDL-AERAGAKVVFASTSEVFGKNPDVPWKEDTTSASRWSYSSSKALAEHLTFGYM-GRGLNASIVRYFNLYGPRQRPAF----------LVSRSLHRALRGEPPVVYDEGGQTRSFTYIDDAVEATVQIG--------TRPEADGECFNVGSSDEVTIREAVDLIVELTGGKVVPTSIDDRFGDLDRRIPDAGKIRSLLGWKSTTSLREGVARTIEWAEENPWWLEQ--- 238062666 ----RCLVTGGAGFIGSHLVESLVRNGHRVTVLDDLSGGSRQRVPAGDLAVGSVTDVDFVDSLFAENRFERVFHFAAFAAEAISHSVKQLNYGTNVMGSINLINASLRTGVRFFCFASSVAVYGHGE-TPMRESVVPVPADSYGLAKYLVERELEVTMRTQGLPFTAFRMHNVYGE------WQNMRDPYRNAVAIFFNQILRGEPITVYGD------GGQVRAFTYVGDVVNVVSRAAETEAAWGRA--FNVGSSSTNTVLELAQAVRSAAGVEHPIAHLPSR-DEVRTAYTATELARSVFGWT-DTPLAEGLARTARW------------- 136697072 ------FITGIAGFIGYHLARKLFSQGHHVLGIDSYNHYYDVSLKRSRTAKGDLTSTTFKSDWYNKNNVDAVVHLAAYAGVRYSLEFPKLYINNNIIGTQNLIEACENWNVNKVLYASTSSVMNGNPVPWDENTKLYNQKHPYGYSKVVNES-----------QFQMSNIKNSIGMRFFTVYGPWGRPDMALFDFTKNILAGNEISVFNNG--------DMVRDFTYIDDIVSGVTILLE----QSGKNIYNIGRGEKVQLMDFIHEIEKNLNKKAKIKMAPMHPADAKETWSNTDKLKS-LGYNPKVSIAEGVENFVNWYKDYYK------- 143741152 MMNK-IFVTGADGFIGSHLVELLVKKGFSVNAFCLYNSQGSWGWLDSLPVEVDIRDPLSIRSAMKGC--DSVFHLAALIAIPYSYLAPTSYINTNIQGTLNVLQTARELEINRFVHTSTSEVYGTAQFVPITEEHPIVGQSPYSASKIGADQMAISYWKSFSLPVTILRPFNTYGPRQSS---------RAVIPQIITQILNKNKEIRL-------GALSPTRDFNYVTDTCEAFLAVANSDKTIGEVLNSSSNF--EISIEKTAKLIAELMNRDIEIVQESNRKSEVNRLFGSSNKIKLLSEWMPSYGFKKGLQISIEWFS-NQKNLSR--- 255944751 ----HILVTGGLGFIGSHTTLELLKANYNVIVIDNLSNGRISLLAKKHHEEHDYRDTEALQSLLEEYQIAGVIHFAAYKAVEESIRKPLKYYSNNVSGLVDFATTLGDFGIKTFIFSSSATVYGATSGLPLKEEGCTGITNPYGRTKWMCETILADLAASDPEWTIVARYFNPVGCDSSGLLGEDPRQPTNLLPVVVKVITGQYSELSMFGTDWETEDGTAVRDFIHVSDLARGHIAALSSANEGKNFRTFNLGTGKGNSVMDVVSTMESVTEKHIPRRAAPRREGDVGACVAVVDRSTEELQWKTEKSLKDACRD----------------- 141959485 -----------------------------------------------------------------------VFHFAAESHVDNSIKDCSEFIRTNIVGTVNLLNASLKHNVEKFMHISTDEVYGSIENGSFTEKTNYDPRNPYSASKASSDHFVRAFHNTYGLPTVITNCSNNYGPRQ---------HKEKLIPQTILNLLNDKK-------IPVYGDGKQIRDWLYVQDHCEAL---IEVWKRGVVGEKYNIGGECEVQNIDLIKKIISLMGKDEMIEYVKDRPGHDRRYSTDISKITKDLNWKPRFDIDDGLTKTIEWYERH--------- 139089627 ------------GYIGSHMVKFLLSKNHEVTVYDNLSSAKSNRNYGANFKKLDLLNLKKLDKELSNSQFDAVFHFAALSIVSESEKKSKKYYNNNVIGTKNLINTMMKYKINNFVFSSSASVYGKPNSNKISENHKMKPISNYGKNKKEIEIYLNKMAKKNNLKFISFRYFNAAGADESGKIGEDHYPETHLIPKILKSINKNKNKVYVYGNNYKTKDGTCIRDYVHVNDIVKAHYYGLKKFKNKKCSLSYNIGSEKGFSVLDVIKSIER--------------------------------------------------------------- 140900000 -----------------------------------------------------------------------------------------MYWESNVTTTINLLQTMSKFNCKTIVFSSSATIYDQKNNCKIDEKQNLKPINPYGKTKLAIEQILNDYEKSKDWNIANLRYFNPIGAHESGIIGEDPKGPSNIYPSITQVAIGKSNKISIFGSNWPTNDGTAIRDYIHVMDLAEGHLVAFEYKKNKGQLINVNLGTGKGTSVLELINTFQNVNKVKIPFEYAQKRQGDYGVVVADNSLAIKLLGWKPKRTIEDMCRDGWKWQVNNPEGF----- 142622093 MKMVRVFVTGGAGFIGSHICDRILDLGHEVVCFDNLATGFKENIEHFTFIEGDIRNTELLD--IHLPNCTHVCHQAALGSVPRSIENPRRTNEFNIVGSLNVLTKAQEHSIDRLVFASSSSVYGDNADMPKFEDRTGNVLSPYAVTKSAFENYARVFNHIHGMETIGLRYFNVFGPRQS------PEGAYAAVIPLFMKALSEGERPKIFGD------GEQTRDFTYVQNAVDANILSLFGDIPDAYGKSFNIACGNTLSINEVFNKIRE--------------------------------------------------------------- 304405550 ----NCLVLGGTGFIGKHLCKRLAEENHNVRILDNIFYDNKFEFVQQDFLQID--DFSNLLD-----GIDIVFHLISTTIPSTSEIDCVFDISSNVISTLKLLKACVKMKIKKIVFISSGTVYGIPVQLPIPEEHPTNPICSYGIQKLTIENYLYYYFMQYGLDYTILRLSNPYGTGQY-------INKNQGVIPIFIDKLMKNETLNIWGDGKII------RDYIYIDDAINGIISTINYT---GKYKIFNIGSGEGMTLLEVVDSISEILGCKYNINYLDARKIDVPVNVLDVSRAEDNLLWKGRVTFEEAI------------------- 310780095 ---KTYLITGGAGFIGANFLKYMLKKKINIIILDKLTYGNLENIKDNSFIKGDICDSDMVGKIFQQENIDYVVNFAAESHVDKSIENPGIFLKTNIMGTQVLLDAAKEHWSFKFVQISTDEVYGDFGKDFFTEKTSINPRSPYSASKASADMLVRAYHETYHIPVNITRCSNNYGP--------YQFPEKLIPVIIKNIIKGK--------KIPIYGNGRQVRDWLYVEDHCRGIDMVI---NNGRDGEVYNIGGFNEEQNIDIARIIIDKLYCVIKITFVKDRLGHDVRYAIDPNKTVKELGFYPETDFSLGIEKTIIWYLENWNVFS---- 182415783 ---QRVFVTGAAGFIGSSLVDRLLADGVRVVGWDDFSTGQRRFLPGFQLHEGDNLDLPALTR--AMAGCDTVLHLAANADVRFGTEHPSRDLQQNTVATFHVLEAMRANRIKRIAFSSTGSVYGEAVVIPTPEDAPFPQTSLYGASKVAGESLIQAYAEGFGFEAYIFRFVSILGERYT----------HGHVFDFYRQLLDHPDHLNVLGDGLQRKSYLYVQDCI---DAILHVLHAGTATHAKHRTQVYNLGTPEYVRVNDSIRFICSALGLQPELRYGGDRIGDNPFIFLDTAKIQA-TGWKPKLTIEAGIVKTLRWLQQN--------- 239908527 -----IFVAGHRGLVGSAIAKVLAAKGHDV-----LTRTHRE---------LDLTSQADVRAFFAAAKPAAVLAAAKVGGIHANSAYPADFIRDNLLIQTNVIDAAYKSGAQKLVFLGSSCIYPKFAPQPMPESALLETNQWYAIAKIAGIKMCQAYRRQYGFDAISLMPTNLYGPGDNFTPVNSHVIPALMRRFHEAKTRGDA-------AVTVWGTGKALREFLHVRDMAEAAVACFERYDDE---EIINIGTGQEIAIADLARLMAKVTGFAGNIVFDPSRPDGTPRKLVDISRLKA-LGWTPTISLEAGLAETYQWFLDNIES------ 136397298 ----KILVTGSAGFIGFHLCK-LLSKKNTVVGVDIINNYYSTKLKRDRLKEVDISNKKKLEKVFKKIKIDVVINLAAQAGVRYSIDNPDDYTKSNLIGFSNILEMCRRYKVKHLLAASTSSVYGNKKDLFLSEDDTDTPIQYYAATKKSNEVMAHSYSAMFNLKVSMLRFFTVYGP----------WGRPDMALFKFTKNILENKPIEVFNYGNHIRGFTYV-------DAISFAIEKLIKDKNKKKYEIYNIGGSESIKLMDFIKIIEKKINKKAKVKFLKLQPGDIISTKASLKKINKYLGYK-EINIQKGVSNFVDWYKSYYK------- 241518317 -----ILVTGGCGFIGRHVVEELLDNGYEVRVLDALIDGDAETAPAAEIVRGDVRDKTAVERALLGA--DGVIHLAAEVGVGQSMYEIARYVGGNDLGTAVLLEAMIGRRPRRVVVASSMSVYGEGRPVATDEEKPVDLASIYALTKYAQEKQVLIFGEAYGVEAVALRLFNVFGAGQAL------SNPYTGVLANFASRLANGQPPMIF------EDGEQRRDFVHVRDVARAFRLALEKPDAPGHVINI--GSGQAYTITEVATLLADAMGVPEIRIMNKARSGDIRNCFADIAKARELLGFEPRFKLENTLGPFADW------------- 261218595 --------------------------------------------------------------------------------------------------------------VKKLVFSSSATVYGDPDKLPITEDQPLSATNPYGRTKLVIEDMLRDYNSDNSWAIAILRYFNPVGAHESGLIGEDPKGPNNLMPIIAQVATGRREKLNIWGNDYPTPDGTGVRDYIHVNDLAAGHLKAL-KKLDKPKCFAVNLGTGQGYSVLDVIKAFEHVSNREIKYEIAPRRPGDVAECYADPGFAKKFLGWSAEKNLREMCQDMWNWQSKNPNGYE---- 148256985 LAGKRVFVAGHRGMVGSALVRRLVRENV--------------ALMTVPRSEVDLRDQAAVFAWFARAKPQVVFLAAAVGGIVANNTLRAEFIYDNLAIATNVIHAAHVNGVEKLMFLGSSCIYPKLAAQPLREDAMLEPTNPYAIAKIAGIKMVEAYRSQYGADFINVMPTNLYGPG-----DNYHPEYSHVVAALIRRFHEAKRSDAAEVVVWGTG--TPRREFLYVDDMADACLHLMKTFSA---PELVNIGTGEDITIADFARVVAAAVGYRGRISFDPSRPDGTPRKLLDVSRL-AALGWRATTPLEEGIRLAYQAFLR---------- 73667592 ----KILVTGGAGFIGSHLTDRFVEKGNRVTVFDNLSSGKMEFIEDHTLIEGDLLDQDVIEE--ACRGIDLVCHVAANPDVRLGASDTRVHLNQNILATYNLLEAMRKNHIKKIAFTSTSTVYGEASIIPTPEDGPLIPISLYGASKLACEALITSYSHTFDMQAWIFRFANIVGPRSTHGITVDFIQKLQKNSDM----------------LEILGDGKQEKSYLHVSECVEAILFLIENSDEKVN--IFNIGSEDTISATEIGKVVMEEMGLSNVKIIYTGWKGDVPRMKLGIKKMKS-LGWKPVYTSERSIRDAAR-------------- 143366635 -RDRSVLVTGGNGFLGRNVVRVLRDAGARV--------------AAPRRTEADLTEPGVAGALIAAHRPTHVLHLAAVGGIGYNQVAPAPLYLDNLLMGTHVIEAARAADVEKTVVLGTVCMYPKYTPVPFREEAPEETNAPYGIAKKALLVHAQVNARQYGQRFAFVIPTNLYGPG-----DKFHESVSHVIPALIRRCVEAKESGADKVAVWGTG--TASRDYLYVEDAARAIVLAAELHD---GLEPLNLGNNREVTIRETVETIARLVGYRGELVWDATRPDGQPRRRVDASRAEAALGWHATTDFEDGLRRTIEWYLAH--------- 135819883 -------------------------------------------------IEGDICDEQLLHNIFNKYKFDGIFHLAAESHVDRSIDGPKEFINTNIIGTFNLLQASREYNAFKFIHISTDEVFGDGKDGYFDEKSSYKPNSPYSASKAASDHLVRSWGKTFNVPVIITNCSNNYGANQF---------PEKLIPLMIINCLDWKK-------LPIYGNGENIRDWLYVED----HCIALETIFSKGEGETYNIGGSNEIKNIDIVENICDIMDELKPISFVDDRPGHDKRYAVDSSKLQNTLKWKPRESFQSGIKKTIAWYLNNEDW------ 16264379 MMAQSILITGGAGNIGSALTRALVKLPQTVVVADNLSTGSRDKVQIDTVIRSDANDFDDISSLFYRFHFTHVFHFAAVVGVQRTLANPLLVLR-DIAGIENVLRLCKNTGAERVYFASSSEVYGEPFEIPQNENTPLNSRLPYAVVKNLGEVYLRTYEREFGLPFTIFRFFNTYGPRQS----------------EDFVLPRFLRAALLGVPLTIYGDGSQTRTFCYVDDTVDTCIAVHRTRSHENDVINV--GSDLEVSIRQLAEIVIGVLGSSSKLEFLPPTEGDMTRRCPDTSKMKALLN-RPLVPLEEGIRRLAE-------------- 136904734 MNKKNILVTGGAGYIGSHTLVELCNSGYNPIIVDNLCNSSYDNITGADFYEVDCTDFEQMNKVFKEQDIDAVIHFAAFKSVEESVRHPDKYFSNNIGSLETLIDLMNSHNVNNIIFSSSCTVYGTPEFLPVNEAPFGKAESPYAETKQLCEKLI----QKSAINSISLRYFNPVGSHPTSLIGDCSDKPNNLVPIICEVASGKRESMQIFGNDYNTPDGTCVRDYIHVVDLA----------------------------------------------------------------------------------------------------- 136370801 -------VTGGAGFVGNNIVKLLVSQGHQVIVIDNLHTGKMKNLEGIKFEKTDIRNFKELELILK--DVDGIFHQAALTLVQESFNKKEEYFDVNVIGTENIFQIAKKFKI-KVVYASSSSIYGNCKQVPIKENSERKPINPYGETKLECELLAEKYIRE-GLQIIGLRYFNIFGKGQTGSYAGVITQ--------FMRKLNEQKSPIIFGDGL------QIRDFIHVEDIANANLKSMMSNTNSG---FYNIGTGKGTKIINLAKIMIKIFNEKFEPIFEEPLIGDVKISQADITLTKK--------------------------------- 19852115 --------TGGAGFIGSIVVEQLVKQGEQVVVFDNLSLGHREFIRDAAFVHADLNDRAAIDQALETHQPEAVMHFAAYSLVGESMKFPFQYIGENVTNFLNLLQSMIAHDVKKIILSSTANLFDDPERMPIVESERIVPGSPYGESKFICERMLYWLDQIHDLNYAALRYFNAAGASKER--GEDHTPSLHLIPIVLQVALGQRERVSMFGGDYDTADGTCVRD------------------------------------------------------------------------------------------------------------- 88808200 MRN---LITGGAGFLGSHLTDHLMKSGEEVICLDNYFTGRKSNIQWMGHPDFELIRHDVTEPI--KLEVDRIWHLACPASPIHYQFNPIKTAKTSFLGTYNMLGLARRVGA-RLLLASTSEVYGDPEVHPQPESNPIGIRSCYDEGKRIAETLCFDYKRMHNTEVRVMRIFNTYGPRM--------LPDDGRVVSNFIVQALKGEPLTLFGD------GSQTRSFCYVDDLIDGMIRLM----NSDHTGPINIGNPDEFTIQELARMVRDRINPELKIINKPLPEDDPLQRQPVISLAIQALAWTPTISLATGLDRTIA-------------- 269926279 ----KILVTGAGGFIGHHLVKSLKEQGHWVRGVDI---KHPEYEPSPADEFL-ILDLRRWENCLATKDVEYVYNLADMGGMGFISSNHARILHNNILISTHMIEASRVNGVDRYLFTSSACVYPEYRQQPLKEEDAYDPQDAYGWEKLVSERLCIHYHDEYGFNTRIVRFHNIYGPLGTWDGGREKAPAAICRKVAIAKLTGNP-------EVEIWGDGEQTRSFCYIDDCI----VGMQKIMMSDYHLPLNLGTDRLVTINQLVDIVADIAGIKVIKKHVPGPQG-VRGRNSDNTRIRQVLGWEPQISLEEGLRRTYEW------------- 156152091 MKEGKVVMTGGTGFILSQVAEKLIEMGKDVTLFDNNEQHNMEEKDNFHFVQGDTRDKAAVEDVIK--DAETVYHFAALMGTSSRFKQEVETVEVNVIGTLNVLQASLDTGVKYYVH---------PPRPPLSVW-----LTPYIISKGAQTQFTQMFHKVYGLPTIGLNIQNCYGARERAILNPNTYRPGEGRKFMASAIIAALKNEPI----PVFGDGEQSSDWVHIDDIVEALVL---APCDAAVGQVMDFGVGESITINKIAQIVIEMTKSKSKIEHLPMRTGEAKHTKADNAPAKEYLGWEPKIDLREGLKRTIPYFAK---------- 134341968 -----ILVTGAAGFIGSHLCRSLASAGFDVIAIDNLPDSYSARVKQAQAREITFLEYDVRRPIPQWRGINGVINLAAMPGLTRSWEQFDLYESCNVTAVQRLIAASLENGVEHFIQISTSSVYGRLAEG--TETGPTEPVSPYGVTKLAAENLVIAYGRTFGLPYSILRYFSVYGPGQRPDMAYHIACE----------QILANRPLNIFGDGRQTRSNTYVYDIVQATMLVLRHGPLGEAVNVAG---------SESISLLDAIEILEDALGRPAILNFKPARPGDQLHTRGDGTRIFDTIG------------------------------ 138572742 ----------------------------------------------AKFINCDISDNKKINSLLENDNFFLVMHFAGLTRVDESIKHPEKYFEYNFEKAKIFLKSCINNNLKKIIFSSTAAVYGNPNESNVKEDSKLNPLNPYSVSKLKFENFLIDESKKNDLNYIILRYFNVAGADEKLRSGHISKVSTHLIKVACEVATGKRKDLVINGDDYDTYDGTPVRDYIHVSDLAEIHFLSCKYLFDNSDSHIFNCGYGKGYSVKEVIDNFNEILDKKISFKTGPRRDGDSKMVVADPDKFNNFFSWKPKFNLKYILKTAYEWEKK---------- 134299254 -KHSKIYIAGHRGLVGSAIKRRLEQLGYTNLV-------------YRSSKELELRNQKAVEEFFCAEKPAYVFLVAAVGGILANNTYPAEFIYDNLSIQTNVIHTSYLHSVKKLLFLGSSCIYPKLTPQPMKEEGKLEPTNPYAIAKIAGIKMCQAYNRQYGTNFISVMPTNLYGPNDNFDLENSHVLPALIRKFH-------EAKTKIQPAVTVWGTGTPKREFLHVDDLADACVFLMEHYQ---DSEIINIGTGQDLTIKELAELVKAKVGYQGEIVYDNTKPDGTPKKLLDVSKLKS-MGWQAQIPLKEGLVGTYEWYQKN--------- 136381276 ------------GFIGSNVIDELIKS-FKVVNLDLKPSKNSEI----EQIIGDIRDKELVEQVV--EKCDAIIHLAAQVSVPLSIDYPQKTFDINVEGTQNIIDAAHKFGIKRLIIASSAAVYGEVSNLPLKEESAGQCLSPYAQSKWKNESQIM-LARDDGLEAVALRFFNVYGPGQSTN------GAYAAVIPKFVEMLTSGKKPIVYGDGL------QSRDFVHVKDLIRAIENLLQCDWNLVDGHAYNLASQSQTTILEIIDLINNSIAKTVGPNFEQSRKGDIKHSFADISKITSTLNWKPSTELSQGIEELVR-------------- 85860464 ---KCCCVIGGTGFIGSFVVRALLRKGRHVIVVARNEVPTRSLPDNVEYVPGDFGDKYFIRGILRGV--DEIIDLAYATVPKTSYDNPIQDILENLPPLVNMLDVASALNLEKIVLISSGGVYGHSLNTPINEEHPTNPISPYGITKLAVEKYARMFHLTHDLPVVCVRPGNAYGETQKPFIGQGFIAAAIASILCGLELTLYGESGTV-------------RDYIHVEDIAEGIVAAL---LKGPPGSIYNIGSGEGRNNRDILDALQPLAGLEVKLKTLPLRKFDVPVNVLDSSRLSWDTGWTMRIPFEDGIIRTWNWYRDN--------- 72160573 ----KALVTGGAGFIGSHLCDYLTSQGHQVTVLDDLSTGSKENLPNFEFVEGSILDTALVDKLVGSC--DTVFHLAAAVGVHTIVDKPLESLRVNLHGTENVVEAAARHGA-RIMVASTSEVYGKNDADGLTEDSPLKSRWSYAAAKGLDELVAYVYGKETGIPTVIVRFFNIVGPRQTGRY--------GMVVPRFVSQALANEPITVYGD------GTQRRCFGSVFDVVPAVVKLMDTPA--AYNQAVNLGGMEEISIRGLAERVIELTGSSSTIEYIPYEKADMRRRMPDTSLAKKLIGYEPTRRLDDIINSIIE-------------- 134442161 ----KILLTGGAGYIGSHAAIALLEDGHKVTVIDNLSTGNKKLIPSANFVNCNINDVTTISEILQKEKFDALMHFAGYIEVEESVSNPKKYFDNNTKNSKILFDTCIKNNLKNIIFSSTAAAYGNPVNEPIKESTDLKPINPYGESKIQSELHLK---ENSQCNFIILRYFNVAGADPKMRSGLISKKATHLIKIASEVAVGKRKDITIFGNDYPTPDGTAIRDYIHVSDLADVHVKAVEYLVSKQQSNIIN--------------------------------------------------------------------------------- 135478478 ------------------------------------------------------CDVSKLKQVLNEFNPDFIVHFAGLKSVSESEEKPDLYMHNNVGSTQNLLENMKPEC--FLIFSSSATVYGNNPKQPLTEDSQINSTSIYGQSKIESENLIKDYAFYKGVKSICLRYFNPVGSHKEAIVVEDYSNPSNLMPKLIEAVKSGKNIIDVYGKDYDTKDGTGERDYIHITDLVEGHIAAMDKVQKIGNIEFFNLGTGQSTSVVELVSIFNKTNGFNIITNFVDRRKGDVAICYSNPNKAHNELNWKAKLNLERMCKDAWE-------------- 136047045 LENKNILVTGGSGFIGSHLVDRLIENGNSVIVIDNYSTGRTNNNIKAVYHDADLCSYEKLVEILHKYKIEVVYHLAASADVFLSINNPERVLEINVLASVTLIRACQKMKVNKFVFASTSAVYGEPEYLPVNDCHKTNPISPYGLSKLNFEQYLKYFSSYSDINITIFRLPNVYGPRQRS--------------------------------------------------------------------------------------------------------------------------------------------------------- 134510911 ------LVTGGAGFIGSNLVDRLLDRGDMVVVVDNETANTHEETYWNDNVKMDVNDKAFNNAVTN---IDCIFHLAADISIPYSIENPVATYANNVHGLLNVLETARKNNIKKVIFSSTAAIYGLTDKVCV-ETDAPDPLNPYSVSKLAGEQLMKMYHDLYGIQTASLRYFNVYGPRQSNTGQYAPVVGIFQKQKENNSALT------------IVGDGKQTRDFVHVYDVADANILLAESDA----TGVYNVGTGVEYSVNQIANMISDV-------------------------------------------------------------- 12044970 ----RVAIVGGIGYIGSCFASFIKEQNDKVTVIDNNKNNHVIKLLKKIGIEFDLLDRHKLTEVIAAIQPDVVFHFAAKTSVSESVHNPLKYFDCNVIGTLNLISAISNQKPIKLFFASSAAVYGQTTNSYISEETETQATNPYGLSKFLDELILNAVAKNSQLQVVCLRFFNVAGAILP---FGNFNGNTTLLIPNLVKAFLKQTPFFLYGNDYATKDGSCIRDYIHVYDICNAHFWKWLNDHRQIKFETFNLGSGIGTSNLEVIDIAKKVYPSRLNLEIRPKRSWDPAILVANVAKAKQTFQFKITRNLKDMISDERNFYENFYN------- 135126804 ----KLCVTGGAGYIGGHTVHALIDAGHDVVIFDNFSSGRAEVVPDGPVVTGSVTDSGALDEALSG-GVDGVIHFAGFKHAGVSVDKPLLTYEENVVGMMRLVEAMERNDVGSIVFSSSSAVYGDVSEPVLFEDAPKRPASPYGESKLIGEWILRNQGIATGIKHCSLRYFNVVGSAVPGIYDTSPYS----LLSMVFTALHNGETPRMFGTDYDTPDGTCIRDFVH---------------------------------------------------------------------------------------------------------- 135566335 ----KILITGVAGFLGSHLAEKLSAEGHNIVGIDNMMGGYEDNIPKNIFHNTDCCDFEKMG--LYMKNIDVVYHCAATAHEGLSVFSPYEIGKNNFLASVAIFSAAISNKVKRIIFCSSMARYGS-QKTPFHEDMEPKPVDPYGISKVASEDILKNLCELNNIEWVIAVPHNIIGP------KQKYDDPYRNVVSIMINRMLQNKAPIIYGD------GEQKRCFSYIDDCIKCLVPMLD--QKNLNRQIVNIGPDEEFVTINKVSQICSITGSNLKPIHRKDRPREVKHATCSADKARKLLNYKTSTDLKTGIEKTFNYIKK---------- 116753599 LRDMSILVTGGAGFIGSHLVDALIKHN-DVTVIDNLSTGKREYLRAHEPIEADLLDRLEVYDAVK--DKDIVFHLAANPSVAVGETDTRVHLEQNALTTYNLLEAMRRARVRRIAFTSTSTVYGEAKIIPTPEDGPLKPISLYGASKLACEAMISSYCHTFDMQAWIYRFANIVGERGNHGVIVDFIRKLRANP----------------NELEILGSGAQRKSYLDVRDCVDAMIHCVEHADEQVN--IYNIGSQDTIDVREIADIVVKTMGLDGVRYIDGRWKGDVKLMCLSTDAIRA-LGWRPRLSSREAVARA---------------- 136034031 ----RVYVAGNTGLVGSAIVRMLHRKGYTNI----FST---------PSSHFDLRRQEDVERFFKNNEPEYVYLAAAVGGIVANRDYPAHFIYDNLMIQSNIIHAARKYGVKKLLFLGSSCIYPKMCEQPIKETGPLEPTNDAYAAKIAGIKMCQAYRKQYGFNAISLMPTNLYGPKDNFDLETSHVLPAMIRKFHDSKEKSEHWVVKLWGDG------SAMREFLHVDDLAEACFTCMKSYDS---PEVINVGTGEDVTIKELAETVADVVGFRGEIEWDTSKPNGTPRKVLNIDKIKT-LGWEAKTSLYEGIKSTYEWFKDY--------- 166365731 LSEQRIVVTGGAGFLGRQVVNQLIAAG-----------ANPEKITIPRSKDCDLRVWENCQRLADEE--DLIIHLAAHVGIGLNREKPAELFYDNLMMGTQLIHAAYLAGVQKFVCVGTICAYPKFTPVPFHEDDPEETNAPYGIAKKALLVQLESYRLQYGFNGIYLLPVNLYGPEDNFDPGSSHVIPALIRKVYEAQQRGDK-------QLPVWGDGSPTREFLYSTDAAQGIVMASQFY---NESDPVNLGTNSEISIKDLVELICDLMGFDGEIVWEIDKPNGQPRRCLDTTRAREKFGFVAQMEFKEGLQKTIEWYRQN--------- 170742172 -SGKRVLVTGSAGFLGSWTVRTLRESGALVVGYVRDLNAYGNSLADDIVVHGRLEDRETLRRAVNEHEVDTVIHLAAQPIVGTALRDPVGTFEANIRGTWNLLDACRYGKVERILVASSDKSYGSSDVLPYTEDMPLVGRAPYDVSKSCTDLLARSYFETYGLPICITRAGNFFGGGDLN----------------FNRLVPGTIRWALRGERPVLRSDTMIRDYIYVRDVVAGYLAIGEAMHEPGAGEAFNLSNETPLSTMAFTHEILRACDLEPLVLGEARSEIDAQHLSA--AKVRRIVGWSPRWSMADALAETVAWYRNY--------- 135521356 --------------------------------VDNLNDYYDVSLKNHDFYKIEIQDYKSLESLFNKYKFKRVLHLAAQAGVRHSIENPSIYIHSNIHGFLNILEACRHNNIEHLVYASSSSVYGLNQLHPYNENHSVHPVSLYGATKKSNELMAHSYSELYNLPTTGLRYFTVYGP----------LGRPDMSPMIFADSIINEKPIKVYNNGKHKRDFTYIDDVVDGTIKILDKIPISDNKWSSAPWRIYNIGNNQPVELLKYIECLEKYLGKKAIKEMVPLQPGDMQSTFADVDALKDIINYQPKIDLDEGIKNFCNWYKDYFK------- 136766305 MTRSTILVTGGLGFVGSHACLALLLAGYDVVVVDNLHNSDLSVLKKIDFYHCDLRDDLKLNEIFDKVNPDFVMHLAGLKSVSESFDNPLEYYSVNTAGTINVLRAMTNVGCNAIVFSSSATVYGIPEYLPCDEKHPTNPTNPYGKSKLICESIIKDWVSVKETRSSVMRYFNPVGAHESGLIGEAPQQAANNMPVLLDVVSGRRSKLEIFGNDYDT--------------------------------------------------------------------------------------------------------------------- 135416980 -KRKIILITGGAGFIGSHTVDYFLKKNYEVRSIDNLSGGNIEHLRNNKLFKLEIADILNLNKLRNFIKCEQIVHFAGVGDIVPSIENPKKYFENNVQGTVNLLNNINISKVKKFVYAASSSCYGKAK-TPTSESHKINLLYPYASSKYFGERVCFHWNKVYKLPVNSIRIFNAYGTRSRT------TGAYGAVFGVFLRQLLAKKPLTVVGN------GNQKRDFLYVTDVAEAFYKASVTSLN---NKIWNLGSGNPQPVSKLVKLLKPVGTVKI-----PVRPGEPFITYANIKKIKKELKWKPKISFEEGVSKIIK-------------- 143930837 --NNKIIISGAAGFIGYHLAKNLIDAGNQVIGIDSLNDAYDEQNEEFIFQEMNLCEPLSMNRLHSDFQANYFYHMAARAGVRQSFLEPYSYVLDNTVATTNVANYCKTSEISSLIIASTSSIYGDSGENLMKEDEKLKPPSVYASTKLSGEILAKTILDGSDTNVIIPRFFTVYGP--------YGRPDMSILRFIHWVING--EKVQVFGDG------EQRRSFTYIDDVVEALIKLIDLNS----SDTFNIGSNNTVSLNRVIEIIKQKTKIEPIITYKERALKDPDVVKPDLDHIKECLGWEPSTLIDDGVEKTVAWYLEN--------- 142022889 MKGKRVLVAGGAGFLGSHLCERLVSDGHDVLCVDNFYTGNIAHLLDAPTFELMRHDYVEVDEIYNLACPASPVHYQ---------RDPVQTTKTSVHGAINLLGLAKRVKA-RILQASTSEVYGDPDVHPQHEQNPVGVRACYDEGKRCAETLFMDYHRQYGVDVRIARIFNTYGPRM--------HPADGRVVSNFITQALADAPLTVYGDGRQT------RSFCYVDDMVDALIRLMNEPGDA--SEPVNLGSDAEIAMLDIAREVVRIVGATVAIEFRPLPSDDPRQRRPNLDAAQRRLDWRATTPLATGLARTVRYFI----------- 143304230 -RNRVVLVTGGNGFLGRNVVEAFTESGANVIA--------------PTRQQADLTVPGVALELFKRHQPSHVVHLAAVGGIGYNQVAPARLYLDNLLMGTNTIEAARSSGVEKTVLLGTVCSYPKFTPVPFREESPEETNAPYGIAKKALLVHAQVNAQQYGQRFAFLIPTNLFGPG-----DKFHPDVSHVIPALIKKCVEAKEQNLDKIEVWGTG--SASRDYLYVKDAARAILLASELHES---TVPLNLGNNREITIRETAETIARIVGFSGELVWDATRPDGQPRRRVDASRAERELGWHASTKFEDGLRETVQWFLANRQ------- 143302949 ------LVTGVAGFIGSNLVDRLLEMGHEVVVIDNEYSDAHDHFYWNDNYKYDIRDYANTRPLYDGV--DYVFHIAAEARIQPAIENPIQAVSINSVGTCTVLQCARESGVKRVMYSSTSSAYGMNESPNI-ETQPEDCLNPYSVSKVNGEKLCKMYTNLYGLPTIIFRYFNVYGERQ------PVRGQYAPVIGIFLRQRAAGEPLTIVGD------GNQRRDFTHVSDVVKANVMAISNPDQEAFGQVYNVGTGTNHSVNQIARQISDNT------VNIPPRPGEARYTLANTKKITKTFGWSSSVKLED--------------------- 135758626 ---KNCIVTGGAGFIGSNLCYKLVELGHKVTIIDNLSTGIKTNLKKINFKKLDISKIQDLDEV-SFNNIDWVFHLAGIADIVPSIQKPDKYFQSNVLGTLNVLEKSKTAKVKKFIYAASASCYGIPDNFPTKETEKIKPEYPYALTKNIGEQLVMHWAEIYNMPNISLRFFNAYGPNSRT------TGAYGAMFGVFLAQKLNKQPLTIVGD------GNQTRDFIHVYDLVEAIIKIADH---KKINEIYNLASGHETSVNYIANII------GGDTVKIPKRPGEP--------------------------------------------- 220925443 ----RIMVIGGNGFVGRPLSR-LLSAEHEVCVLDTLRYGGGEELSRLKVVLGDITDPDEVAAAVAAFRPEAIIHLAAIHYIPECEQDPGLAVRVNVAGTVNLLSA--CPPGCRFVFASSGAVYKADTRPHTEDGSAVEPSDIYGFTKLHGEHYVRYMAGLRGFPAVVVRLFNVIGPGETN----------------PHLMPEIVAQLKAGYSRIALGNLTPKRDYVHVEDAARGFMAALAGEVARGACVTVNLGTSCAYSVDEIVRKLRQISGLDFAVASDPARIRDRPVLAADIGRIRTLFGWEPARSIDAALADLWQ-------------- 241258859 LTRKRVYVAGHRGMVGSAIVRRLASEGCE--------------ILTSTRAEVDLRRQDQVEAWMSKHRPDAVFLAAAVGGILANATYPADFLYDNLILQANVIHAAHRTDVEKLMFLGSSCIYPKFADQPIVEDSSLEPTNEWYAAKIAGLKLCQAYRKQHGRDFISAMPTNLYGPGDNFDLGSSHVMPALIRKTHEAKVSEQQ-------EICVWGTGTPRREFLHVDDCADACLHLMKTYSAES---HVNVGCGEDITILELAYLVSKIVGFEGKITRDLTKPDGTPRKLLSVDKLRS-LGWSPKIGLKEGIADAYRSFLD---------- 220920476 --SQSILITGGAGFIGRAVARALVARGDRVRVLDSLIDGRPDDLPDADLRRGDVRDPAAVAQ--SLIGIDKVIHLAAEVGVGQSMYAVERYVSVNDLGTAVLFQALIERPVQRVVVASSMSVYGEGKPVPTPEWKRPALASVYALSKYAQERLTLMLAPAYGMEGVALRLWNAYGPGQAL------SNPYTGVLAIFASRLHNGAAPVIFEDGH------QLRDFVQVDDVAQAFLLALDRREAAG--QVYNIGSGEYRSVREVATLLARAMGRTDIVIAGKMRAGDIRHCIPDIGKAKAELGYAPRRDFADGLAELAAW------------- 143880946 --KMNIMVTGGAGFVGTNLIKRLLKDGHNVVSLDNYSTGKKENHQEGCYHECDIRDV--IDFGYFMEKPDVIYHLGAIARIQPSFKSPANTLEVGILGTMNILEWVREENKPRVIFAGSSSVHSGKFK------------NPYTFSKVVADDLCLLYGKHFGVDVSICRFYNVYGPHQLT------EGEYCTVVGIFERQYQNQEPLTI------TGDGEQRRDFTHIDDIVDGLIL-------SSESETCYDEIELGRGNNYSINQIADMFNTKT--EYIDARPGEAKETLCDIQIAERLIGYKPKRNIEDYIKEVI--------------- 136043263 ----NIFVTGVAGFLGSHLADEFLSRGHRVTGVDNLIGGYKTNVPRAEFYELDLANLEELTKAMR--DSDIVYHTACTAYEGLSVFSPSLIAQNTFQISVNAMVAAIKSGASRFVHCSSMARYGALEQTPFTEDLECKPQDPYGISKYAAELMLKNLADVHGIDLVIAVPHNIIGPRQ------KYDDPFRNVASIMINLMLSGRQPIIYGDGTQIRCFSDIRDDIPTLAEFAFNDKAVGQIFNIGPDE-------EPVTVLQLAETIANRLRFNLDPTFVKGRPQEVKHATCSSNKIREYFGYETKYSLTDSI-DFMAEYIQ---------- 148265237 -KDSKIYVAGHRGLVGSAIVRKIEAEGYKNIVVRTSS-------------ELDLRNQAAVADFFHKEKPEYVFLAAAVGGIVANNSFPAEFIYDNLMIQTNVIHHAWLNGVTKLLFLGSTCIYPKLAPQPIKETGPLEPTNEAYAAKIAGIKMCQSYNRQYGTRFVSAMPTNLYGPN-DNFDLETSHVLPALIRKFHEAKMGNGERGTGNGEKHQWGSGTPKREFIHVDDLADACLFLMTLPEEQYRSLLINIGSGEEVTISELALRIKEIVGFAGELVFDSTKPDGTPRKLSDVSRIHAI-GWKHKINLEEGLRNVYRWYLK---------- 142839614 MDSRRVYVAGNTGMVGSAIVRMLHRKGYTNI----FST---------PSKHFDLRRQEEVERFFQVNQPEYVYLAAAKVGGILANRDYAHFIYDNLMIQSNIIEAARKFGVKKLLFLGSSCIYPKQCPQPIKEEGPLEPTNDAYAAKIAGIKMCQAYRKQYGFNAISLMPTNLYGPNDNFDTESSHVLPALIRKFDDGKDVVDHDLGGPFTPSIRWGDGTPRREFLHVDDLADA---CFTAMVKYDGDEPLNVGTGEDIEIGALASLISGIVDFRGGIIWDTDKPNGTPRKLLDVSKIKA-LGWEPKIGLEEGIKSTYEWYKE---------- 170781972 ----RVYVAGHRGLVGSAIVRRLEAEGFTDVV-------------GRTSAELDLKDRDAVFAFFAAEEPVHVVLAAAVGGILANSTYPVDFLSDNLRIQVNVLDAALAHGVDRLLFLGSSCIYPKLAPQPITEDSHLEPTNDAYAAKIAGIMQIQAVRRQYGLPWLSAMPTNLYGPGDNFSPQGSHVLPALIRRYDEARASGAE-------SVTNWGTGTPRREFLHVDDMAAACLHLLEHYD---GPEQVNVGTGTDVTIREIAETIARVVGYEGRTEWDTSKPDGTPQKLLDVSKLADA-GWTSSIGLDEGLRSTVAWYREHIETLRE--- 159900259 ----RVLITGITGPVGSFLADYLLTLPDLEIHALKRWRSDPRPIEHVTFHEGDIEDAFAMATLLRRVQPERIFHLAAQSYPSASWDAPVTTLRANTEGTLNILEAVRVTVPQRLHIACTSAQYGNPADVPIKESHPLRPGSPYGVSKAAAEMLGLQYHQSYGLHVVVTRSFNHVGPRQG-----DRCSIQTFCQQMAAIEAGQSEPVIHVGN------LEPQRDFSHFSDVARALWLLLEK---APPGEVYNLCSGVATRIGDIVELVRRFGRVPIEVRLDPLRPVDEPILQGDNSKLRQATGWEPQIGMEQIVQEVLDWRERY--------- 21637117 ------LVTGGFGFVGSHVVERLVRRGDEVVVYDLADPPPDLEHPPGRHVRGDVRDADGLAA--AATGVDEVYHLAAVVGVDRYLSRPLDVVEINVDGTRNALRAALRAGARV-VVSSTSEVYGRNPRVPWREDDDRTDRWSYSTSKAAAEHLAFAFHRQEGLPVTVLRYFNVYGPRQRPAYVLSRT----------VARLLRGVPPVVYDDGRQTRCFT--------WIDEAAEATLLAAAHPRAVGECFNIGSSVETTVAEAVRLAGTVAGVPVAAQTADTGAGDIPRRVPDCGKAAALLDWRARVPLVTGLRRTVEWARRNPWWTAQ--- 142429801 -KSRTVLVTGGNGFLGRNVVDAFKSAGATVIA--------------PTHKQADLTLPGVALELFKKHKPSHVVHLAAVGGIGYNQVAPAPLYLDNLLMGTHTIEAARIAGVEKTVLLGTVCSYPKFTPVPFREESPEETNAPYGIAKKALLVHAQVNAQQYGQKFAFLIPTNLFGPG-----DKFHPDVSHVIPALIKKCVEAKEQNLEKIDVWGTG--SASRDYLYVKDAARAILLASEMHES---TEPLNLGNNREITIRETAETIARIVGFTGELVWDSSRPDGQPRRRVDASRAERELGWHASTDFEDGLHETVKWFLANRQ------- 303276442 ------LVTGGAGFIGSHLVDLLLRLGYRVRVLDNLSTGSRANVPGGTREEEDAIDASD----DGPYDVAVVFHLAAMSSLNASATNMTAFVENNVVGTENVRAAKRAGTVEKVVYAASSTHYGVPHEETIPFSSGEAASSPYALTKHMGEELCALYDAFYGLPTTSLRLFMVYGPRQPT------DGEYATVTGKFLAMKRRGEPLRVEG---AFRDGSQSRDFVHVSDVARAFALAAQTPAANGLSVNVGSGTALSV------SALADMVGGER--VAAAPRVNDLRATLASTCRAKEVLGFETTRDFRDALR------------------ 300709880 LEDSRLLITGGAGFIGSHLSEQLLAAGNEVVILDNFANSEPGDVPEAELVEGDVTDLAVVNEAIDS-DIDGVFHLAARKSVNDEWPRQQ--FEENTAMTYNILKAMDHSDVSEIAFTSSSTVYGEAPRPTPEDYAPAEPISVYATSKIADESLLSTHAHTHGMRVWTFRFANIVGPRLRGAV----------IPDFVEKLRANPETLTILGN------GRQEKSYMYIEDCLDAMSHIIEHTDQPVNT--FNLGTRTTTSVNQIADIISDELGVDPKYEYERGWTGDIPKMCLSIEKL-TGLGWDVELESDQAVRKT---------------- 143896876 ------------GFIGSNLIDLLLEKKYSVINIDNFYNTKDHKKNKKYKFIKCDIKDKKLKKILFKYKPTCVFNLAAETHVDRSIDNPESFIQSNIVGVYNLLECFKDYTKNKLIHISTDEVYGDILYGRTSENYPYQPSSPYAASKAASDHLVSSYVRTYKIPAVITNCSNNYGP--------KQHPEKLIPKLIYNILNNRPLP--------IYGRGTNSREWIYVKDHCEAL---LKVFLKGKIGEFYNIGSNKNLNNLEVCSKLLKISGSNVKINFVKDRPGHDIRYALNSNKIIKNLGWKPKVNFADGIKLTFEWYNKNKTYFKN--- 170747743 -----VLITGGAGFIGRHLAAELLRRGYRVRVLDSLESGADALPSDVEFQAGDVRDGAAVARALS--GIDRVVHLAAEVGVGQSMYAVERYVSVNDCGTAVLFQQLIDAPVRRVVVASSMSIYGEGRPIPTPETKRPALASVYALTKYMQERLTLTLAPAYGMEGVALRLWNAYGPGQAL------SNPYTGVLAIFAARLHNGQPPMIFEDGA------QRRDFVHVEDVAQAFALALEHPAAAG--QVYNVGSGQDRTVSEVARLLARAMGREEPEVTGQARLGDIRHCIADIGKIQRELGYAPRRDFAEGLAELAAW------------- 140333169 -------------------------------------------------------------EIFKKIKPNIVIHLASIAGVRYSIENPQTYVDNNISGFINLLEQSKQVGTKRIIYASSSSVYGLNKSVPFSEDDVIETNSPYACSKYAMEVFAKTYEQLYDIDTIGLRFFTVYGPH----------GRPDMAPYKFLYNIKNGIPIKKFGDGLTTRDYTYIDDIVNGIITASFCDKINHYIYNIGNS--------NPVSLNKFIETCENVCNKKAQIEEHPMPLGDVPHTYANIEKAKEDFQYEPKTSLEEGLKKTYEWMVK---------- 143044027 ---KNILVTGAAGFIGYNLTKNLIDNKDTVTGIDSLNNAYDNDLKKLRLKNLEINNNFTFRNIIEGSNFDCVIHLAARAGVRQSFREPKKYILDNTISTANLAGYIKKEGIRKFLIASTSSIYGDSGESPMKEDEKYPPPSVYATSKLSGELMAKTILDGTETCIQIPRFFTVYGP--------YGRPDMSILRFIHWIFTGT--------DILLYGDGNQKRSFTYVDDVVSG----LITLILSNESGVFNIGSNKTVSLNEVILLIENEMNIKSKINYQPRAFKDVDVVIPNLNNMKN-LNWNPTTSIDKGISKTIKWYLEYAEELKN--- 222056322 MTCGSVLVTGGAGFIGSHLGDELLRHGYRVRVLDSLAAGRPEYLSEIELIKGDVRDPDAVKKALEGM--DAVFHLAAMVGVGQSMYEIERYTSVNNNGTAVLMEAIVHSRVEKVVVASSMSIYGEGWELYNDEGEHLVPVSVYALSKYDQEKMSIIVGNAYHIPVVALRFFNVFGTRQSLSNPYTGVLAIFASRLLNSNC------------PLIFEDGLQQRDFVSVYDVVQACRLALE--VPQESCQVFNVGSGTSHNILEVLEQLAQLLQCEQIKITNNYRAGDIRHCFADITAAQNLLGYRPRVSFEEGLTELAEW------------- 136905927 ------------------------------------------------FYKCDLEDRKAIFSIFEKHKIDAVCHLAAQAGVRYSLENPLAYINSNIVGFQNIIDAVKENEIYNFCYASSSSVYGGIKEQPFKESMSVDPISLYAATKKSNELVAFNYSHLFGIKSTGLRFFTVYGP----------WGRPDMALFKFTKALFEDKPIDVYNYGKMVRDFTYIDDVVEGVVRVIKSPAKNSFNPSKAPYKIYNIGNNNPVNLMDFIQAIENEVGKKFKINLMEIQLGDVPSTYADITNLMKNLDYKPNTSIEKGVKNFIDWYKYYYK------- 144092825 MMNKNIIVTGGLGFIGSNLIDLLISKKYSVINLDKVSYYNLREHKNNTRYKFIKCDLNSILNILLRYKPICIFNLAAETHVDRSIDGPENFINSNVFGTFNLLEAFKIFHNSKLVHISTDEVYGDILKGRSDENYSYKPSSPYAASKAASDHLVYSYIRTYKIPAVISNCSNNYGP--------KQHPEKLIPKLIYNIINNKELP--------IYGKGKNSREWLHVND----HCIALFKVFEKGKGEFYNIGSNKNLNNIQITKALIKIIGNNVKIKYIADRPGHDQRYALNSNKIKKKLKWKAQIKFDKGLKKTFLWYLNNKKYYSS--- 280959751 -----VFVAGHRGLVGSAITRRLRGAGIDVI-----TRGR---------EELDLTDTVAVSRFFDEVRPGAVVLAAAVGGIMANATQPVEFLENNLHIQLNVISSAWRAGTEKLLFLGSSCIYPKFAAQPITEDAPLEPTNEAYAAKIAGITQIQSYRREYGAHYVSAMPTNVYGPGDNFDLETSHVLPALIHRFHLATERGD-------SEVTLWGSGTPRREFIHVDDLADACATILEKYDDPAP---INVGTGTDVTIRELAELVADVVGFTGTINFDPAKPDGTPRKLLDVSKL-EGLGWKPSISLRDGIESTFAWWK----------- 209552272 LAGKRVYVAGHRGMVGSAIVRRLASEGCE--------------ILTATRAEVDLRRQEEVEAWMSKNRPDAVFLAAAVGGILANATYPADFLYDNLILQANVIHAAHRADVEKLMFLGSSCIYPKLADQPIVEDSSLEPTNEWYAAKIAGLKLCQAYRKQHGRDFISVMPTNLYGPEDNFDLGSSHVMPALIRKAHEAKINGQQ-------EICIWGTGTPRREFLHVDDCADACVHLMKTYSAES---HVNVGSGEDITILELAHLVSKVVGFKGKIRRDLTKPDGTPRKLLSVDKLRT-LGWSPKIGLKEGIEDAYRSFLDYLERSSNE-- 140578981 -----------------------------------------------------------------------------LKSVSESVIKPIDYWDVNLLGTKNLIEVMAKYDCYKLVFSSSATIYGSTTSFKISEDHNINPQNPYGHTKAAVEDFLIDYSSNNKWSFAILRYFNPVGAHSSGHIGEDPFGPNNLFPFISQVAIGRLEKLLVFGNDWPTTDGTGIRDYIHVIDLAQGHISALEKLLENNKQIKLNLGTGKGYSVLEVLETFSKVSGIDIPYEVTNRRSGDTAKNVADPSLAQKILGWESKRTLFEMCKDSWNWQTKNPNGY----- 140912932 --------------------------------LDNLN-----ELSNFEFQKVDFINNKELKPIFENNQIDQVIHLGAQAGVRYSILNPQIYIDTNITGFLNILENSKNYKIEHIIYASSSSIYGINKKIPFSEDDKTEQISMYGVSKKTNELMAHAYSNLYGLKTTGLRFFTVYGP----------WGRPDMAYYIFTKAIIKNESVDLFNRGDQARSFTYINDITEPIHKLVKINYLGRDIL--GSHEIFNIGGAKQIKLLRFIDIIEKYLGKKAKINLKPMQQGDVKETNANIEKLEKITGYLPQTNIEEGIEKFIDWYMDYHQ------- 300113802 -KSKKIYVAGHRGMVGSAIVRNLEERGYSNII-------------TRTRQALDLLDQKKVFAFLEQTRPDYLFIAAAVGGIYANNIYRAQFIYENLVIQSNLIHGAYLAGVKRLCFLGSSCIYPKKCPQPIREDSLESTNEPYAIAKIAGVKMCESYNRQYGTGYISVMPTNLYGPHDNYDLNNSHVLPALIRKAHEANLRGDKK-------WVVWGTGTPRREFLYVDDMANACVLLMEKDIPGG---LFNVGTGVDVTIKALAEIIMEVVEFQGTIVFDSSKPDGTPRKLLDISRIQA-LGWHPQVDLREGINKAYQDFLN---------- 134825423 ----------------------------DVIILDKLTYAGDMDNLYYPVKGVDISYEIRLEELFKQYKPKNIFHFAAETHVDNSIKNVAPFVDTNVIGTLNLLNLSVKYDVELFHHISTDEVYGNLTDPPFTEDSPYDPQNPYAASKASSDHFVMSYHNTYGLPIIITNCSNNYGPR----------QHLEKLIPKTINNILDRKKIPVYGKG------ENIRDWIYVEDHCAGL---LGIHHAGDIGQKYNIGGECEMTNLNLIKLIIKLMNAENLIEFVVDRPGHDLRYGIDNAKIYKTISFQPEYNIEEGLKKTIAWYEKNRN------- 224539530 ----KVLVLGGNGFIGSHIVDFFFAAGNEVAVLDIAYEKFREPLSGVKYYIGNYGDKSLIDVALRGV--DLVVHAISSTIPVTSNENPIYDVQSNLINTIALLHECVNKSIKRIIYLSSGAVYGTVKSLPVNEEHVLFPQSSYGIVKLAVEKYLYLFNHLYGLEFNIIRPSNPYGARQNP-------YGTLGSLSVFLGKISRKENIQIWGDGSV------ARDYIYVSDLVKAIYS---AAITEHTNQIYNIGSGKAYTLNQLLIKIRDVLKIDFNVQYMPSRPCDVPNIFLDCQLANKNLNWYVEIPLEEGILRTWDFIKK---------- 189912965 MANK-VLVTGGCGFLGSHVCELFRKEGWDVVSFDNMTKYELKRTGYGTMVKGDIRNLEHL--MDRSADCDYIIHTAAQPAMTISWEDPELDFSTNVIGTFNVMEAARKHKIPVVNTSDKTSYVREPVEIPVTHPTMVGQISPLHASKMSAEHYVRSYTDMYGVKAASFRFTGIYGERQFG-------GEDHGWVANFAIRSVFGLPLRIFGTGKQT------RDILHAEDGAKSYLEFFKNPI--PGVYNIGGASPHKISLLECIYLIGEILGKKQEILFEVERPGDMRYFICDISEAKK-FGFNPKILPKEGVTRLLKW------------- 253701147 ---KAVLVTGGAGFIGTNLVQHLVAQGERVILYDNLSRAGVEKNLLWLMDNGDTRNSLLLEQAVSEAK--QVFHFAAQVAVTTSIDNPGNDFSVNAQGTFSLLEAIRKKTPPSLLYTSTNKVYGAIEGHGLGEETPLDFLSPYGCSKGCADQYVLDYARSFGIAAAVFRMSCIYGPHQYGT-------EDQGWVAHFAIQTMKGEPITLYGDGCQIRDLLFVEDLVDAMCRARDIMPRI-----AGQAFNIGGGPARTISLLELLDLLRELHGGLPTILRDDWRTGDQRYYVSDTRKFCKATGWTPRHSVAEGVRRLYDWLLE---------- 170079418 LSQKKILVTGGAGFLGQQVIHQLIQAGAQP---GNITVPRSSS-----------CDLRRLEACQQAVQGDIVIHLAAHVGIGLNREKPAELFYDNLMMGTQLIHSAYEAGVEKFVCVGTICAYPKFTPVPFKEENPEETNAPYGIAKKALLVQLEAYRLQYGFNGIYLLPVNLYGPGDNFKPESSHVIPALIRKVYEAQRDGVK-------QLKVWGDGSPTREFLHATDAAQGIVLATQKYNEAAP---INLGTNTEISIKDLVELICELMAFDGEIIWEADKPNGQPRRCLDTQKAKEKFGFEAAIGLRQGLQETIDWYCQNPE------- 189220406 ------------------------------------------------FIQADISDQKRMEQILFEEKIEAIVHFAAESHVDRSIDDPGLFVQSNVVGTYKLLESAFVYFRFRFIHISTDEVYGPMDGAPTKEGGLYAPSSPYSASKAASDHFVAAYYKTYGLPALITHSSNNYGPRQ---------HPEKMIPHMICNALEEK-------QLPVYGQGLNVRDWIYVEDHCEGVWKLL---LKGRPGEVYHIGKGGGISNIELVKKICALLDSAELIRFVADRPGHDLRYALDVSKMKREIGWQAQVDLDRGLEKTLFWYVENRDWVQS--- 310332295 MSKQRIFIAGHRGMVGSAIRRQLEQRGDVELVL-------------RTRDELNLLDSRAVHDFFASERIDQVYLAAAVGGIVANNTYPADFIYQNMMIESNIIHAAHQNDVNKLLFLGSSCIYPKLAKQPMAESETLEPTNPYAIAKIAGIKLCESYNRQYGRDYRSVMPTNLYGPHDNFHPSNSHVIPALLRRFHEATAQNAPD-------VVVWGSGTPMREFLHVDDMAAASIHVMELAHEQPMLSHINVGTGVDCTIRELAQTIAKVVGYKGRVVFDASKPDGTPRKLLDVTRLHQ-LGWYHEISLEAGLASTYQWFLENQDRFRGE-- 138275074 ----KVLVTGGAGYIGSVISEVLLSRGYEVAVFDNLSQGHRAAVPAADWIEGDLSSPHDIHHAIDSHRPGAVMHFAARSLVGESINQPFTYLRDNVVNGLNLIEACVAGGVERFILSSTANLFGTSSAALIDEQQPIVPGSPYGESKWALERALDWVSQTKGLHFASLRYFNAAGASEER--GEHHKLETHLIPLVLQVAAGHRDYITIFGDDYDTPDGTCVRDYIHVLDLAEAH-------------------------------------------------------------------------------------------------- 139032419 ----KLLVTGGAGFIGSAVIREAIGRDIQIVNIDSLTYAACLDNLKSIFEKADIRDRDLIDVIFEKHQPDAVMHLAAESHVDRSIDSPNDFIETNVLGTFNLLEASRNYWHFRFHHISTDEVYGLKSPEKFTEETSYDPRSPYSATKAASDHLVRAWHETYGIPVVLTNCSNNYGP--------YHFPEKLIPVVIIKALAGDTIP--------VYGNGDNVRDWLYVEDHANALLLVLEKGEI---GRSYNIGGENESSNIELVKMICEILDSK---------------------------------------------------------- 143360952 -KNSKIFVAGHRGLVGSAIVRTLQERGHNNIV-------------TKTRNEVDLVNQVQVEEFFKSETIDYVFDAAAVGGIHANDCYSAEFIYENIQIQTNLIHYAWKYGVKKFLFLGSVCIYPKYAEVPVKEESYLEPTNEAYAAKISGIKMLQAYNKQHGFKGVSLMPSNLYG-----IGDNFHPDNSHVIPGMITKFVNSDGKNVTF-----WGDGTPMREFLYADDLADACLFAMNHFE---KGELINVGSGENVTIKDLANTIANVVGYTGDIEWDTSRPNGTPNRPLDCSKMNQ-LGWKPKYTLHEGLQKTHAWFVN---------- 135955607 ---------------------RLIEDGVRVIGVDSLNDYYDLNLKEARLEKGDITENIFLKEIFEIYKPSKVINLAAQAGVRYSLINPDSYYNSNLIGFGNILKNCIKYKIEHFVYASSSSVYGGNTKLPLSEKDPDHPVSLYAATKRANELIAHSYSHLYNLPSTGLRFFTVYGP--------WGRPDMALFLFTKSMIKGEK--------IDVYNNGNMIRDFTYIDDVVNSIIKVLNKPPRKAPHKIFNVGNSKPIKLLDFLKVLEEILCVKANINYLPMQSGDVRATESDTTILEDYINYRPNTPIENGIKEFVKWYKEFYK------- 116623719 -EESRIFVAGHRGLAGSALVRALQRSGYR-------------NLLLRSREELDLMDQPAVFRFFEIERPEYVFLAAAVGGILANSTFPVDFLRDNLAVQANVTEAAFRFRVEKLLFLGSSCIYPRMAAQPISETGPLEPTNEWYAAKIAGIKLIQAYRKQYGMKGICLMPTNLYGPGDNFDLASSHVLPALLRKFHEAKLNGAD-------EVVVWGSGKVLREFLHADDFADAAVHLMLHYDS---AEIINVGTGEDLTIAALAELIGKVVGYPGRITFDATKPDGTPRKLLDVTRLRAA-GWRARITLEEGLQSTYEWYLE---------- 142204854 ----KLLVTGGAGFIGSAVVRLAVARGHQVVNLDALTYANVAPVAESPFQQADIRDRAALDAAFAAHQPDIVLHLAAESHVDRSIDGPADFIDTNITGTFNMLEAARKYWQFRFHHVSTDEVFGSLPADPFTEATAYDPRSPYSASKAASDHLVRAWFETYGLPVVLSNCSNNYGP--------YHFPEKLIPVVILNALAGRALP--------IYGDGSNIRDWLYVEDHADAL---LLVATKGALGRSYNIGGENERTNLQLVNTICAILDR----------------------------------------------------------- 134803636 MQNMSILVTGCAGFIGFNLCQYLKSHSDKIYGIDNLNSYYDVNLKKNRIKILDIKDKNKLSRFVQDNSIKIIIHLAAQAGVRYSISHPKSYVDSNIIGFFNILEVSRKNKIKHLIFASTSSVYGYSDKFPLSENDNTDPVSFYAATKKSNEVMAYSYSSLYKIPCTGIRFFTVYGP--------YGRPDMALFKFTKSIIEDKKIELFNDGKHV--------RDFTYIDDVSNSIIKLITKIPSKIPYNIYNIGNSKPEKLKSFLNEIEKNLGKKSRIISKKLQKGDVYKTHANIDKLVKRIDFKPVVKIKTGIKKFIEWYIDYYK------- 260598541 MKKQRIFVAGHRGMVGSAIVRQLEQRDDVELVL-------------KGRDELNLLDSAAVNAFFADAALDQVYLAAAVGGIVANNTYPADFIYENMMIESNIIHAAHLHNVNKLLFLGSSCIYPKLANQPIAESETLEPTNPYAIAKIAGIKLCESYNRQHNRDYRSVMPTNLYGPHDNFHPSNSHVIPALLRRFHEATQENAAD-------VVVWGSGTPMREFLHVDDMAAIHVMELDREVWQENTEHINVGTGVDCTIRELAQTIAKVVGYKGRVVFDASKPDGTPRKLLDVTRLHS-LGWYHEISLEAGLASTYQWFLENQHRF----- 258516017 ---KKILVTGGAGFLGSHLCEKLLTEGYQVRILDSFASGRESNLKNIQKVRGSISDESMVNE--ACCGVDAVIHTAFPMTIRERDLNSGLVSDV--LTGFFNVLKGALNNNALLVYISSIAVYGNQLYTPIDERHPLEPVLLHGALKLSGENFCRVLTKSHGLRAVILRVADIYGPRNTRI----------SVPIRFLRQSLKNELISVYGD------GTQSRTYTYVGDFTDAVAKVLSVPAVEGEILNLSADW--SISMYELAQMVRDITGSKSEIRMLKDAPVEDRKLLIDNRKIKQFLDFKPMFSMRQGLFLTVEWLKENPDFYS---- 108758844 -EGGRTVIFGGAGFIGSNLADHYLSAGRTVRVVDNLTRPGVVHNLRWLQARADVRDAHAVKQ--SVVGASEVFHFAAQGAVTTSLETPVTDFEVNAGGTLNVLEALRAMERPALVFTSTNKVYGCTPGVEFVEDCPVDFESPDGCSKGAADQYVLDYARAYGLRTVVFRMSCIYGPRQFGT-------EDQGWVAHFLLCMLEGRPLTLYGDGKQVRDILDVGDLVRALGLAQQHIGRL-----KGQAFNIGGGPSRTVSLLDLLGLISQRTGLRPALQFEDGRTGDPRYYVSDPRKFQAATGWAPQVGIAEGVDRLHDW------------- 140618490 ----KILVTGGAGFIGSAVVRQGIHEGHIIKNIDKLTYAACVENLNSICEEIDICNGSALKKCFNKFMPDAVMHLAAESHVDRSIDAPSAFIQSNIIGTYELLEASRNYWVFRFHHISTDEVYGLGKSGLFTESTPYDPKSPYSASKASSDHLVRAWHKTYGLPVIITNCSNNYGP--------FHFPEKLIPVVILKAISGEKIP--------IYGKGDNIRDWLYVEDHANAL---LTVIMNGKVGRTYNIGGENEATNLDLVKKICTLLD------------------------------------------------------------ 144144063 -----VLVTGAAGF--AHRAERSLAAGREVLGVDNLSDYYNVALKRARLVKVDMSDAGAVYSVFAEARPRIVANLAAQTGLWYSLDNPRACGRVNLSGFLNVLEACGAVDVHRLVHASLGSVYGGNTKLPFSEDAADHPVSLYAATKRANELMAHSYAHVFGLPATGLRFFTAYGP---------WGRADMAYYRIFAEAILADRPITLFNHGHKERDPTYLGDVVDAVERLLPRPPQPDQTFDRGPHRVFNAGNPRREPISALIAMLEAELGATTRRISAEMAPGDVLVTLADVSALTEAVGYAPKVSLAEGLAMFVKWFKA---------- 256389920 ----RVLVTGSAGFIGSHVVEALVAAGHEVEGLD-LVDGE------------DVRDAADVRRALRGV--DAVCHQAAKVGLDRTVGDAPDYVSHNDFGTSILLAAMAEAGVRALVFASSMVVYGEGRPGLVGEDAPLDPRNVYAASKVMTEHLAASWVRLSDVRAVGLRYHNVYGPRL------PRDTPYAGVAALFRSALMRGEAPAVF------EDGGQRRDFVHARDIATANVAALEWAANVDGREHYNVGSGTPRTVGEMAAELARAMDGPAPVVTGGYRLGDVRHITASSARIRDELGWRPRVEFADGMAEF---------------- 94970522 ---RSALILGGAGFIGSNLASWLLQNTAKVHIFDNLSRFGVRNNLDWQITVGDVRDAAHVERVVRHA--TEIYHFAAQVAVTTSISDPRHDFEVNLGGTVNVLEAARKSDNQPFFFTSTNKVYGDFGAEDLSETQPLDFHSPYGCSKGAADQYVRDYARIYGLNTVVFRMSCIAG-------QQQFGNEDQGWVAHFLYSALRGAPITIYGNGKQVRDVLCVDDLVRAIDLARQLPASSE-----GRIYNIGGGAENALSLLELMDLVKSVTGHGCDVTYDAARPGDQLYYVTDFAKFKRDSGWQPEISPEGTLKKIYDFYKKN--------- 142479267 IENKKILITGGLGFIGSNLAKKLVNLNNQVTIVDSLNLRNIEEVKDKISIDLDIRDKNSMCEIVKNH--DYLFNLAGQTSHLDSMENPFTDLDINAKAQLSILEACRKNNPIRIVFASTRQIYGKPKYLPVDEKHPLHPVDVNGINKIAGEQYHTLYQEVYGISSSVLRLTNTYGPRMR------IKDARQTFLGIWIRNLLEGKPIKVFGD------GSQRRDYNYIDDLLD--AFLLVATSDHSVGKTFNLGARNPLSLKETAKIMCEQIEGASYEMIENRKAIDVGDFICDYTAFRNQFGWEPKVNLK---------------------- 78485858 -----IVVTGGAGFIGSNIVKALNAQGRTDIVVDNLKNG-KKFINIADCDIADYLDKEDFQRIFAEEDIDCVFHEGACSATTE--WDGKYMMDNNYEYSKDLLNYCLNRKIP-FLYASSAAVYGDGP-TFIEERQYEKPLNVYGYSKFQFDQYVRRILPLAESQVAGFRYFNVYGPR------EQHKGDMASVAFKLHNQVLAGEKLKLFGAYDGYEAGMQTRDFVFIEDVVNVNLWFME---NPEQSGIFNLGPAAAEPFKHIADAVIDFHGQEIEYIPFPDRLKGAYQTQADNTRLRDA-GYKPFHTVSEGVQKYLRWLSDNPRVLD---- 71279076 MTTKKVLITGITGQDGSYLAELLLEKGYEVHGIDHIYQDNHEKNQKFFLHYGDLTDSSNLTRILKDVQPDEVYNLGAQSHVAVSFECPEYTADVDAIGTLRLLEAIRFEKKTKFYQASTSELYGEVQEIPQSETTPFHPRSPYAVAKMYAYWIVVNYRESYGMYACNGILFNHESPRRGETFV------TRKITRAIANIAQGLESCLYLGNMDALRDWGHAKDYVRMQWMMLQQEHPDDFVIATGKQISVREFVGIELTFLDEVARVTKIIGDDIMVKVDPRRPAEVETLLGDPSKAKEKLGWVPQITVEEMCSEMVA-------------- 141550462 --------------------------------------------------RVDLVDAHATAAAVKQADPDLVMHLAAESHVDRSIDGPRAFLENNVTGTFNLLQAVSQHWGFRFHHISTDEVFGLGAEGRFSEVTAYDPRSPYSASKAASDHLVSAWHHTYGLPVVLTNCSNNYGP--------WQFPEKLIPVVILKALAG--EPIPLYGDGANVRDWLYVEDHVEALLLAATRGRLGESYCVGGAG---DHGSASERSNRDVVETICRVLDRARLITTVADRPGHDWRYAIDASKITRELGWTPRHSFEQGLETTVRWYLDHQDW------ 138094521 ----KILVTGGLGFIGSHVVVKLQEEGFDVVIIDNLSNSDIKVMITPAFEQLDLREKAAVSGFFKKYDIGGVIHFAASKAVGESVENPLLYYENNIGTLVYLLQELQKKEQTSFIFSSSCTVYGQADQMPITETAIKKAESPYGNTKQIGEEIIQDTCKVSNIKATALRYFNPIGAHQSALIGELPIGPQNLVPFITQTATGQRAQLSVFGDDYPTPDGTAIRDYIHVVDLAQAHVTALKKLLENGQ-------------------------------------------------------------------------------------- 147668800 -----VLITGGCGFIGSHLADALLGQGFKVRVLDNLSNGSLENLKVCDHINGNLTNTNLLDSAVKGC--EAVFHLAAHANVQNSARDTSIDLENNTLATHNLLESMRKNGVGRLMFASSAAVYGESGLTVLDEDGPLLPISLYGASKLAGEGLVSAYSHLYGLKATMFRFANVVGSRRRNGVIYDFVNRLKKDPAALS----------------VLGDGSQSKPYLHVSDCVAGILLGFEKSTKT--LGLYNLGTPDSVSVRDIACMVASEMGLKNCYSYEGWR-GDAPQVRFDISRICS-LGFKPRFSSLQAVKLAIKETLK---------- 134626715 ------------------------------------------------------------------------IHLAGLKSVSESIEKPLLYYENNVTGSINLLKAMDAVKCKNIIFSSSATVYGKPRYLPIDEKHPCKPYNTYGFNKFIIEEMIKDWCKDNNKKGVILRYFNPIGAHASGLIGENPFGPNNLMPYITQVALGNLDRLKIYGDDYETTDGTGVRDFIHVVDLAKGHLAAINFLEKCKDVEIFNLGTGLGHSVKEVLNSFQKVSGISVKHEICPRRSGDVAISYTDVKKSKKMLEWESSLSLNEMTKDAWNWQSKNPEGYK---- 119873257 ----KVLVTGGAGFIGSHLVDRLVEEGYEVVVVDNLSSGRRENV--NPQARLHIADLKDPDWAV-GVSADIVFHFAG---NPEVRAEPRVHFEENVVATFNVLEWARLSGVRTVVFASSSTVYGDAKVLPTPEDYPLEPISVYGAAKAAGETMCATYARLYGVRCLALRYANVVGPRMR------------HGAIYDFVMKLRKRPEEL----EVLGDGTQRKSYLHVEEAVEATLRAWRKFEEMGEPYALNVGNVDSLTMLDVAKIVTETMGVAPVIK-VGREPSDCMNSFLSIEKISKLAGWRPRLSSTESVRKAVE-------------- 136435737 ------------------------------TVLDSLTYAGNLANLDPVREHGDILDTDLVNDLVA--QSDAVVHFAAESHVDRSINGSREFIMTNVVGTHTLLEAARTSHLGKFVHVSTDEVYGSISEGSWDEQCPLLPNSPYSASKASSDLLVRSYARTHKLNAVTTRCSNNYGP--------YQFPEKVIPLFVSNLIDGKNVPL--------YGEGNNIRDWLHVDDHCNGIHKVLEGGRA---GEIYNIGGGTELTNKELTGLLLDACDADWNVDRVEDRKGHDLRYSVDITKIANELGYTPQVDFKAGLAETVDWYRNNRAWWE---- 294140249 ---KKALITGITGQDGSYLAELLLEKGYEVHGVDHIYQDNHEINQKFFLHYGDLTDSSNLTRILKDVQPDEVYNLGAQSHVAVSFECPEYTADVDAMGTLRLLEAIRFEKKTKFYQASTSELYGEVQETPQRETTPFHPRSPYAVAKMYAYWIVVNYRESYGMYACNGILFNHESPRRGETFV------TRKITRAITNISQGLEQCLYLGNMDALRDWGHAKDYVRMQWMMLQQDVADDFVIATGKQISVREFVRMSAQNMNEIATVVEITGDKARGVSVGDRPAEVETLLGDPSKAKEKLGWVPKITVEEMCAEMVE-------------- 134638421 ----------------------------EVIGIDNLNNYYDTKLKIDRFYKCDLIDNKSLKSIFKNEKPDLVCNLAAQAGVRYSLTNPDAYINSNIIGFQNIIECCKKFNVSNFLYASSSSVYGANTKLPFSTNDRTNPLSLYGATKKSNEIVAYSYSHLYKIRTVGLRFFTVYGP----------WGRPDMALFLFVKALINNKKIKVFNNGNMLRDFTYIDDIVHGVFSIIKNPIKDLYKI-------YNIGNNKPVNLLDFIKVIEKNLDKKFEIEFQDIQPGDVKDTYADVSGLLTDFKFKPKTNIEFGVRKFIDWYINYYK------- 239944818 ----RCLITGGAGFIGSHLTEHLLGLGHEVVVLDDFSTGSDRNLALVRVVRGSVCDRETVESCMPGV--DAIYHLAAAVGVFTILGNTLDCLRTNLHGTETVLETARAHGVPILVASTSEIYGKNTADGLSEEADPLKNRWSYAEAKALDETLAHLYGVEYGVSTVIVRLFNTVGPRQSGQY--------GMVIPRFVGQALAGEPITVFGD------GTQVRCFCHVHDIVPALVTLLENADTHG--TVYNLGNAEQISITALAQRVVEATGSSSPVVKVPYEPGDMQRRIPDCTRARERIGFRPRRTLDEIIA------------------ 139515975 ----------------------------------------------------------------------------------------IEYYINNFVGTCTLVEVMNMFKCKNIVFSSSATVYGNPKSVPIKEDFPLSATNPYGQSKLMIERFLQDFDADSSWRIAILRYFNPVGAHKTGIIGEDPSGPNNLMPYISQVAIGKLKQLNIFGGDYDTPDGTGIRDYIHVVDLAKGHVNAINTLRNKSQIIKINLGTGTGYSVLDMVMAFEKASGKKIPYKIVERRAGDIAASYTDPSYAKEKLCWKANLKIDEMCEDTWRWQNNNPNGYKD--- 136187878 ---KKVIVTGGLGFIGSNLINILKEK-YFVINIDKVTYASNNGIKNYLFYKQDINNKIFIKNILKKFNPCIIFNLAAETHVDRSIDGPKKFIESNILGVFNLLEVIRDYKKIKLIHISTDEVYGDIKKNYKSEEDRYNPSSPYSASKASGDLLIKSYIRTYKIPAIITNCCNNFGPNQY---------PEKLIPTIIYNILNNKP-------IPIYGKGKNVREWIYVEDHCKALIKIAEKGII---GENYNIGSGKVFNNIQIAEKIISNFKKKAKIYFVKDRPGHDLRYCLNSSKIKNKLKWKCKSNFDQRINETVIWYIKFKNNY----- 135211387 ---------------------------------------------------------AELERVFKESHPDIVIHLAAESHVDRSIDGPLEFINTNVVGTFNLLECSRNYFQFRFLHVSTDEVYGDSSSDFFTEETRYDPSSPYSASKASSDHLVRAWYRSYGLPILITNCSNNYGP--------FQFPEKLIPHVILNAINGKKIP--------IYGDGTQIRDWLYVEDH---IIALMDVAFKGAVGETYNIGGNNEVQNIEVAKKICTILDFSELITYVEDRPGHDVRYAIDSDKIKKNIGWSPKENFESGILKTVQWYLENLSWSKN--- 136174945 ----KVLVTGGAGYIGAHVASELLNDGYSVRIYDDFSNGLHRRVKFRDIVEGDILDREKL--IQAMHGVDAVIHLAAKKAVEESVKNPLKYYENNVGGTLNLLAAMSVKGVKKIVFSSSAAVYSPNDKDAIEETDSTVPLSPYGATKLLSEELISSVGGAEQISHISLRYFNVVG----SAIPEFGDNSKDNLVPKVFLALKNGKRPEIYGTDYPTPDGTCIRDYIHVQDLAKSHVAALKKTETGFVSAVY---------------------------------------------------------------------------------- 297203969 -RGKKILVTGGAGTIGSNLVDLLAEGGAEIVVLDNFVRGRRANLAKAEVVEGDVRDVDTVKKV--TEGADLVFHLAAI-RITQCAEEPRLANEVLVDGTFNVLEAAAAAGVGKVVASSSASVYGMAEVFPTTERHHYNNDTFYGAAKAFNEGMLRSFHAMYGLDYVALRYFNVYGPRMD------IHGLYTEVLIRWMERIESGEPPLILGD------GTQTMDFVDVRDIARSNILAAESDVTD---EVFNIASGTETSLRELADGLLEAMGAEGEPVHGPARVNSVVRRLADTTQAAERLGFTAEIDLRTGLRDLVQWWRA---------- 302038310 ----RIYVAGHRGMVGSAIVRALKARGY-----DNL--------LLRTSKELDLRDNRRVAEFFAETKPDYVYLAAAVGGILANSTFPAEFIYDNLAIQTNVIHHAYVHGVRKLLLLGSSCIYPRDSPQPMKEEGPLEPTNEWYAAKIAGIKMCQAYRRQYGCDFIAAMPTNLYGPNDNFDLQTAHVLAALLRRFHEAREQGTAPTL--------WGTGAPRREFLHVDDCADACLFLMDRYS---DAMIMNVGAGQDIAIAELAAQVAEVVGYRGDMQWDRAKPDGMPRKLMDSSRI-AALGWKPATSLADGLRRTYSWYRQ---------- 220907595 MSN-SLLVTGIAGFIGRYVARYFIEQGWSVIGIDNSPPENAPLANLKAYHRLQLPD-TSLATIIASYSPQVCIHCAGRASVGSSITDPASDFYGNTLLTFEVLNTLRVNPQCKFIYLSSAAVYGNPQSLPISEEHLPYPISPYGFHKLQGEQLCLEFSKVHDMATASARIFSAYGPGIRRQVMWDICHKAIFQDSITLQGTGQE-----------------SRDFIHALEIAKALFLIANKAPMQGE--VYNLGTGIEVTIAELAELLLEAFDYQGCIKFDGKSVGNPLNWQAEISKIQA-LGFKPEVPLKKGIRTFANW------------- 135505654 ----KILVTGGLGYIGSHTVVELIQEGFQPIIVDNLCNSSKKNLEGIKWYNVDCTDEEEFSVVFEKEEIVGAIHFAAYKSVEESLRIPEKYYQNNIGSLEVLLKLMNQFKVERLIFSSSCTVYGNPDILPVTEEAFKKAESPYGETKQHCEEILQS----STIKSISLRYFNPIGSHNSGLIGDCSDKPTNLVPIICEVAKGVREKLIVNGDDYNTIDGSCVRDYIHVVDLARSHVAALQYLMKNPKKEVFNIGTGEGLSVLKAIHCFENANNRK---------------------------------------------------------- 136463119 ----KVAVTGGAGFVGTNLVRALLNQSTEVLVIDDFSTGLKSNLEGLDCDLAEISLTDSIKVAKALKECEYIFHLGARGSVPRSIKNPRATMEVNAIGTLNVLESARATGATV-AFSSSSSVYGSNLELPKNEKMWMAPLTPYAASKLSGEALVESYSASYSIPAVTYRFFNIFGP------WQRPDHDYAAVIPKWIWKLMQNESIEVFGD------GNQSRDFTYVDTVVEVLIEGMKKGINHPSP--INLAFGSRVTLNQAIDALRLKF-PDLKVSHSQERSGDVRNSQNDPTLLKSIF---PSIDFSEALEMTYQWFIENGASIVN--- 283851861 MARKTCLVTGCAGNVGSQLTQRLLEAGYAVVGVDNFFSGAPENMRDFNFHERSITDKASMAGLLAENAPVATFHLAAIISVPYSMDHAAETMEINYDASLSLHTLARAFRCGAFVFAGSAAEYGKPLSRPATEEDAGDPLSPYGLSKHLMSTAIQKSGYGCSLR-----FFNIYGPT------RAKPGPYDGVVRQFLARAEQGLSPVIHGDG------SQMRDFLFLGDAVRALLTAAGILPGGPLTGVFNVGTGRGSSIRDLADLSLRLAHVPKKPEFSPVRQGDIHFSVAENSKLLRHAGWIPSTPLEQGLALTLE-------------- 144125890 -SNKKVYVAGHNGLVGSALVRALERAGAGEVI-------------GWRSSELDLRDRDATFDAINEAKPDVVIDAAAVGGIMANSTYPVDFLQDNMLIQTNVMDAAHQADVDRFLFLGSSCIYPRHATQPIRETGPLEPTNQAYAAKISGIYYIEAFRTQYGKRWISAMPTNLYGPNDNFDLESSHVLPAFIRRFHEAKRDG-------IDTVTVWGTGAPRREFLHVDDLADACLMLIDNYDSH---ETINVGWGDDLPIKELAETVASVVGFEGEIEWDTSKPDGMPRKLLDTSRI-NTLGWYPTIKLRDGVASTYEWYIENY-------- 294494768 MAQKKILVTGGAGFIGSHLVDRLVTDGYEVTVFDNLSSGNKKYIESNLDKEGDLLDEPALNK--ACNGMDMVYHVAANPDVRLGAENTKVHFDQNIRATYNLLEAMRKNEVNNLAFTSTSTVYGEATVMPTPEDGPLVPISLYGASKLACEALITSYSHTFDMNCWLFRFANIIGSRSNHGIIFDFINKLRANPQ----------------SLEILGDGKQSKSYLHVSECVNAILFAVEDSRDTVNIFNISEDTISATRIGEIVAEEMGLQDVEFTYTGGSRWKGDVPKMQLGVDKLIN-LGWKPEIDSGGSVRQTVR-------------- 135974116 MKTKKVLVTGADGFIGSHLTELLFSNGYEVKALAQYNSFNNWCLDKIEIVSGDIRDPFFCKSITR--DVDAIIHLAALIAIPYSYEAPASYVDTNIQGTLNICQAGLENNVKKIIHTSTSEVYGTAQYVPIDEMHPLQPQSPYSASKIAADNMALSYYNAFDLPLTIARPFNTFGPRQS---------ARAVIPTIILQIANGAKEIKL-------GDVSPTRDFNYVIDTCQGFISILESKNTIGEVINI--GSNFEISIKDTLTMIKNLMGSDVKLLEDKDRKSEVFRLLCDNKKI----------------------------------- 238064606 MAD-RALVTGGAGFIGLHLVRRLLDDGVQVTVLDDFSRGADDPDLHALTGDVHLVRHDLTTPLPTDLDFDAVYHLAGVVGVQRVTDRPVHTMRTNVLATVSLLDWCARTQPGVLFLSSTSEVGPVPEDAPFVLCEPRSPRTSYALSKLVAEYLFLHAGPPSRVRV--ARFYNVYGPRMGS---------EHVVPQLIERALAGTDPFPLYGGR-------QTRAFCHVDDAVEA-MLRLCAVPEPGPLVVNIGNDREEVEILDLARRICALAGTHPEFAVRPA-PGGPRRRLPDLTLLRGLTGYEPAVALDVGLRSTFDWYAAH--------- 134552968 -----VLVTGGLGYIGSHTSVLLLEKGYDLVIVDDLSNSNIEVLKKPVFEKIDLKDRKAVSTLFKNYDFNGIIHFAAYKSVNESVNYPEKYFSNNVGSLENIIETKLLDKPMNFIFSSSCTVYGQADSMPIDESFPLKPQSPYGQSKRECEEILEKLNEDFSFKNITLRYFNPIGAHPSAFIGELPLGPENLVPYLTQTAIGKRDCLTVFGNDYETVDGTCIRDYIHIMDLAEVHVICLEK-------------------------------------------------------------------------------------------- 136861510 ----------------------------QVIGIDNFDPFYDRSLKAANIAEADLADVEALKFIVGKEPVDTIIHLAAKAGVRPSIEDPVGYQRANVIATQNLLEFAKDEGIKQFVFASSSSVYGVNPNVPWSEHDVSGPISPYASTKVSCELLGHVYSHLYGIRFLGLRFFTVYGPRQRPDLAIN----------KFVRLIEAGEPIPVFGDG------STRRDYTFIEDIVEGIIGSLHYVKTP--YEVINLGNDQTVTLSEMIQTIEEVVGKSAVIDRQSEQPGDVPQTWADVSKANKLFGYKPSTSFKDGVTEFYKWQKA---------- 136594557 ----RVLITGGAGFIGSHLADALLAKGNQVVILDNLTTGSRANIAHIEIHQGDIRDEALVDSLVKGA--DLVLHMAAALGVKNIMENTVESVSINFTGSDVVLKA-ATAHKKRLIIASTSEIYGKNPAQPLNEESPQKIRWTYSDAKALEEAIAHTLHRTHGLKVTTVRFFNTVGPRQTGQY-------GMVLPRFVKQALNNED-------ITIYDDGSQSRVFCHVEDAVRAVLTLAADESTIG--GYFNIGGVGETTIKELAQRVIERTGSKSQLKFIPFTEADMARRVPDISKVKAKIGWAPTHTLDSII-DSVAAHLK---------- 135428752 ------------------------------TVIDDLSTGHQKLIPSDIKINCNINNKEKLSNLLKLEKFDVLMHFAGSIKVEESVNNPEKYFFNNTNNSIALFETCYENGLRNIIFSSTAAVYGNPSNQKIVEEEILKPLNPYGESKLKTENFLI--NNQDKFQYTILRYFNVAGADQKLRTGLISKEPTHLIKILSEVAVGKKEKIFIFGKDYNTKDGTAIRDYIHVSDLANIHLKVAKYLLTIKESNIFNCGYGRGYSVQEVIDEGNKLTGNKIKFEYANRRIGDAEKLVSNIEKISKHINYKPKFNLSVIIESSISWEKKYEQNL----- 143859797 MEGMKILITGAAGQIGSGLSKLLIENGHDLTLVDNLRNGYLENLKDDPFYEVDITSGEFFLQCGDQY--DVIIHLAAITSLPDCESNPLETLRINVSGTANVLEFAREFNVPHVIFASTSAIYENNDTEVFTEDLEVNPRLYYSLSKKMSEDLIESYRENYGMTVTILRFFNVFGPDGD------QTRPNPPLLNFAYRELSHDRAPVLSGD------GEQVRDFIWVKDVVR----MLELCMIKQPNDVFNVCSGKTVSVNQMSQWVAEALGKEHWSTYPEMFEGSYPYSKGSFEKAERILGWRPHTDMESLVKK----------------- 134957387 -RNKSVLITGGAGYIGSHLIKIFLENGYNVTILDNFTYGNFKKHKNFKVINGDIANIRDVSSAVKGCDI--VVALAAIVGDPACGLDAEETLNLNYESTKILVEACNFYGVSRFVFASSCSVYGAGGESIHDENSPTNPVSLYARTRIFSENFILENCHEDTTPVIL---------RLSTVFGYSDRMRYDLVVNTLTANGVINKSINVFGG-------NQWRPFIHCKDAALAFYSAATFEESSKLNKQIFNVGSENLNYTINQVADIVADEISDVSLNIDDQIIDARDYKVSFEKIKSTLGFMPKYDIRTGVKEIVK-------------- 136907684 ----RILITGGAGFIGSHTCLVLLEQGHSLVVVDNFDNSSPEALRRVQEVEGDLRNPDVLDRAFRSGRPDGVIHFAGLKAVGESVADPLRYWDVNLNGSRVLAAVMERHGCRTLVFSSTSTVYGEPEQFPLHEGMATAPVHPYAQTKLAVEQMLGALCRSGSWRVACLRYFNPVGAHPSGRIGEDP--------------------------------------------------------------------------------------------------------------------------------------------------- 142522752 -SKKNVLITGGASFIGSHLSELLLSYGANVRILDNLSSGSADNLGESIKEEVDVRDSLKTKPYFN--DIDIVFNLAAQHGGRGFIETHPVECLNNMLLDNIVFDNCIENNVQHIVHASSACVYPINRNYLKEEDANFDPDGAYGWAKLMGELQLSNYVKQYGISGTSARIFTAYGER---------ENESHAVIALIAKAILKLDPYPVWGNGKQT------RNFTYVQDTVKGLALCGSR-DKSGSYEVFNIGSVKHETVEELYTNIFKILDTPNSYDFQLDKPVGVKSRASDNTKITNEFSWEPDISLSEGLKNTIDWYLNNLENVD---- 135084020 MSDPKIYVAGHRGMVGSAIVRELNARGHENIVTRSSS-------------ELDLRDQNAVREFFQKERPDQVYLAAAVGGIYANNTYPAEFIYDNLMIQSNVIDAAYRADVEKLLFLGSSCIYPKYAEQPMREDELEATNEPYAIAKIAGIKMCESYNRQFGTDYRSIMPTNLYGPGDNYHPENSHVIPGLITRFH-------EAKLKNHREVVVWGSGKVRREFLHVDDMAEASVFLMETDKTSTTCSHFNCGSGKDIPIAELAFLIAEIVGFEGEITFDLSRPDGPPRKLLDVSRL-SELGWSYKRELREGLSHAYN-------------- 78222526 -KDSRIFVAGSHGLVGSALVRQLRSRGYV-------------NLLLPEKRELDLAHQQAVADFFASQKPDYVFLAAAVGGIHANNTYPADFIYTNLMIQNNVIHHAWLNGVKRLLFLGSSCIYPKHAPQPMQEEHPLEPTNPYAIAKIAGIKMCESYNRQYGTKFVAVMPTNLYGPG-----DNFHPENSHVLPALIRRFHEAKRQNA--SSVVVWGSGTPRRELLYVDDMAEGCLHVMDLTDDQPKPCFVNLGTGHDVTIRELAETVRNVVGFSGDLVFDASKPDGTPRKLQDISRMHG-LGWRHRVELEEGIRRTYQWYVENY-------- 119944536 -QNKVALITGVTGQDGSYLAELLLEKGYEVHGIKRLNTERVDHIYEDNHEQGDLTDSSNLTRIINDVQPDELYNLGAQSHVAVSFECPEYTADVDAMGTLRLLEAIRFEKKTKFYQASTSELFGEVQEIPQKETTPFHPRSPYGVAKMYAYWIVVNYRESYGMYACNGILFNHESPRRGETFV------TRKITRAIANISQGFEKCLYLGNMDALRDWGHAKDYVRMQWMMLQQETADDFVIATGKQISVREFVGIELEFLDEIATVKSITGDDVIVKVDPRRPAEVETLLGDPSKAKEKLGWTPEITVEEMCAEMV--------------- 139203681 -------------------VDKLVTNGYEVTVIDNFSTGRIENLKNIQNIEGDISDLKNLKDLVKDKKL--VFHLAALADIVPSIQNPKQYFKANVSGTLNILQACIDQNISKFVYAASSSCYGIPKVYPTPENTTIQTEYPYALTKRLGEELVLHWANVYNIPSISLRLFNVYGPRSRT------SGTYGAVFGVFLAQKLAQKPFTVVGD------GTQTRDFTFVSDVVE---AMVLASLSKIKNEVFNVGSGKTISVNHIVKLL------KGDYVFIPERPGEPKVTFADIEKIKQQLKWLPKISIEEGIE------------------ 136500343 ----KILVTGAAGFIGSFVCKRLLAAGDEVLGIDNLNSYYDVGLKEARFQKLDIADRDAVDNLFKNSRIQKVLHLAAQAGVRYSVTNPHIYIESNIIGFLNIIEGCRHHQIEHLVYASTSSVYGLSKKIPFSEDDADHPVSLYGATKRANELMAHSYSHLYSLPTTGLRFFTVYGP----------WGRPDMALFLFTKNILEGRPIQIFNQGHHIR-------------------------------------------------------------------------------------------------------------------- 72383074 -----ILITGGSGFIGTNLISRLLRETTLKINLDKINYASNLKVFDEHIDNVDLFNIEDTKNAIRFSNPDLVFHLAAESHVDKSISKPKDFLDSNIIGTFNLLQELRTHWSFRLIHVSTDEVFGIKEGFFFSETTSYDPRSPYSATKAASDHLVKAWSNTYGFPAIITNSCNNFGP----------WQYPEKLIPVIINNALNSKSIPLYGNGQ------NVRDWIFVEDHVDAL---IQVMLKGRLGDSYCIGSRQLKTNEEIVNIVCDYLDHIRFKKFVKDRAGHDLRYAIDNNKIINELKWSPKYDFYEAIDFTVRWYLDNQNFLS---- 85375519 LAGKRVYVAGHRGMVGSALVRRLR---------------HENCLVQTADRSVDLREQAHVRGWFADNRPDVVIVAAAVGGILANESYPAEFLYDNLMIEANLIEAAHRHDTEKLLFLGSSCIYPKLAPQPIAEDAPLEPTNEWYAAKIAGIKLCQAYRRQYGANFISAMPTNLYGPG-DNFDEKSSHVLPALIRKAHAAKLAGDSAITVWGTG------TPRREFLHVDDLAAACIFLLQNYSGES---HVNVGSGSDLTINELAETVCKVVRFEGTIEHDTSRPDGTPRKLMDGSTI-TAMGWKPTIDLEDGIAQAYRWFVDN--------- 159038332 ----RILVTGVAGFVGSHVADLAVADGHEVVGMDALQAHGGELPVWSRAHQGDVRDAALLDRLLPGV--DAVCHQAAMVGHGLDPSDAPAYASHNDYGTAVLLAAMHRAGVRRLVLASSMVVYGEGAPGLVPEDAPVEPRSTYAASKLAQEHLAAAWARQAGG-GWALRYHNVYGPRM------PRDTPYAGVASIFRSALAAGRAPRVL------EDGRQRRDFVHVTDVARANLLALQTAPPDGDLVPVNICSGEPRTVADLANTLAKAMDGPAPVVVGGARGADVRHVVADPRRATNLLGYTARVGFAEGVA------------------ 134585926 ----RVLVTGAAGFIGSELCLKLLERGETVLGIDNHNDYYDPALKEARHLRLDISDSGALKEAFSKHEPQRVVNIAAQAGVRYSNKNPHAYIQSNIVGFMNILEGCRYHKVEHLVYASSSSVYGANTSMPFSEDNVDHPLSIYAATKKSNELMAHAYSHLYGLPTTGLRFFTVYGP----------WGRPDMALFKFTKAILAGEPIQVFNHGKHSRDFTYIDDIIDGVLRVVYGPARLNDSRQEGPWRVYNIGNSKPVDLMDFIRALEDALG------------------------------------------------------------ 142479869 ------LVTGGCGFIGSHLVDRLVKLGHEVLVLDRVQ--HHNPNPKATYYLQDLSNYSKFVHLFESVN--NVFHLASEVSIPYCVEKPNESMANNILATMNALECARVHSVDKFVFSSTSAVYGNRMFHPKYEINQVQCLNTYSISKYSGEQLCEMYYHLYGLQTVMFRYFNVYGEGC------HKTGQYAPVMSIFKKQKDNKEPLTVVEPGYQT------RDFVHVSDVVYANIIATQRDLEE-YGQVFNIGTGEGTEIQTIADLISD------YQIIIPQRSGEIMHSTANIDKVKEILGWKWSINV-------IEWVRKN--------- 220919526 -SSERVVVTGGAGFLGGFVQEALRRRGAKDVFV-------------PRSKDYDLVQMEGVRALYRDARPTMVLHLAAVGGIGANRDNPGKFFYDNLMMGVQLIEVGRQVGLKKLVALGTICAYPKFCPVPFKEEDPEETNAPYGLAKKMLLVQSEAYRQQYGFHSVVLFPVNLYGPHDNFDLRTSHVIPALVRKCVEARERGDK-------QIVVWGDGSASREFLHARDAAEGI---LDAAERYDRSEAVNLGAGFEIKIRDLVPLVARLCRFEGELVWDTTKPNGQPRRMLDTSKALREFGWKARIGFEDGLRETVEWFEAN--------- 141341431 -----ILVTGAAGFIGAAVCKRLLADGESVIGIDNINDYYDPGLKSWQFEKLDISDQSSIQELFARHIPCRVIHLAAQAGVRYSIENPSAYIQSNLVGFGNILEGCRHHGVEHLVYASSSSVYGGNTNLPFQESQAVHPVSLYAATKKANELMAHTYSHLYGLPATGLRFFTVYGP----------WGRPDMAPMLFARAILDRQPIRVFNNGQMQRDFTYIDDIVE---------------------------------------------------------------------------------------------------------- 135468578 ----KVLVTGGVGFIGTNLIKELLKDGHRIVSIDNYSTGLKENELDGCYYNVNICDYKNFDQYI--EDVDLVFHLAALPRIQPSFKNPLTTFKTNVEGTINLLDWCKEKNAP-LVYAGSSSTHGGVYK------------NPYTFTKWQGEELCKLYSKVYSLKTAICRFYNVYGPHQLTE-------------GEYCTVIGIFERQKNNGEVLITGDGQQRRDFTHVDDIVDGLIKCGLDLIKEDNSKANGETFELGRGINYSINEVANMFGGE--KTYIDQKKGEVRDTLCKDSKAKELLNWNPKRNLEDYI------------------- 257456613 ----KALIAGGAGFIGSHLADYLLAEGNEVVCIDNFFIGHLLANPRYIFYQYDLNNTELLNQVFEKEKPDYVFHLAANSDIQASAQSPIIEYQNTYSTTFNLLEVMRLHNVKNLFFSSTSAVYGNKDTLLNEETASLAPISYYGGAKLGSEGLISAYAYMNDMNVLIFRFPNVIGPRLT------------HGVIFDFIKRLKKDSTKLL----ILGDGNQTKPYLYVLDLVEAIVKFKDV---GKGVSLYNVGVDTATSVTRIAEIVCQKMGMSNTFFEKEGWKGDVPRFQYDLNKIHAA-GWTARYTSDEAVALTVE-------------- 158423146 ------LILGGCGFIGRHVAILLARAGHKVILADRLSFGFDDDVAGSIWSPFDLADWDDL-----IAGIDVIHHYAWASIPSSANADPGADMKGNVLPTIALLDAMRARQEERKFSSSGGTVYGRLHRVPVREDHALSPLNAYGAGKAAAELYISTYSNLHGLDTRIARLSNPFGAGQ--NLARGQGAATTFLARAINNE-----------QIVIWGDGEVVRDYVHIADAAAGLVA-LACANSVGASHTFNIGSGQGVTLNAIIAELETELGRPLDVRYEPARPYDVPVSVLDISAAHAALGWRPRLSFAEGIRLTLA-------------- 139686542 ----NILVTGGLGFIGSNYISKIINKENFVVNVDSETYANFEVNQNYRHYKIDISDYQKVNKIIKKYSFDIIFHFAAESHVDNSIESSFEFCNTNVIGTLNLLESYHKLNKKNFIHISTDEVFGSIKEGFFDEKSNYKPNSPYSASKASSDHFVRAYFETHNLPVIITNCSNNFGP----------YQNKEKFIPTIISSLINKKNIPVYGD------GKNIREWIHVEDHVDGLIH---LSKNGNVGESYCIGSGKEYSNIDIVKTICKIYDSEKLISFVEDRKGHDYRYALNSSKI----------------------------------- 86160713 MSGRWI-VTGGCGFVGSNLAASLLDDGVEVVVLDTLRTGSSENLAWLRERAADTRSRTDVEHAFARFGAVAVAHLAGQVAMTTSIERPRYDFEVNVLGAFNVLEAVRAHAPAAAAFSSTNKVYGDDHPHGLDEAVPLDFHSPYGCSKGAADQYFLDYHRIFGLRTVVFRHSSMYGGRQYATYDQGWVGWFCQQALRQRAEARAGRTPEPF---TISGDGKQVRDLLFAADLVRCYRLAAAAADRIAGRAYIGGGPEQSLSLLELFSVLERKVGQPLRFERLPARQSDQRVFVADGRRAAAEFGFAPEVGVEAGLDRTLEW------------- 90579216 --KKRIFVAGHRGMVGSAIVRQLSQRDKVEIITRTRS-------------ELDLLNQQAVSDFFAEARIDEVYLAAAVGGIHANNTYPADFIYENLMMECNIIHAAHQYDVQHLLFLGSSCIYPKLAEQPMTESTLEATNEPYAVAKIAGIKLCESYNRQYGRDYRSVMPTNLYGEN-DNFHPDNSHVIPALMRRFHEAKLNNDNEVVVWGTGTPMREFLFVDDMAAASIHVMELDNETYQANTQPMLSHINVGTGVDCTIREMAETMAKVVGFDGDVVFDSNKPDGTPRKLMDVSRL-ADLGWRYSVSLEEGLTQTYQWFLANQDNFRK--- 142082777 --DKKVVVTGCSGFIGFHLSNSLLETDIQVIGIDSLNSAYDIKLKQKRLEKLDLSQKDSLNEI-KKYDISTIYHMAARAGVRQSFLDPQSYIKDNTYATANVANFCKEKDIPEIILASTSSIYGDSGENLMVEDEKIKPPSVYASTKLSGESLSKIILEDTNTNLIISRFFTVYGP----------YGRPDMSILRFIHWIINEEKVRVFGNG------EQQRSFTYIKDVVDA----LRRMSGLENSHTFNVGSNITVSLNEVIKLIEEFSGKNANIENLERAYKDPYVVRPNLENIKKTLGWKPTTLIQEGIEKTVLWYEENQNYLKE--- 283846524 ----KILVTGAAGFIGSHLCETLLNKNYHVVGIDGYINNSLDEEKRGKNERFTFYEENMLNISWDNVEIDVIYHLAGIPGVRASWENFNDYVIHNIQATQRLLEACIDKPRQRFIYASTSSVYGEKH-GKVSEDATPRPLSPYGVTKLTCENLFRIYYQTYRLPIVILRYFTVFGPRQR----------DDMAFHIFIKKMLSEETIPVFGDGLQTRDFTYIRDCIAATISALHSDNVI--------GETINIGGKERASMIEIIEMLEEIIGKSAKVNFLKKIHGEPKHTWANINKAQRLLNYNPTTKLKEGIENEVKYIRELYR------- 142533580 ----NILLTGGAGYIGSHTALSLIDNGHSVTIIDNLITGSKTLIPEAKHYNFDIADESSIKKILKENKFDIAMHFAGLTRVDESVKYPEKYQLHNFEKSKIFFSSCIKNNLKKIIFSSSAGVYGNSNSNNLTEDSELKPINPYADSKYKIENYLIEISKKDKVDYTILRYFNVAGADKSKRSGLIARSSTNLIKVICEVATKKREKIIINGDDYETKDGTAIRDFIHVTDIADMH-------------------------------------------------------------------------------------------------- 140246137 --------------IGSVTCKLLADSGYNVINVDKV----KRTIEGVTQYPFDINN-SQIKGVIEMTKPDAIIHLAANHSVPKSIAEAKDYYVNNVENSIKLLDHAVDGGVKHIVFSSSSSVYGDSDNLLNSEIDELNPKTPYGRSKVMVETILQDLAAVHDFTYTSLRYFCAAGSYEGFGYQLD--PKEHIMPILVDKALNGGK-FIVNGDDYDTIDGTCVRDYTHVLDIATAHLASLHYLFDGGESGVFNIGAGSPKSIKQVIAEVEKQTGNTVDVEYGPKREGDTAKTDANISKAMDSFGWEP--------------------------- 125556307 -KGGKVFVAGHRGLVGSAILRHLVSLGFTNVVV-------------RTHAELDLTRQSDVEAFFAAELPRYVVLAAAVGGIHANSTFPADFIVANLQIQTNVVDAAKCGSVRKLLFLGSSCIYPKFAPQPIPENSPLEPTNEWYAAKIAGIKMCQAYRIQHGFDAISAMPTKLYGPQ-----DNFHPENSHVLPALIRRFHEPKASNAAEVVVWGTG--SPLREFLHVDDLADAVIFLMDHYS---GLEHVNVGSGSEVTIKELAELVKEVVGFQGKLVWDSSKPDGTPRKLMDSSKI-QEMGWKPKVPLKEGLVETYKWYVEN--------- 116330348 ---KKVLVTGGCGFLGSHVCETFRKQGWDVVSYDSMTKYELKRTGYGTMVKGDIRNLEHLAD--RTEGCDFIVHTAAQPAMTISWEDPELDFTTNALGTFNVLEVARKRNIPVVNTSKEGATSYERNPAAIGEDQMVGEISPLHASKMSAEHYVKTYVDIYKLKAATFRFTGIYGERQFG-------GEDHGWVANFAIRSVFDWPLRIFGT------GKQARDILYAADGAESYLRWFENPT--PGVFNIGGGPEHKISLLECIHLIGDILGKKQEIVFDVERPGDMRYFICDITKAKK-FGFNPKFKPREGVERLIHW------------- 291276329 -KDSRIFVAGHRGLVGSAILETLQERGY-----DNL--------LTRTKKELNLLDMRAVEDFFATMQPEYVFLCAAVGGIIANNTYRADFIYENLMIQNHIIHCAYRYQVKKLLFLGSSCIYPKSAPQPLSEDSLLTSNEPYAIAKIAGIKMCESYNLQYQTNFIAVMPTNLYGKNDNFDLQNSHVLPALIRKFHE-AKLRGDKEVSIWGSGKPRREFLYNKDLAEACVYVKIDFSMLTQGKKEVRNTHLNIGYGSDISIAQLAELVREIVGFEGGIVFDTSKPDGTYQKLMDSSKIFA-LGWKPKVGLREGIEKTYQWYL----------- 135142828 -----VLVTGAAGFIGYHLAERLLAEGCEVVALDNMNAYYDPALKRARFVEADLADGEALAALFTRHRPAVVVNLAAQAGVRHSIENPQAYARSNITGFLALLEACRAHPVRHLVYASSSSVYGGNTKLPFAVSDPDHPVSLYAASKKANELMAHCYSHLYGIPATGLRFFTVYGP----------WGRPDMAYYLFTKALFEGTPIRLFNHGDMARDFTYIDDVVEGMVRLLPRAPEADPDFDTADHRVYNIGNDRPETLTDFLAQLERLTGR----------------------------------------------------------- 86609020 LSQKRILLTGGSGFLGKHVLQQLQVLGVKP-----------EQVRIPRSRTQDLRRWEVCQEVVQGQDI--VIHLAAHVGIGLNQAKPAELFYDNLIMGSQLIHAAYLAGVEKFVCVGTICAYPKFTPVPFKEEDPEETNAPYGIAKKALLVQLQAYRQQYGFNGIYLLPVNLYGP-MDNFDPESSHVIPALIRKVHEAQQRGQNYVEVWGDGSPT------REFFYAEDAARGIVMATQLYD---GADPLNLGTGEEISIRDLIALICELMEFRGEIRWQTDKPNGQPRRCLDVSKARERMGFVAKVGLREGLQRTIDWYRRH--------- 134898258 ------------GFIGFHVAKKYLDKGFKVRGFDSMNNYYDVKLKKSRFTKGNLENQKILNNSIGKFKPSIIIHLAAQAGVRNSIKNPKVYLNSNILGTFNIIESSKKFRIKHLIIGSSSSVYGANKKFPFQEIDKTDSISFYAATKKSTESLAHSYSSLWKMPITILRFFTVYGP--------WGRPDMAYFKFTKNILNGKK--------IDVYNKGKMYRDYTYIDDIVDGIIKLTNKIPKLNSSKKYNIGNTKKVLLSDFINAIEKKLGKKAIKKFLPMQKGDVHSTLSDTKLLRRITGYNPKTNYQDGIKKFLNWYLDYYN------- 171059031 --NSKIYVTGHRGMVGSAIVRRLQSLGYSNI----LTRTHAE---------LDLLDQRAVHAFLAEQKPDYIFIAAAVGGIQANNLYRADFLYQNLLIEANLIHGAHLAGVQRLMFLGSSCIYPRDCPQPIKEDYLLQTNEPYAIAKIAGIKLAESYNRQYGRQYISAMPTNLYGPNDNYDLANSHVLPALLRKAHEAKQRGDA-------EYVVWGSGTPKREFLYVDDLADACVHLMETGY---DGPLVNIGTGEDVTIRELAETVMQIVGFEGRIVFDASKPDGTPRKLLDVSRLKS-LGWSASTSLRNGIRLAYE-------------- 139047387 ----KVFVAGGSGLVGSALVRELERGGVERI--DAPSSA-----------ELNLLDREKVFSHLAQTKPDVIIDAAAVGGIHANNTYPAEFLSNNLLIQVNLMDAAGGANVERFVFLGSSCIYPKFAPQPMPESSELEPTNSAYAAKIAGIQQVQAHRKQYGRSWISAMPTNIYGPG-----DNFHPEDSHVVPALLRRIHEAKERGDDEVVIWGTG--SPLREFLYSDDLARAIVFLAEHYDS---GEIINVGSGEEVSIKELAETVAAVVGFDGRLTFDSSKPDGTPRKLLDTSRL-EALGWAAQTSLEDGLSTTYEWFLQH--------- 168701559 ----NYFVTGGAGFIGSNLVDRLLAAGHHVTAFDNFSTGQRPFLADALKVEGDLLDKPVLTRAVAGHDF--VFHLAANADVRFGTNHPDRDLQQNTIATFNVLEAMRLNGVKRIGFSSTGSVYGEANVFPTPEDAPFPQTSLYAASKVAGEGLLSAYATGFGFQAFIFRFVSILGER----YTHGHVFDFYAKLLANPN------------QIEVLGNGKQRKSYLYVQDCVDAIFTVVEKATEPVN--VVNLGADEYCQVDDSLGWICDRLGLRPQVNHARGWIGDSPFIFLDTAKLKS-FGWRQKLGIREAVGRTLDYLRANPWVLESRK- 136672303 ----KILVTGGAGYIGSHVVHAAQAAGHECVVVDNLSTGIKERI-EAEIVELDIAAPDALTKLLSSQKFDSVIHLAAMKQVGESVEIPERYFLDNIGGMANLLLAMRETKHDSLVFSSSAATYGMPDAKQVKEDYPTSPINPYGQTKLIGEWMVKNAANW-GLRGVNLRYFNVAGTGKAG----MGDTAALNLIPIAIGQLRRGEVPKVFGDDYQTPDGSCIRDYVHVSDLAQAHIAAVDY-------------------------------------------------------------------------------------------- 140871032 ----------------------LQELGRDVVVLDSLERGYKDAVGDIDLVVGDIADARLVGKTCRKYEVDSVIHFAAYKAVGESVSDPLRYYQNNVAGSIALVEALLEHEVKRIVFSSSAAVYGTPDASPVNEDAPLRPQSPYAQTKADIEKFLAS-CDVLGMRSVSLRYFNAAGAHDSGDMGEDWSATENLVPVVMKVLFGAQRELEVFGDDYSTPDGTCVRDYVHVSDLADAHVKALDYLASGGASLVCNVGTGQGTSVRQLISVAESVTGRA---------------------------------------------------------- 117620620 MSKKVALITGVTGQDGSYLAEFLLEKGYEVHGIKRFNTQRVDHIYKDPHEEGDLTDSSNLTRIIAEVQPDEVYNLGAQSHVAVSFDSPEYTADVDAIGTLRLLEAIRFTKKTRFYQASTSELYGLVQEIPQRETTPFYPRSPYAVAKMYAYWITVNYREAYGMYACNGILFNHESPRRGETFV------TRKITRGLANIAQGLEKCLYMGNMDSLRDWGHARDYVKMQWMMLQQEQPEDFVIATGVQYSVREFIGSGVDEKAVVSAISKVGDIIVCVDPRYFRPAEVETLLGDPSNAKNKLGWEPETTLAEMVQEMVAYDLQQAR------- 135794426 -KNNVILVTGGAGYIGSKFSYDAIDAGYQVIIVDNLTTGDKNLIKKAIFYKCNVINKDKINKILFKHKIKTVVHFAASTSVSESMKNPDKYYHNNTEATKVLLKTCISNNVLKFIFSSTAAVYGASNKKIISEKDKCKPKSHYGKSKLLCEKILRKYSK-DKISIGILRYFNVIGAD-KNLRTGCINNIGQLFKNLSENINKKKYSIKIFGKNYKTKDGTCERDFIDINDLTYYHLNLLKLLKKN-KYILLNCGYKKSLSVLEIVEKFEQMIKIKIKKKFIESR------------------------------------------------- 255037533 -KSAKIYIAGHRGMVGSAILRKLEKEGFNNIITRTSS-------------QLDLRNQADVRAFFEAERPEYIFLAAAVGGIMANNIYRAEFLNDNLLIQNNVIDSAYRTDAKKLMFLGSSCIYPKLAPQPLQEDSLLEPTNPYAIAKIAGIKMCEAYRSQYGCNFISVMPTNLYGPNDNYDLNKSH-----VLPAMIRKFHEAKEEGKPFVELWGTG--SPLREFLHADDLAAACYFLMQNYNEAG---FLNIGVGSDVTIKHLAEMIQKVVGYQGEIKWNTEKPDGTPRKLMDVSKLHA-LGWKHTIDLEEGITKTYQDFLE---------- 239503793 ---KNVLITGGAGFIGSNLALKLVSKGYNVTVLDNLSPQHGDNPQESSPLFLSIKDKVNFDWELALKDQDAIIHLAAETGTGQSMYEVQKYVDVNINGTALMLDLLVNYTVKKVIVASSRSIYGEGKYVATDEESKIHPSSVYGITKQNQEQMVLTVCSSMGIAGVAFRYQNVYGPGQSL------KNPYTGILSIFSTQIKNGNKINIFEDGKES------RDFVYIDDVVEATILGLEK--EEANNQVFNVGTGIATSVLTVADQLVKNYNIDVPITIGNYRLGDIRHNYADLSKIKKYLGFEPKVSFEEGIKKFTQW------------- 139062192 ----RILITGGAGYIGSHTLLSVLAADYEACVIDNFSNSHPDALTRFDIHQTDLRDGSALTQSVAGFRPDIVVHFAGLKAVGVSETHPLSYYEQNVSGTIQLLQAMDAAGCRSIVFSSSATVYGTPQYLPYDEAHPLQPANPYGRTKFFVEEIIRDWAATDPAKAMLLRYFNPVGAHESGQIGEDPMGPNNLMPYISRVAVGRLDQLHVFGDDYDTDDGTGVRDYIHVTDLAEGHLAAIDYLQHHRGVETVN--------------------------------------------------------------------------------- 143711914 ---KKILITGSAGFIGYNLAKNLIQKEAKVLGVDSLNNAYDNNLKKFRFKNLDLSNQDSYKEI-EGEKIDIVVHLAARAGVRQSFRDPAKYILDNTVSSANLAGYVKKEEINKFLIASTSSVYGDSGESAMKEDEKYPPPSVYATSKISGELMAKTILDGTGTCIQIPRFFTVYGP----------FGRPDMSILRFIHWIYSDTEILLYGDGHQKRSFTYIDDVI----------KGLKTLFLSEESGIFNIGSDKTVSLNKVISIIESELNKKSKIDYQPRAFKDVDVVIPNLDRMNK-LNWKPTTSIDIGVSETIKWYLKYVNEMKD--- 197117257 -KDAKIYVAGHRGLVGSALVRELARLGY-------------RNLLLRESRELDLRNQADTLAFFQAERPEYVFLAAAVGGIAANNSFPADFIYDNLMIQNNVIHSAYLNGVIKLLLLGSTCIYPRLAPQPIREEAPLEPTNPYAIAKIAGIKLCQSYNRQYGTRFISAMPTNLYGPNDNFDLDSSHVLPALMRKFHEAKVSGSQ-------SVTVWGSGTPYREFVHVDDVARASLFLMERYE---GWEPVNIGSGQELTIRELAEKIREVVGFTGEIVFDSSKPDGTPRKLSDVSRIHQ-LGWRHGIELVQGLRDTYAWYLGN--------- 142846466 --------TGGAGFIGGHTASMALDLGWDVRILDNLSTGRQETAKGANFIMGDVRDEAVVNNAVDGC--DAVAHFAAQVSVPRSVEHPQETMDVNVGGTSTILKACQVHGVNRFVMASSAAVYGTKDDFPLHERHAGTFHSPYADSKWQNEHQVLEA-KEAGVEAVALRFFNVYGAGQRS------DGAYAAVVPKFIELALAGQAATIFGDGLQT------RDFVHVSDVANAVLMMATQPWDGERAHVYNVCTETECSLLDLMREIHMVLETRHAPNHGPERAGDIARSIGSNANLCRDTKWRPTVEFTEGLRQ----------------- 140229180 -------------------------------------------------------------------DISGVIHFAAYKAVGESVEQPLLYFENNLFSLINLLKCVDEYGVSHFVFSSSCTVYGNPDQIPVTEQTPIKTASPYGSTKQISEQIIQDYAKNSAAEHILLRYFNPAGAHPSALIGELPLGPQNLVPAITQTAIGKLEKLWVHGTDYPTRDGSCIRDYIHVCDLAHAHTRYLEQERNKKSCEIFNLGTGNGNTVLEAIAAFEKASGTALNYEIGPRRAGDVIAIYANKDRAQQVLGWETNYNLEDIM------------------- 91782114 ----KVLITGGAGFIGSSLARKLCASNAEVTVLDNLSPGDNPDVTSAHFVKGSVTDRAMLERVMEGQ--DAIVHLAAETGTGQSMYEVDRYVDVNVHGTKNSSNTVRNVVVASGQFEHLCPISGEPECVPTDEESKIHPTSLYGITKQTQEQMVMTTCRSIGIAASALRYQNVYGPGQSL------SNPYTGILSIFSTRIKNGNPINIFEDGLES------RDFVYIDDVVAATYSALTNPNAADNVFGI--GSGVRTSVIDVARSLCEAYGSNVDISVGAFRLGDVRHVYADLARAREMLGFEPKVSFSEGIAKFAQW------------- 135305515 MTRRRMLITGGAGFIGTHLAERFCG-GQTVVLFDNFSLAFAPQLREHPNVRVDVLDVDAIRR--AMEGVDTVLHLAAIAGVSSYYEEPLRTLQVNILGTVNVLDEAVRAGVRRVIYFSTSEVFGPEALWVQEEEHRLGPVSDKRWSKLAGEHLVLRSAERYGFAASVIRPFNIYGPRQMG----------EGAISNFCKAAVSGEPLTVYGDG------SAQRAWCYVSDLVDAVEGALCAPAAAGQSFNIGNPGGLETTLG-LAQRISRLASQATVRFQATQRA-EVRVRAPVIDRARAVFGFEPKVDLDEGLRRTLDWFRQ---------- 197105945 MPERHALITGGAGFIGSHLTDVLLDRGFQVTVLDCLADGELDAEGWARRIRGDILDPGVFEAALEGV--THLVHLAASVGVGQSMTNIVDYTRNNTMGAAVMLEAKGRHAVERIAVASSMSIYGEGEPVPTSEDKRLQPASIYAINKRDHEDMFLAVGRALEIPTVALRLFNAYGSRQAL------SNPYTGVAAIFISRLLNDQPPLVF------EDGEQLRDFVHVRDVADAFATVLESDAQVWDA--YNVGSGQPVRIVEMAAALALLLRKNIAPERLDRRVGDIRHCFPDIRRIERDFGFRPRRSFETGMEELIDWVARAPR------- 294140242 -KNKVALITGVTGQDGSYLAELLLEKGYEVHGIKRLNTERVDHIYQDSHQEGDLTDSSNLTRILKEVQPDEVYNLGAQSHVAVSFECPEYTADVDAMGTLRLLEAIRFEKKTKFYQASTSELYGEVQEIPQKETTPFHPRSPYAVAKMYAYWIVVNYRESYGMYACNGILFNHESPRRGETFV------TRKITRAIANISQGLEKCLFLGNMDALRDWGHAKDYVRMQWMMLQQETADDFVIATGKQISVREFVGIELEFLDEVATITAITGGDVIVKVDPRRPAEVETLLGDPTKAKEKLGWVPQITVEEMCAEMVE-------------- 142913019 ----RVYVAGNTGLVGSAIVRMLHRKGYTNI----LSSPSSYW---------DLRRQEDVGRFFQVNQPEYVYLAAAVGGIGANRDYPAHFIYDNLMIQSNIINASRKFGVKKLIFLGSSCIYPKMCEQPIKEEYMTGPLEPYAIAKIAGIKMCQAYRKQYGFNAISLMPTNLYGPN-----DNFDRETSHVLPALIGKFSDAKKNRDVSVTCW--GDGSAMREFLHVDDLAEACFTCMKYYDS---PEPINIGTGEDVTIKQLAETIAKTVGYDALINWDTSKPNGTPRKVLNVDKIKT-LGWEPKISLEEGIKSTYEWY------------ 303248850 -----IYVAGHRGLVGAAIAREL--------------TGLGATLVTRTHRELDLTNQAAVRAFFDSVRPAAVFLAAAVGGIHANDTYPADFIRDNLLIATHVIDAAKNAGVKKLVFLGSSCIYPKLAPQPMREDCPLESTNQWYAAKIAGIKMCQAYRRQYGFSAISLMPTNLYGPGDNFTPVNSHVIPGLMRRFHEARLAGSK-------QVAVWGTGNALREFLHVDDMARAAVACYLRYD---DAEIVNIGSGQEVTIRELATLMAKITGYQGEIVFDASKPDGTPRKALDIGRLRS-LGWEPTFSLETGLAETYRWFCDN--------- 139462713 ----TILVTGGAGYIGSHSVLCLLEAGYQVVVFDNLTNASAESLKRCTFIEGDIRDSSALDQLFASHKIDAVIHFAALKAVGESILRPLDYYQNNVHGSVCLLEAMDKAEVNNLVYSSSATVYGESNPSPYLESMDLGPSSPYGASKVMVEKILLDKARANDFRAVSLRYFNPIGAHSSGAIGEDPAGPNNLLPFITQVAVGKRDKLSVFG-------------------------------------------------------------------------------------------------------------------------- 139430700 ---KNIVVTGGAGFIGSHLVEFLVKKNFKVTVIDNLSNGNLKNLNNFKKKICDIRNKNKILKILKNKDI--VFHLAALADIVPSIENPSLYYDVNVTGTINLLEASRKNRIKKFIYAASSSCYGIPKKYPTSEKTFIDPEYPYALTKYIGELLVQHYNKIYNLNTTSIRLFNVYGPRSRT------SGTYGAVFGVFLRQKLSNKPFTIVGNGKQTRDFTFVSDVVSAMYNV----------------------------------------------------------------------------------------------------- 142177916 --------------------------------------------------------------------PDAVLHLAAESHVDRSIDGPAAFVDTNVTGTLRLLEAARAHWDFRFHHVSTDEVYGLGPTGMFTEETPYAPRSPYAASKAASDHLARAWGETYGLPVIVTNCSNNYGP--------YQFPEKLIPVVLLKALAGAPIP--------VYGRGENVRDWLYVEDHADALLTVLRR---GAVGRTYNIGGENEARNLDLVQTLCAILDEKRQIEFVTDRPGHDHRYAIDPTRIRDELGWRPSVTLEEGLARTVDWYLSREDW------ 303244004 ----RALITGGAGFIGSNIALELQNKGYDTVVLDDFSSGNFKNLHG---YEGDVISESILDVDLNKFDIDAIFHEAAITDTT--VKDQKLMMQINTEGFRRLLNFAVKNDI-KFIYASSAATYGNAKS-PQKEEYAGKPNNIYGFSKWICDCIAKKYMKKYDSHIVGLRYFNVFGPR------EQYKGKMASMVWQLAKQMADGKNPRIF------KWGEQKRDQVYVKNIVQINLLALDAK----ESYIVNAGSGNAVSFNHIIEVLNDVLGFDYKPEYFDNPYEEQDFTQADLTNAKKYLGYEPKWNFEDAVKDYVEWLKEN--------- 300709849 MINQRVLVTGGAGFIGSTLANHLAEDN-DVIAIDDCYLGTPENLDSAVEFH-----ESSVLEDDLPTDVDVVFHLAALSSYAMHEDEPTRGARVNVEGFVNTVEQAREDGCDTVVYASTSSIYG-NQTEPSPEDMPVEVNTGYEASKLARERYAEYFANHYDMSMAGLRFFSVY---QGYGGAEGHKKEYANVIAQFADDLASDEAPVIYGD------GTQTRDFTHVSDIVRGIELAAEHEL----TGVYNLGTGEAYDFNTVVELLNEELGTEIDPEYVENPIPDVHDTCADYSKINEATGWEPTIDFREGIRR----------------- 222056323 -KQKGVLITGGAGFIGTNLADRLLAAGERVIIYDNLSRPGVEKNLFWLQEKADIRNSLLLEQAVSEAK--NVFHFSAQVAVTTSIENPAADFAVNAAGAFALLEAIRKPAPPTLLFTSTNKVYGAMEGMQMGEDVPLNFLSPYGCSKGCVDQYVLDYAHTYGIAAAVFRMSCIYGPHQFGT-------EDQGWVAHFAIQTLCNNPISLYGDGC------QVRDLLFVEDLVDAFLLARQLMPEKGQAFNIGGGPERSTSLLELLQLLQEIHGDLPSVSFGNWRTGDQRYYISDIRKFSAVSGWEPRHSVEEGVKKLYHW------------- 292655326 LSDKRVLVTGGAGLVGSHLAAHLSEDNY-VVVADDLSKGDREQVPDGEFVQADMTDPDDVAEAV-TEDLDIVFHFAAYT--DTNYGNPRQLFEENTEMTYNVLERMQEVGVSDIAFTSSSTIYGEAPRPTPEDYAPLEPISIYGSSKLADEGLLSTFAHSYDFTVYMYRFANIVGPNQRGNVIPDFIEKLLEDPE----------------TLEILGDGRQEKSYLHVEDCIDAMCHVVEHADR--DYNVYNLGTRTTTSVTTIANIVADVLDLDPEFEYGGDRTGDVPKMRLSIEKL-SALGWEPDGSSDEAVRRAAE-------------- 136000609 ------FITGGAGFIGSNFAHYVSDIWKDVVILDKLTYAGDMDNLYYPVKGVDLAYENRLEELFKQYKPKTIFNFAAETHVDNSIDDVAPFIDTNIIGTINLLKLSVKYDVQMFHHISTDEVYGALKEPPFTENSPYNPQNPYAASKAASDHFVMSYHNTYGLPVMITNCSNNYGPR--------QHREKLIPKTINNILEGKKIPIYSQGE--------NIRDWIYVEDHCAGI---LGISYAGDVGQKYNIGGECEMTNLELVKMIIKMMNSEDLIEFVDDRPGHDLRYAIDN-------------------------------------- 138393039 ---KKIVITGGAGFIGSNLIPVLLEQTHQITVIDNESLGDLSCLPGVECVKGDILDTDLLSETLK--NTDTVFHFAADTRVMDSIENPDFNFQNNVVATYGLLSAAQKAGVRRFLNASTGGAILGEVTPPSHEELPPRPVSPYGASKLAIEGYCSAFHGAYGLKTASLRFSNIYGP---------------KSFHKGSVVAHFFRKILKGEGLVVYGDGSQIRDYLFVGDLVEGLCRAM----RSNVTGVFQMGTGRPTTLNELISVIKETVGSTYPVEYEDFRPREIRDTWCRIDKARKHLDFDPVTTL----------------------- 308748146 MTRQRIFVAGHRGMVGSAIVRQLEQRDDVELVLRS-------------REQLNLLDASAVNAFFAEQRFDQVYLAAAVGGIVANNTYPADFIYENMTIECNIIHAAHMNNVNKLLFLGSSCIYPKQALQPMAESATLEPTNPYAIAKIAGIKLCESYNRQYGRDYRSVMPTNLYGPH-----DNFHPSNSHVIPALLRRFHEAAQNNAA--DVVVWGSGTPMREFLHVDDMAAIHVMELDREVWQENTQHINVGSGVDCTIRELAQTIAKVVGFKGRVVFDASKPDGTPRKLLDVSRLHQ-LGWYHEVTLEAGLASSYRWFLENQHRF----- 55377831 LDDRPVFVTGADGFVGSHLVDTLVEYGANVHTFVRATSSGELNNIRHQRERGDLRDKHSVDDAFQQYDDTLIFHLGAQAHVGESWDRPYETIDTNVSGTLNLLQSDLDLDITKFDTAGTSEEYGNVKEEMLSERSPVNPTSIYATSKLAADFLTMNYNDAYGLPTVTTRMFNNYGPRQNPRYI-----------------TGTIITQALERDIVELGNLQPKRDMCYVSDGVRGH---LHVALGGNPGEQYVYGYGENTSMREWTETILEVWDNPEIVQDDDRRPGDVEELLVGHEKLTEKTGWEPQVTWRQGIRQTIDWYANNKRS------ 142684162 ---------------------------------------------------CDICDEDQLKIIFKKYEPNVIFHLAAESHVDRSILSPKQFIETNIMGTFNLLNLSLDLWNFRFHHISTDEVFGDDSDLKFQESSLYRPSSPYAASKASSDHLVRSWGRTYGLPYILTNCSNNYGP--------YQFPEKLIPQTILNAINGLDIP--------VYGDGNQIRDWLYVDDHVQAL---LCAVFQAEQNQNYNIGGNNEIKNIDVVNQICKILDFADLISYVTDRPGHDLKYAIDASKIHKELGWTPNENFETGIKKTVLWYLDNQVWWKQ--- 143370030 ----------------------------------------------------DILNREMVNTVFSEENIDVVFHLAGRIEVGESEKNPTEFWEVNVGGTLIVLNAMKKHGVNKIIFSSTAGVYFS-SGIMIPEDEATTNNSVYSNTKLSCEYAIED----SGLNFVIFRYFNLAGAEDD--LGENHEPETHLIPRILQNL----NSFQIYGNDYDTPDGTCIRDYVHVSDVVNAHIESVKYLDDSKENVIVNLGSGQGYSVLEIIEVIEKEIGQKVNYQIAPRREGDPSRLVADITLAKELLNYEPQHKIDSIIKSAHEWEKK---------- 142816323 MTTKTILVTGGAGFIGSHTCVELLNGGYDVVVIDNLVNSNRESLRRVEFYEADARDEGALNRIFDAHPITGAIHFAALKAVGESVAKPVEYYSNNVGSLLALLGVMRDRKVKQFVFSSSATVYGVPKSSPIDESFPLSATNPYGQSKLIAEQVLRDLEADPSWRIATLRYFNPVGAHESGLIGEDPAGPNNLMPYVAQVAVGKLEKLRVFGGDYDT--------------------------------------------------------------------------------------------------------------------- 120603910 -KNDKIYVAGHRGLVGGAIVRNLRSRGF-------------GNLLMRSSAELDLRCQRAVEDFFAAERPDYVFLAAAVGGILANDTYPADFIRDNLQMEVNVIDAAHRAGVRKLCFLGSSCIYPKFAPQPMKEEHELEPTNEWYAAKIAGIKMCQAYRRQYGFNAIAVMPTNLYGPGDNFDLSGSHVLPALLRKFHEAKQAGEP-------EVVVWGTGTPRREFLHVDDMADACVHLMEVY--EGESI-VNVGVGEDVTIAELAGLVGQVVGYTGRIVYDASKPDGTPRKLLDVTRL-AATGWRAHIGLVEGITSTYAWYLEH--------- 77919845 ----RILIAGGAGFLGANLSRRLLKDNNEVVCLDNLSTGHYQNIPRFEFIKADIVDPINLS-------FDKVFNLACPASPPQYQRLALQTIDACTLGVRNLLEATRRNNA-RMLHASTSEVYGDPEIHPQIESYRGNVGTCYDEGKRLAETLCYEYHKR-GCAVRIARLFNTYGPFMD--------QDDGRVVSNFTISALTEQPLTIYGD------GSQTRSFCYVSDTVEALLRFMD--LAGDNLPVYNLGNPREVRIVDLAHSILQLTGSNAPMHFHSLPEADPKKRKPCIKRAHQTMNWLPRVSLESGLLQTIDYFTQLLRQQTNE-- 135430180 -------------------VKFLLSSGHSVCGYDAFTDYYDVGLKNNRHKILEVEDKVELNRKVEEFKPDIIVHLAAQAGVRYSLENPEAYLTGNIVGTFNILEIARKLSIKHLLIASTSSVYGSNINMPFREDKCDNQLTFYAASKKATENMAHSYSHLWSLPITMLRFFTVYGPWGRPDLALFKFVECMLSATPIDIYNHGEMFRDFTYIDDIVKSIVLLSDVVPEINPSENSNSLIDSLSPVAPFRIVNIGNSKKVRLLDFIQTIEEELKIIALKNYLGMQTGDVPATLADVSLLKSLTGYQPNTNIRHGIRQFIEWYIKYYN------- 137322521 ----RILITGGAGFIGSALIRHLIQSTHEVLNLDKLTYAGNDDNPRYRFVQADVADSPVVAQTLAEFQPEAIMHLAAESHVDRSIDGPAAFIQTNIVGTYSLLESTRTYWAFRFHHISTDEVYGDLHDDLFTETTPYAPSSPYSASKAASDHLVRAWQRTYGLPVLITNCSNNYGP--------YHFPEKLIPLMILNALAGKPLP--------VYGNGQQVRDWLYVEDHARAL---LKVVSEGQVGETYNIGGHNEQKNLDVVRAICAL-------------------------------------------------------------- 143827297 -----ILITGAAGFIGFNLSKYLLDKNVKIIGVDSLNTYYSKKLKKDRIEEINILNKKKLEKIFKTKKINLVINLAAQAGVRYSLVKPSEFVENNVQGFYTLIEVAKKYNIKKMIYASSSSIYGDSKKFPLKETQNVKPKNIYALSKKINEEMADVFSRQYKISFIGLRFFTVYG--------EWGRPDMFMMKYLSSSFNKKI-------TFYLNNFGKHTRDFTYILD-ACKIITKLIFTKKKYSHEIFNICSNKPQNLIEIIKKINFLTQKKPKLFKRKLQQADVVKTHGNNKKIKNFIGKQNFTSIDIGLRNTVNWFKEYYK------- 88706947 ----RVLVTGAAGFIGFHLSHRLLDDGHEIVGLDNLNDYYSVELKRDRLAQLDLEDRSAMERLFADHALDAVVNLAAQAGVRYSLENPRAYISSNIDGFMNILECCRHANTAHLIYASSSSVYGLNTQMPFSHDNVDHPVSLYAATKKSNELMAHTYSHLYGLRTTGLRFFTVYGP----------WGRPDMALFLFTKAILSGEPIKVFNQGQMRRDFTYIDDIVE---------------------------------------------------------------------------------------------------------- 296169232 ----RALVAGAAGFLGLHLCDRLRRDGFEVVGLDNLCTGRRENIERDPGFRFVEHDVTRPVGAAVAGPLDVIFNLACPGAPRAYQRDPLFTLDTNYVGCRNLLDLARDTKATILQ-ASTSEVYGDPTVHPQAESNCFGPRACYEEGKRVAETLMLEYARRYGVPVKIVRIFNTYGPGMD--------PEDGRIIPTFIAQALRGEPITVFGDG------SQTRSFCYVDDLIDGLVRM--AASEASFTGPVNLGASAEVTVLETAGTIKELTRSSSAIVFAPLPPDDPRRRRPDIGLAESGLGWHPLVPFADGAARTIEYFRRFPKG------ 163847530 ----RVFITGITGPVGSFLADYLLTPGVDIHAFKRWRSDPRHLIGRITIHEGDIEDAFAIDRAIATARPDRIFHLAAQSYPSASWDAPILTMRANVEGTINLLEAARRHVPKRIHIAGTSAEYGPPDEVPISEDHPLRPASPYGVSKVAAELSGLQYHASYGLHVVVTRSFNHVGPRQG-----DRCSIQTFCRQMALIEAGQQEPVMYVGN------LSPKRDFTHTRDVARALWLLLEHGT---PGEVYNLCSGQAVRIGDIVDMVIAMGRVPVTVQVDPARPVDEPILQGDNRKLRAATGWQPEIGIEQIVAEVLDYWRQ---------- 195659557 ----RILVTGGAGFIGSHLVDKLMEEKHEVIVADNFFTGSKDNLKWIGHPRFELIRHDVTEPLL--VEVDQIYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGA-RILLTSTSEVYGDPLEHPQTEAYWIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMN--------IDDGRVVSNFIAQAVRGEPLTV------QRPGTQTRSFCYVADMVD----GLIKLMNGNKTGPINLGNPGEFTMLELAENVKELINPDVTVTMTENTPDDPRQRKPDITKAKEV-------------------------------- 142758735 IKNKQIFITGGAGFIANTLIRRLVDNN-KIVIFDNFSGSDLKDNQNIEVIKGDVLDYEHVKKSMKGSDI--VVHAAGIAGIDTVINNPVLTGRVNVIGTANVLEAAKENNVDRLIDFSTSEVFGSMAFKSSETDSTVEARWTYAVSKLAGEHLAHAYYKQFNLPCVTVRPFNVYGPGQTG----------EGAIQIFIKKALKNETISIDGDG------NQIRAWCYVDDFVDCIMECIENPVAIGESFNIGNARAV-ITILGLANTVCRVLNSSSKIIHEPPLSADIAIRIPSVEKIEQKLGIKAKVDLEEGILKTADWMKNN--------- 297560679 ----RVLVTGGAGFIGSRVAEELRLAGHEAVTLDALPQAHRDTEHRTVDVVGDVRDGEIVERALRGV--DAVCHQAAMVGLGSADFDAPDYVRCNDLGTAVLLAAMARTGVRDIVMAGSMVVYGEGRYTCVGEDAPSDPRNVYATTKLAQEHLCAAWARSVGGRAVSLRYHNVYGPGM------PRDTPYAGVASFFRSALARGEAPRVF------EDGRQRRDFVHVGDVARANVAALEAVAAPGELSAFNTGSGTPHTIGEMARALADAHGGPEPLVTGEYRLGDVRHITASSDRLRRELSWRPRVGFAEGMAEFAR-------------- 142611121 ----NILVTGAAGFIGFHTSKRLSRMGFNVFGIDNFTDYYDVDLKKARFEDISINDSEKLKEIFRKSKFDRVIHLAAQPGVRYSIENPMIYGESNLIGHLNILELCRHFDIQHLVYASSSSVYGMKDNQVLSSNNVDHPVSLYAATKKSNELMTHVYSHLFNIPCTGLRFFTVYGP--------WGRPDMALFKFTKSILNGDPIYLNNNG--------DMKRDFTYIDDIVEGVTRVLEKIPVSNDTDIFNIGRGKPQNNKDFVSVLEDSLGKKAKIEYREMQPGDVKTTH----------------------------------------- 136369472 -----------------------LSLNDKIIVLDALTYSKVEHNLGFEFVEGNIKDAALVDSLFSKFQPDAVINFAAESHVDRSIESSEEFVSTNIVGTNVLLQTVLNYWNFRFLQVSTDEVYGSLRSGEANEQSPNNPRSPYAASKASADMLTKSYFFTHKVPILITLGCNTYGPR--------QFPEKFIPLMILRALSGMSLP--------VYGDGTNIREWIHVDDHAEAIYEVL---KNAIPGNAYNLGSGHRVPNIEVVRALCSIIGYDSLIEYVTDRPGHDYRYAMDTSKIEQELGWKTNIEFGQGLVSTVHWYLENQEWWK---- 135394574 ----------------------------------NLDNCNDIIDKRYRFVHGNINNKPAMDQIIEDEEISVIIHLAAESHVDRSINSVQPFVETNIDGTRILLESIADSRPIHFIHVSTDEVYGSPDDPPFTEDTPLNPQNPYAATKAASDMLVQAFVNTHGISAAITRCSNNYGPR--------QFPEKLIPLMTINAMKKKDLPL--------YGDGKQIRDWIHVEDHVSGILSTMLAVGQIRSGEVFNFGAANERANIEIVQTILDNVGADKSITYVSDRPGHDRRYAMGYEKANRILGWRPEIDWETGISETIQWYTENKSWIDS--- 142387366 -------------FVGSYLCEKLINDGHKIIVIDNLLTGSTENINDLDNENFSFIEQDVQDHIEIKDKVDYVLHFASAASPKAYTEHPVNTLKAGSVGTINTLGLAKKHSAEYL-LASTSEVYGDPLISPQNEEYWGNERSMYDEAKRFAEAAVATYSRSYGLKTKIVRIFNTYGPRMQLNDGRV--------VTNFIVQALRNENITIYGDG------TQTRSFSYVEDTVAGIISLM----NSTEYDVFNIGNPNEMTVAELAEKIIELTDSTSEIKYLELPNDDPKQRKPDITKAKTKLNWEPKVNLDEGLAKTISW------------- 228962925 LKYRRILITGGHGFIGSHLVKRLLKEGAHVFILAREHAWRLKKVLKNIQVNGDIINAVEVQTIVRQIQPDYVFHLAADTEHSLQDNSISK-IKTNIIGTTNIMEAVRLIGCKKVINLGSSSEYGVTIN-PINENTPLNPQEIYGITKAAATQIAHHIAKRSKINIVTLRPFNIFGEGASS-----------------KNLFTYIISQLIQDKEVHLTHCTQTRDYCYIENIVTGL--ILAATNTGIKNEIFNIGSGETHPLKFFVEKIFQHFNRKPLYGHIPYSLQERLEVTSDVQKIKNKLNWKVVVSLEEGIKNTINWYKNNQEWY----- 218888006 -----VLVTGAAGFIGINLVKALAPRCRRLICYGRRAQESPLGLPGTECHTGETGDIDRLGPLL--HGVDRVIHLASSSTPVNADWDLVTDAEQNVLTTLKLFRACLAAGVGRVVFVSSGTVYGPGAVVPTPESAPTDPITAYGVAKVAIEKYLHVFRHLHHLDYRVLRVANPYGP--------------YQLATKGQGIIGAFIRKALAGQPEIWGDGSTVRDYVYIDDLTDAL---LRAAVHDGPGRVFNVGSGRGTTINEVADTLEAVLGRRLERLYHPPRPVDVPVSVLDCAAAWREMGWRATTPLADGMTDTLRWFTRH--------- 142365168 IKSMKILITGSAGFIGFNFSKFLLNTNFKIIGLDNFNDYYDVNLKRNRFKKIDICNNKNLNKIFKKEKFDFVFHFAAQAGVRYSIKNPRKYIDSNYIGFFNILENSNFYKVKRLFYASSSSIYGENSQFPLKEKYLTYPKNIYGLSKKSNEELSEFYHRYYGLRSIGLRFFTVYG--------EWGRPDMMMIKYISAFFNKKKFILHNFGNHV--------RDFTYIGDVVKILFLLLKKDNKIKNFEILNVCSNNPIPLSKIIKTMKE-NNIVPKIKKTSLQMADIIKTHGDNKKILRITN------------------------------ 141226966 ----KILITGVSGFIGFHLCLLLLKNNYNVIGLDNLNDYYDVNLLKLNFYKIDITDYKTLAECFKNEKPQIIINLAAQAGVRYSIQNPKSYIDNNIFGFFNILELCKKIDIEKLIFASSSSVYGNLDKEKFSENDKVDNLNFYAVSKKTNELMAHAYANLYSIPSIGLRFFTVYGP----------WGRPDMAYFKFTKNIIEDIPIDVYGNGNMYRDFTYIDDIVEGILKLLETSNEKLFSSSKNLYEIFNIGNNNTVNLNYFISILEKSIGK----------------------------------------------------------- 134366827 ----RALITGGAGFIGSHLADALIARGDSVIILDNLSTGSKKNIAHAEFYEGDIRDKDLIETLI--EKSDVVFHMAAALGVKNIMEHTLESIDRNFTGSEIVLRA-ATKFKRRLLIASTSEIYGKNPNQPLHEESPQKIRWTYSDAKALEEAVAHTLHKSEGLKVTTVRFFNTVGPRQTGQY-------GMVVPRFIQAAITNED-------LVIYGDGSQSRVFCHVEDAIQAVISLVDTASTIGD--YYNVGGVGEITIKDLAGKIIAKTHSNSQIRYIPYAEADMQRRVPDITKIKKSINWQPVHNIDSIIDSIVN-HKKN--------- 142743153 IQGKKIFITGGAGFIASMLISRLADNN-QITVYDNLKDSAYANHPNIKQIEGDVLDFELLKESMKGHQL--IVHAAAIAGIESTIKNPVTTMRVNMIGTANALEAAHQIGVERFIEFSTSEVFGVDETHQTTTGAVGEARWTYAVGKLAGEHLAHAYHKQFGLPTVTVRPFNVYGPGQTG----------EGALSIFIRKALKNEDLMIFGDG------TQIRAWCFVDDMVEGVMCCLEHPNAIGESFNIGNSRAV-TTIFGLAEAVCRVTNSKSKIIFRDALSADIELRIPQVQKARDVIGFEAKVDMEEGLRRTAEWIEKN--------- 147677436 LKGRRILVTGGAGFLGSHLCEKLLAEGAGVRAMDTFASGRLENLRPVNKIELVNSNIACAERVLEAADVDSIVHLAFPMALRCRPVETGVVGEI-LTGLLNLIKAALSRNAL-LVYVSSIAVYGNDKYIPMDENHPLEPVLIHGAVKLAGENFCRTMAASNGLRMVILRVADIYGPRNSRV----------SVPIKFLLQAMKGEPITVYGDGSDRRTYTFVSDFCEA--------VVLSLLRPEAVGGVFNIGGDECVSMRELALKVKKAAGSKSPVIFQDAPAAG-RTLCIDSRKAKKLLGFRPAFDLAEGLALTHRWIRDNPDYY----- 142475323 --SEKIIVTGGCGFIGGHFLDYIHSKTDEIIVLDKLSYASNINVIQFKFVWCDISNESHVNYIFNEYKPTKVFHFAAESHVDNSIKNYKPFLESNVIGTINLLNASLKVDLEKFHHVSTDEVYGSDSDELFTEETQIDPRNPYSASKASSDHFVSTWNNTYKLPYLITRCSNNYGPNQ---------HHEKLIPRVITNALKGKKT-------YMYGGGNQTRDWLHVKDHCAAIWLLDELKVI---NDIFNIGGDCEKTNLNVTKSILDIMSKPYNLIGVSYRPGQDSRYGTDHSKLTNLTGWKPSVKFEKGLNEVVMEYMK---------- 141719505 ----KILITGAAGFIGSHLARLLCQKKITVYGIDNISDYYDKKLKLERFNQVDLLDKKNLDKYISKNRFDMIIHLAAQPGVVYSIENPFAYINNNIIAYLNLLEICKKRKISNFIYASSSSVYGKQNKAPFKETQKTDPLTVYSATKITDEYISYVYSNLFSMNFIGLRFFTVYGP----------WGRPDMSPNIFMNKLINNSEITLYDGGKGI------RDFTYIDDIVKAIYQILKKTKKLPKYEVYNLGLGKPISIKFFLHQIEKLLNKKGKIKYEKKRKADMKLTFSDSSKFQIDYNYKFKTT------------------------ 146319086 -KKMSILVTGGAGYIGSHTVVELLKLGKEVVIVDNLSNSSILVLKRPTFYELDVADKEALRQVFENENIEAAIHFAGYKAVGESVAKPIMYYENNIMSTLALVEVMTEFSVKKIVFSSSATVYGLNNPSPLVETMPTSATNPYGYTKVMLEQILRDVEADKEWSIALLRYFNPIGAHESGLIGEDPAGPNNLMPFIAQVAVGKREELSVFGNDHDT--------------------------------------------------------------------------------------------------------------------- 206602064 ---QKIMVGGGCGFIGSNLIARILDGGVRATAVDNLSTGHPQRMKSDRYQKGDLSSSRFTRSVFQEVRPDCYIHVAGLADPLPGGKDPVHDIEKSVFPLLNVLRLEESGGAGHFILVSTGEVFGGENTPLPGEKETPVPDSSYGVSYLMMEHYLSVYAPRLKMPFSIVRLSPVYGPGQS--LEGETGQMTFWIRAILRQDKGEIPRLTGNGMRI--------RDFIYVQDAVDA----LYALALEGNTGVFHLGSGIEASERDVFSKMRQASGIPVDISYENSYNNGPQKRILGHQRLEEELGWSPATTLEEGVSQTVSWFQRW--------- 256420137 -QSDKIYVAGHRGMVGSAIVRRLQQDGFTNIV-------------TRTSAELDLRNQEATAAFFATEQPDYVFLAAAVGGIVANNTFRAEFIYENIMIQNNVIHHAYLNGVKKLMFLGSSCIYPKLAPQPLREDGLLEPTNPYAIAKIAGIKMCDAYRAQYGCNFVSVMPTNLYGPNDNYDLRNSHVLPALLRKFHEAKKNNAE-------EVMIWGTGTPLREFLHADDMADACFYLMQHYNEEG---LVNIGVGEDISIKDLALLIKKITGYEGGLSFDTTKPDGTPRKLMDVSKLHN-LGWKAKIGLEEGI------------------- 302904014 ---RNIMITGGAGFIACWVVRHLYPHAYNIVSFDKLDYCSSLNNTRFNFYHGDITNPTEVVDCMERYGIDTVMHFAAQSHVDLSFGQSYDFTYTNVYGTHVLLESARKVGIRRFIYVSTDEVYGEVEEGEDGETSSLAPTNPYAASKAAAEMLVQSYQKSFKLPAMIVRSNNVYGPHQYP----------EKIIPKFTCLLNRRRPLVLHGDGTPTRR------YLYAGDAADAFDTILHKGQ---VGQVYNIGSCDEVSNLELCALLLDRISIPHWIKHTRDRPFNDRRYAVDDTKLRR-LGWEQKVSIHEGLKITVDWFTQFGES------ 225850636 ----NILITGGAGFIGSNLALTLQEIYPEILILDDFSSANFKNLKKFKGEVL-ACDVSSDEIFFKEFQPDLIFHLASITDTT--VTDQELMMRKNVDGFKNVLEIAYDNEATVVYASSASVYGNVKEHIPLKEDREKSPENVYAFSKYIMDNIAEDFSEKTGLKVVGVRYFNVYG------YGEAHKGKFASMIYQLYRQMKAGKRPRLF------KWGEQKRDFVYIKDAVEATILAKEA----PHSTVYNVGSGEARSFNDIVSLLNKYLGLDLQPDYFDCPYDFYQEYQADMSKIKEELGFVPKYNLEKGIKEYVD-------------- 37678534 MSSKTVFVAGHTGMVGSAIVRKLKQ-------------SNDIKVITKSRAELNLLDQQAVRTFFEENQIDQVYLAAAVGGIVANNTYPAEFIYENLTIQSNIIHSAHLSGVNDLLFLGSSCIYPKFAEQPMTETAVLEPTNPYAIAKIAGIKLCESYNRQYGHNYRSVMPTNLYGEN-DNFHPENSHVIPALIRRFHEAKLAGDGKVVAWGTGKPRREFLHVNDMAEASIHVMNLDSKKYSVNTQEMLSHINVGTGVDCTIRELVETVAKVVGFEGVIEFDVTKPDGTPRKLMDVSRLKS-LGWEYSISLEVGLRDTYGWFLANQDNFRK--- 33862359 ----KAVVVGASGFIGSHLVDALLAQGSQVRALSRLITPKAQDHPGLVLHPLDMADRLGLEKAL--VGSEIIFHLASGSLPQSSNRNPREDININVLGALNLLEASLEVGIQKFVFVSSGTVYGIPKQVPIAENHPTDPICSYGITKLAIEKYVSLYRHLYGLNSTVVRLANPYGERQRLDSCQGVVP-------VFLNRALRSEPLEIWGDGSTI------RDFLYITDVVQALLAISHY---KGPENLFNVGSGIGLSLCELVKLIENELGRPLQVSYQQSRTFDVPTNVLSIKRARNCLGWSPKVCANDGIHRF---------------- 138804990 ----RVFVTGGAGFIGSHICERILDLGHEVVCFDNLITGFEENIEHLKMRKGDIRELNQVKE--GMEGCTHVSHQAALGSVPRSIQDPIRTNEINISGSLNVLSEAQKKSVERFVFASSSSVYGDNPDMPKVESRVGRLLSPYAVTKASFEEYARVYNQIHGSCTIGLRYFNIFGPRQS------PSGAYAAVIPLFMKHLSKKERPTIFGD------GEQTRDFTYVENAVEANILSLFGDVPHAFGKTYNVACGETLTINRIYNEILQVINEKMDI------------------------------------------------------- 83309162 ----NVLVTGGAGYVGSALVPKLLAEGHKVTVLDLYLYGEDLFALRGPNVKGDIRDIKVVEDALKGC--DCVIHLACISNDPSYDLDPNLGRSINYEAFRPMVRAAKAAGVKRFIYASSSSVYGVKDEPEVTEDLPLEPLTDYSKFKALCEQELEA-EREPGFAVCTIRPSTVCG--------YAKRQRLDVVVNIFTNQAVNNGKIRVTGGP-------QKRPNIHIDDMVRCYLHLLQQPAEKIDG-KIWNAGDTNFPISELAEIVRKVVG---QVEIETLPTNDPRSYHVSGKKIRDEIGFELQYTIEDAVRGLVD-------------- 143361901 ---KKILVTGGAGFLGSHLCDRLVEQGHHVLCVDNYFTGNIEHLLDHKNFEVDIC-------IPLYVEVDEIYNLACPASPYYYQWDPIQTMKTSVIGTYNMLGLAKRTGAKILQ-ASTSEVYGDPEVHPQTEEYWIGIRSCYDEGKRAAETLCMDYFRVHNVDVRIIRIFNTYGPRMAQNDGR--------VVSNFVVQSLQGKNITVYGS------GEQTRSFCYVDDLIDGMLSYMALEAPDGMPGPINLGNPREFTMNELAEKVISLTKTDSKILHPPLPQDDPKQRKPNIEKAKE--------------------------------- 135536602 ----KLLVTGGLGFIGSNFITNLIKNDIHITNVDAELLGSNHQNLNYNFVKGNITNRILMEKLID--DTDCIINFAAESFVDRSILDANQFLVSNIRGTYTLLEILRHNK-KRMVQISTDEVYGSLKTGSALEETKFNPSNPYAATKASAELLINSYVMTYGLDCVITRCTNNYGPRQ------------FYEKLIPKTILLASQNKKI----PIYGTGKNIRDWIYVDDHCAAVHKVLD---EGKTGESYNISSNNEIDNLTIVKKILEIMNKSNDIEFVDDRPGHDLRYSLDSSKIRNSLNWNNITNFEEGLQKTIEW------------- 254563985 ----RLAVTGAGGFIGAHLTRALLAEGHEVVAIDNYIRGQASRLANAQRVTLDVRDKDALVEALRGVEC--VFHLAAVNGTENFYTQPQLVLDVGVRGALAVSEACIEAGVPDLVVASSAEVYQTPRVVPTDETDSLNPRYSYGGSKLISELIAFNYCRDKLRKVQVFRPHNIYGP-------DMGWKHVVPQLIEKIVAAGDGGSITLQGDGSETRA------FCYVSDVVDGIVRM---WRDGESMNVYHIGSMEEVAIRDLARITAEALGTRVELIAGPAAAGATPRRCPDIGKMQAI-GYAPSVSVVQGIERTVAWYRENP-------- 281417806 ---KVMLITGGAGFVGSNFIRFFLRRNFIIINMDNLSSTSNEKSPRYHFVKGSITNHELVNYVIKRHRPDCIINFASESSLDNCANNPLNFTQTNVLGTQTLLESARYFWGKNFIQVSTGEVYGPANDVFFSEEAPLLSDNPFSASKAGADMLVKSYTITYGFPAIITRCCPTYGP---------------------CQHIGNFIPKCIINDKPITVCENKVREWIYVLDHCIALTKILFYGRT---GEIYNISSGNEISDFDVAKKILGLVGKPDSAIEKADDSSLPKRCILNSYKLKSNLNWSIKFKLEEGLRETILWYKQNPDRWKN--- 183221420 -KNSKIYVAGHNGLVGSALVRVLKQQGFTNVI-------------GRTRSELDLTNQLEVNQFFEKERPEYVFLAAAVGGIHANNSYPAEFIFSNLQIQNNIIDATYRYQGKKLCFLGSSCIYPKFAKQPMDEDGKLEPTNPYAVAKIAGIVMCQSYNRQYGTEFFSVMPTNLYGPGDNYHPQNSHVLPALLRRFHEAKVNGLP-------EVVIWGTGNPLREFLYSDDMARACVFLMQNYQESRGGEHVNVGSGIEVSIRELAETLKDVVGYHGKLTFDLTKPDGTPRKLLDVSKLHR-MGWKHEVELREGIRLAYDDFLQN--------- 188580532 -----VLITGGAGFIGRHLASALLARSYRVRVLDSLIEHGARTVPEGEFVAGDVRDGEAVARALAGA--THVVHLAAEVGVGQSMYAVERYVSVNDCGTATLFQALIEAPVKRVVVASSMSIYGEPWDPPGPDGQPLRPVSVYALTKYMQERLTLTLAPAYGMEGVALRLWNAYGPGQ-----ALSNPYTGVLAIFAARLLNGQPPM-------IFEDGEQRRDFVHVEDVAQAFVLALEHPAAVG--QVYNVGSGQDRTVNEVAQLLARAMGREDPQATGQARLGDIRHCIADIGKITRELGYAPKRDFADGLAELAAW------------- 138955884 ----------GAGYIGSHISYLLIDKGYNVTIIDNLVTGDKKIIPKAKFFKTDIANKKKIKQIITQNKFDCVIHLAGLVSVEESVLKPKRYLNYNYEKAKIFLNLCFKNNLKKIIFSSTALVYGNSKNKIINENFALKPSNPYAKSKLKLENFL---IRTKDISYIILRYFNVAGAEVKLRTGLISKHSTHLIKKASETSIYKKKRLIINGNDYNTNDGTAVRDYIHVADLAEIHLKSLIY-LEKSRSNIFNCGYGIGYSVLDVINTYNNILKNKISYIFGPRRKGDIGFLVS---------------------------------------- 136871296 MKNK-VLVTGAAGFIGSNLSEYLLDKGYEVIGLDNFSTGYEKNLIGLHKNRGDIRDFSTCLKASKGVSF--VLHQAALGSVPRSIKDPLTSNEVNINGFLNILEASRQNSVKRFIFAASSSTYGDVKELPKVEENIGKPLSPYAITKYVNELYAEIYSNTYGIETIGLRYFNVFGRRQDPN-------------------------------------------------------------------------------------------------------------------------------------------------------- 143409347 ----KAIVTGGLGFIGSHLSSKLIQNGYHVSIIDCQTYAHDKKNKNVSIYNVDIRNFIQLSSVINKEEPDVLFHLAAETHVDNSIDNPDDFITTNIIGTYNILKACKFYYNFKIISISTDEVFGECDDEKFNEFSSYNPRSPYSASKASADHLAKSYFHTYGLPVILTHSSNNFGPNQN----------VEKLIPKVINSFMNKIRVPIYGN------GKNIRDWIYVEDHVDALITI---SKSAQPNDRILIGADNPLSNIELINKIFKIYKKDQVIKFVDDRKGHDFCYKINNSKLINNFNWYPKYNLK---------------------- 228469686 -------VTGAAGFIGTNLAYYLSEADERIVLIDKLTYAGNYRNERIVFVQADICDAEAMDALFTRYAPHYLINLAAESHVDRSIEDPAIFVRTNILGVQTLLDTIRNHWRMMLQVSTDEVYGSLGREGFFVESTPLDPRSPYSAAKASADLLCQAAVHTHHAPVVWTRCSNNYGP--------YQFPEKLIPLVIRQCLLGKEIP--------IYGTGENVRDWLYVTD----HCRALHLVVTQGKAGTYNIGGHNEHTNLEIVRIIISQLHDEELITFVRDRLGHDARYGIDPTFIHQELGWLPSVPFAEGIGYTIDWYLDHFDWVKS--- 142949745 ---KTV-VTGGAGFIGSHLADLLIDLNYEVIVIDNLSVGRKENITHFTFVQADICNFDLIEPIFM--DADWVFHLAALADIVPSIENPTEYYKSNVNGTFNVLQACRKYQVKKIIYAGSSSCYGIPDEYPTKENAAIGPQYPYALTKNIGEQLVMHWCQLYNLPAISLRFFNVYGPRART--------------------------------------------------------------------------------------------------------------------------------------------------------- 260877907 ---KRVFVAGHKGMVGSAIVRQL-------------SKDSSVEVITKDRNELNLLDALAVEAFFVTHNIDQVYLAAAVGGIVANNTYPAEFIYQNLTIQNNIIHSAHLHGVQDLLFLGSSCIYPKFAQQPMREDSLLETNEPYAIAKIAGIKMCESYNRQYGRNYRSVMPTNLYGEN-DNFHPQNSHVIPALLRRFHEAKLNGDSKVVAWGSGKPMREFLHVDDMAAASIYVMNLAQEVYLENTQEMLSHINVGTGVDCTIRELVETVAKVVGFDGEIEFDTTKPDGTPRKLMDVSRLKS-LGWEAKTSLEDGLTMTYQWFLENQENY----- 141047809 --NSKILVTGSAGFIGSALTIKLLDSGYEVIGIDNHNEYYDPALKEARHFRMPIEDKDGITDLFKQFKFDKVVNLAAQAGVRFSIESPMSYIESNLLGFANILEACRQNPVEHLVYASSSSVYGSNTKMPFTEVNVDHPLSLYAATKKANELMAHAYSHLYSIPTTGLRFFSVYGP--------WGRPDMALYKFTRKIIAG--EEIQVNNNGNHTRDFTYIDDIVNGIMLVLNNCPKPDPNYDSNNPRIYNIGNNEPVKLMDYIAAIENALGIEAKKV------------------------------------------------------ 171184963 ----RVLVTGGAGFIGSHLVDRLVEEGYEVVVVDNLSSGRWENV--NPRAEFIRRDLKEP-GWGVGLRADAVFHFAANPEVRVSTTEPRVHFEENVVATFNVLEWARVSGVRMVVFASSSTVYGDARVMPTPEDYPLEPVSVYGTAKAAGEVMCATYARLYGVRCLALRYANVVGPRLRHGALYDFLMKLRKKPE----------------ELEVLGDGTQKKSYLHVEEAVEATLRAWRKFEEVGEPYALNVGNFDVASVLDIARAVAEAMGLSPQIKLRPAWPGDVKYMLLSIKKIVELTGWRPRLNSLETVRRA---------------- 253701746 -SNNKIFIAGSKGLVGSALTRSLKDAGYH-------------NLLTPGKDQLDLTDHIGVKAFFESEKPEYVVLAAAVGGIQANNTYPADFIYQNLAIQNNVIHQSHLNGVKRLLFLGSSCIYPKHAPQPMKEEHPLEPTNPYAIAKIAGLKMCEAYNRQYGTKFIAVMPTNLYGPGDNFDLANSHVLPALIRKFHEAREQGAP-------EVVVWGTGTPRREFLYVDDMAQACLHLMEELTTYPKPCFVNLGTGVDVTIRELAETVREAVGFEGKLAFDTSKPDGTPRKLQEVSRMKA-LGWEAKVSLKDGVAKSYQWFLENQGGLRR--- 271967768 ----TYLITGGSGFVGSHLTDALLARGDSVVILDNLSTGHAAGNPRLRIVHGSVLDELMVDELV--HRCDVVVHLAAAVGVKLIVEQPLRSLTTNIRGSEIVIEAAHRYR-RKILVTSTSEIYGKNSSGPLTELSDRILGSPAVVAKAVDEILANAYHRERGLPTIIVRLFNTVGPRQS--------PAYGMVIPRLVRQAAGDVPLTVFGD------GTQTRCFAHVGDVVEALVKLLDHDGAVGQT--FNVGSNDEVSILELAKMIIELTGTTAGVDLEAYEKGDMTRRVPDTTKLRELTGWVPKRSLNDILTESIA-------------- 139828540 -----------------------------------------------------ILDRTKL--IQSLEDVDSVIHLAAKKAVGESVENPLKYYQNNVGGTLNLLAAMSAKSVKTIVFSSSAAVYAPNDKPAIEESDLTKPLSPYGETKLLSEQLISKVSVAEGISAISLRYFNVVGSAQ----PEFGDNSKDNLVPKVFNALNAGKSPEIFGDDYLTKDGTCIRDYIHVGDLADAHLVALEKANNEYINEVYNVGSGSGYSVKEMMEQMARSMNLAFNPVVSDRRAGDSPQLISSIKKIELDLGWKPKATLKEMIDSSW--------------- 142079160 -RDRAVLVTGGNGFLGRNVVRVLRDAGARV--------------AAPRRAEADLTLPGVAERLIAEHRPTHVLHLAAVGGIGYNQVAPAPLYLDNLLMGTHVIEAARAAGVDKTVVLGTVCMYPKYTPVPFREEAPEETNAPYGIAKKALLVHAQVNARQYGQRFAFVIPTNLYGPG-----DKFHESVSHVIPALIRRCVEAKESGTDKVAVWGTG--TASRDYLYVEDAARAVVLAAELHD---GVEPLNLGNDREVTIRETVETIARLVGYRGELVWDATRPDGQPRRRVDASRAEAALGWHATTDFESGLRRTIKWYLAHREA------ 143483339 --NKKVLVTGCCGFIGFHLSNSLMEEGNQVIGIDSLNSAYDVKLKQKRLEFNNNLSREDSLNEIKNYDISTIYHMAARAGVRQSFLDPQSYIKDNTYATATISNFCKEMDIPEIILASTSSIYGDSGENLMIEDEKIKPPSVYASTKLSGESLSKIILEDTNIKLIIARFFTVYGP----------YGRPDMSILRFIHWIINEEKVKVFGN------GEQQRSFTYIQDVVD----ALKSMSGLEKSFTFNVGSDITVSLNEVIKLIENFSGKNANIENLERAYKDPDVVRPNLGNIKKTIGWEPTTKIQDGIEKTVAWYKEN--------- 297564307 ----KAVVTGGAGFIGSHLCDHLIARGHQVTVLDDLSTGQAQGSPGFRFVEGDVLDRELVDSLVAPA--DAVFHLAAAVGVYNIVDNPLRSLRINLHGTENVVEAAVAHRVPY-MVASTSEVYGKNDADGLKEGDDYGPATKSRWSYAAAELVAYVQGVESGVPCVITRFFNVVGPRQTGRY--------GMVVPRFVDQALADEPITVYGT------GTQRRCFGSVFDVVPALLRLMDTP--EAYNQAVNLGGHEEVSIKGLADRVVELAGSRSAITYVDYEEADMQRRYPDTSLAARLIGYRPERDLNDIIRSIME-------------- 301058741 MMNKRIYVPGHGGLVGAAIVRRLKAEGY-----DNL--------ILRTHDELDLTRQASVEAFFEKQRPDFVFLAAAVGGILANSSYPAEFIYQNMLIEANIIHASHCYGVKKLLFLGSSCIYPKHCPQPMKEEHYLEPSNPYAVAKIAGIEMCQAYNRQYGTCFISLMPTNLYGPGDNFDLKTSH-----VLPALIRKFHEAKNGDCPFVEIWGTG--TPRREFLHVDDLADACLFLMDSYDA---SEIINVGIGKDLTILELAQMIARVVKFNGDLRFDSDKPDGTPVKRLDVSRL-NALGWQPKISLEEGIKRVYHAY------------ 54401415 MKKQRIFVAGHRGMVGTAIVRQLSLRDNVELVL-------------RTRDELNLLDSSAVQAFFATERIDQVYLAAAVGGIVANNTYPADFIYENMMIESNIIHAAHLHNVNKLLFLGSSCIYPKQATQPIAESETLEPTNPYAIAKIAGIKLCESYNRQYGRDYRSVMPTNLYGPH-----DNFHPSNSHVIPALLRRFHEAREQNAP--DVVVWGSGTPMREFLHVDDMAAIHVMELDSEIWQEYTQHINVGTGVDCTIRELAQTIAQVVGYKGKVVFDSSKPDGTPRKLLDVTRLHS-LGWRHSVSLEYGLESTYQWFLENQHW------ 115525507 ----KILVTGGNGYVGRELCRQLYDS-HRVLVVDELRYGCEDDLARLDLIQADVSDVRAMAAV-REFAPDVVIHLAAIHYIPECETNPALAVSTNVAGTVAMLQAC--PPGCRFVFASSGAVYAPDASPHSETEAATVPTDIYGLSKLQGEHYVRYIARARGFPAVIVRLFNVVGPGETN---------PHLLPEIIAQLKAGNRSIRLGNLW-------PKRDYIHVRDARGFAAAALEGAVANGDAVAVNLGTSKAYSVSEVVERLRRISGCQFELLEDSSRVRDRPVLAADVGRIRRMFGWSARLSIDDALSDLWR-------------- 137811982 ----NILVTGGLGYLGSHAVVELIKQNYGVVILDNLSNSHKKNLKQIKFYEADIRNKNELDKIFKDNKISGIMHFAGLKSVKKSNFKKKEYFDVNVNGTRNLINVLNETSNEKFIFSSSACVYGNPDYLPYDESHSLRPENYYGQTKLESELILENFNNFKDWKIIILRYFNPIGSHHSYLIGDDPLGPENLMPNLLRAVNSDKKSLKIFGSDHDTFDGTPVRDFIHVEDLINGH-------------------------------------------------------------------------------------------------- 21226760 MDKRKIYVAGHRGLVGSALKRKLESKDYSNLIF-------------RTHRELDLTNQQAVNEFFEREKPEYVFLAAAVGGILANNTYPAEFIYENLMIEANIIHASYKCGVKKLLFLGSSCIYPKLAPQPLKEEYLLETNEAYAVAKIAGIRLCKHYNQQYGTNFISVMPTNLYGPNDNFDLETSHVMPALVRKFHEAKVNNEP-------EVVIWGTGKPYREFLHVDDMADACVYLMENFNTDDIGEFVNIGVGKDITIGELAELIKEIVGFKGEIRKDLSKPDGTPQKLLDITKLSS-LGWKANISLKDGIRQTYEWYQ----------- 52079238 LSGQHIFITGGAGFIGSSLIGKLIERN-SVTVYDNFSRDSLRYKPYRDHPQGDILDLNALKKAIQGA--SHIVHAAGIAGIDTVIQNPVKTMQVNMIGSANLLEAAAGTECKRVVCFSTSEVFGQIAFRARETSHTVEARWTYAVSKLAEEHMAYAYFKELGLPTVTVRPFNVYGPEQVGEGAIKTMV----------------HRALLDEPIYIHGDGTQIRAWCYVDDMIDGILRCLTMKEAIGESFNIG-NERTVITVYGLASTIIRVLGSKSQIFFGEKKEADIELRIPQVNKAKEMLGFSAKVDLEEGIRRTAE-------------- 84502180 -----VVVTGGAGFIGSNLTESFLQEGRDVVVLDNLSRGVEENLAWLSARHGDLVDLRDSQALSDSVKDAAVFHLAAQTAVTSSLDAPVDDFDINARGTLNLLEAVRATEREVVLFASTNKVYGALADLEVDETRPLDFCTPYGCSKGVADQYVLDYARSYGLKAAVLRMSCVYGPRQFGT-------EDQGWVAHFLISALKGEPITIYG------SGRQVRDLLEVSDAVAAYRQVHARIGDSGHAFNLGGGAENAVSLRQVLNEIPRLTGITPEVRHGPWRQGDQPWFVADTSALTAATGWHARTGWRDGLTRLASWLSEN--------- 136159157 -KNSKIFVAGHRGLVGSAIVRTLKERGYNNVV-------------TKTRSELNLLNQKDVFEFFDQEKPKYVFDAAAVGGIYANDTYSADFIYENIQIQTNLIHGSWRSGVEKFLFLGSVCIYPKYAEVPVKEESYLEPTNDAYAAKISGIKMLQAYNKQYGFKGVSLMPSNLYG-----IGDNFHPDNGHVIPAMMTKFNSSNGKSVTF-----WGDGTPMREFLYADDLADACLFAMNHFEN---AELINVGSGENVSIKNLANVVASVVGYTGNIEWDISRPNGTPNRPLDCSK-MEEIGWKPKHTLQQGLKKTYQWFVEN--------- 136444813 MSKQKWLITGGAGYIGTHIADLFITSGKDVVLVDSLYQGRTKHKVEIPLKVIDLRDYSAVDALLAEYSFTGIIHTAALKAVGESMEKPDEYQEVNYTATVELLEAAKRHGVTRFIFSSTAAVYGSPDSMPCREDSPTAPISPYGSSKLMAESKVTEFISIPGNHGSSLRFFNVVGSANKALLDNS----VENLVPIVINRIKSGQAPVIYGNDYPTADGTCVRDYVDVRDIAAAHLAAA--DATQALPAAMNIGTGNGASVREIVQFVIDAMDRKEITPVDDRRAGD---------------------------------------------- 136415256 ----RALVTGGCGFIGGHLVQKLFDDGHDVRVLDNLSTGRSENIENLIQIRGQIQDRQAAETAMS--GRTHVFHLAALADIVPSIVSPATYFDVNVNGTAVIAEAARQEASKNLFTQHPRHVMAFQTRHQQKRRRAAPPVSLCIHQMAWRETI-KHWGQVYGMPFVSLRLFNVYGPRSRT------SGTYGAVFGVFLAQKLAGKPMTIVGDGM------QSRDFTFVTDVVS-------AFITAAQSDLTSAVMNVGSGGSYPVNLLAELLGGAT--VHIPERPGEPDVTLADTTRIRTELGWNPSITFEEGVATMLD-------------- 135439415 ---QKIIVTGGLGFIGSNLIKILVNKNYNVLNVDKVTYANFKNKKRYKFIKCDISNQKKLFNIIKKFKPNGIFNLAAETHVDRSIDGPKPFINNNIIGTFSLLECLRKYKNFKLVHISTDEVYGDVLIGRSSENYPYKPSSPYAASKAASDHLVSSYVRTYDLNAMVTNCSNNYGPR--------QHPEKLIPKLIYNMINNKKLP--------IYGKGKNSREWIYVDDHCEAL---IKVFKNGKKGEFYNIGSNSNFNNIQITNSLLKIVGKNVKIKFVKDRPGHDLRYALNSLKAKKKLKWKPRINLKAGLLKTFLWYMNNKKYYK---- 309790554 ------MITGSSGQIGTNLALRLLAEGHTVFGVDRRVNP---WTQAFPTLLQDLRDFAGGIGGAPYPACDLVVHLAANAKVHELVEQPHRALE-NISLCFNVLEYCRVQRLPII-FASSREVYGDIHRYLTSETDFSYTESPYSASKIAGEALIYSYARCYDLPYLIFRFSNVYG-RYDSDIERM-----ERVIPLFMRRIAQGEPITIYGREKIL-------DFTYVDDCVDGIVRGIERHAGRLRNRTINLAYGEGNSLVRMAELLGAALGRPNMIVEASKRPGEVRYYVADISLARELLGYTPQVNLAEGLRRAVAWWQSW--------- 189425807 MKDAKIFVAGHRGMVGSAIVRKLEQAGYRNLVL-------------KTSSELDLRNQSAVAAFFEQEQPEYVFLAAAVGGIIANSTRKAEFIYDNLMIQTNVIHEAWKNGVQRLLFLGSTCIYPKFAPQPIRETDPLEPSNDAYAAKIAGIVQCRTYNQQYGTRFLAAMPNNLYGPGDNYDLTGSHVLPALLRKFHEAKQSGSP-------NVTVWGTGTPLREFMHVDDLADDDGCYEELLMYSDAPALINVGSGQEISIANLARMVQQVVGFEGELVFDTDKPDGTPRKLADSSRLHA-LGWKHRIELEDGVRDAYRWFVE---------- 134335612 ----KVFVAGGSGLVGSAVVRELGRQGVEKI--DAPSSA-----------ELNLLDREKVFSYLTQTKPDVIIDAAAVGGIHANNTYPAEFLSNNLRIQVNLMDAAGQADIERFVFLGSSCIYPKFAPQPMPESSELEPTNSAYAAKIAGIQQVQAHRKQYGRNWISAMPTNIYGPG-----DNFHPEDSHVVPALLRRVHEAKERGDDEVVIWGTG--SPLREFLYSDDLARAIVFLAEHYDS---GEIINVGSGEEVSIKELAETVAAVVGFGGKLTFDSSKPDGTPRKRLDTSRL-EALGWAAQTSLKDGLSTTYEWFLQHKDDYRGR-- 261880225 ----NILVTGGAGFIGSHLCDTLLADGHTVTVVDNMVLGCRENIAHLKFIKEDLLNMESMHKIFSKGKFDMVYHLAANSDIQKGGSDPQVDYALTFNTTFNVLMLMKEFQVKKLFFSSTSAIFGEAIGKLNEEFGPLRPVSNYGAGKLASEAFISAFSNTYNIKTWITRFPNVVGERFTHGVIFDFIKKLHNNPK----------------ELEVLGNGEQCKPYIYVKDLIEGIQFVINHSEER--FNVYNLGPDSRTKVKEIAKMVIEEMELDASIRYGGDRVGDVPEFSYDLSKINAI-GWNPKTS-NEAVRTAIQ-------------- 116669787 -------ITGGAGFIGSHLVEHLLAAGDKVTVLDDLSTGRLENLRDFHFVEGTILDRAAVDKVVAGA--DRVFHLAAAVGVNLIVEHPLESLRTNIHGTEVVLDAVLESGAS-LLLASTSEIYGKNTSDSLSEESDLKSRWTYAAAKGIDEAFAHAYWRQFGLPVAIVRLFNTVGPRQTGRY--------GMVVPRLVKQALAGEPLTVYGDGHQTRC------FSYVGDIVPAITRISEEKSAYGNA--YNLGGSYEISILTLAQRIVELLGSESPITLVPYEEADMRRRVPNNSKAKDLVGFDPKTTLDQII------------------- 137659791 --------------------------------------------------------------------------FAALKSVEDSLFEPTKYYETNISGTISLLNAMKITKVRNLVFSSSATVYGQPKYLPIDEMHRTEAINPYGLTKFIVEEILSDLVKHDNTWSINSRYFNPVGAHPSHLIGDDPISSKNLMPNIIDVVNGITKEIKIFGNDYDTKDGTCIRDYIHIMDLANAHYKSLKFLEKSKGFNIFNIGTGNGYSVLELINTFEDTTGIEVPKCFVNRRDGDAQSCYADPTKARENLGWQSKLDLRQMC------------------- 142561039 --DMRYVVTGGAGFVGSNLVKLLVKEGHDVLVIDNLVKGKKENLTEIEFANLDIRNYDDIEKNFR--DLDGVFHQAALTVVQDSFSNPQEYHDVNVVGTENIFKLSKKYDV-KVVYASSSSVYGHQNIMPIKENALRNPINPYGQTKLDDEHLYEKYSKM-GTRIIGLRYFNIFG--------EGQTPAYAGVITKFLDRIKKMKSPIIFGD------GSQIRDFIFVQDIAMANFL---AMTSNVKNSLINIGTGKAITILELANMVIGISKVDLEPVFEKPLNGDIEKSHADISLA----------------------------------- 135776761 ----KILVVGGAGYIGSHMLKRLQDTSHSVEVLDNLSTGFETNTLGFPFHRCDLADKDHVHSILQG-GYDLVMHFASYINVGESYIDPQKYYENNVVNTMNLLNCMVDLKILNFIFSSTAAVYGEPKSNPISENNLMTPVNPYGQTKAIVENILKVYDNSYGLKSISLRYFNACGAHSDGTIGE----------------------------------------------------------------------------------------------------------------------------------------------------- 135863153 ------------------------------------------------FVKGDIADIATLNDSIFSFKPDVLIHFAAESHVDRSIDSPKVFLNTNIFGTFNILQAVREYTDFKLIHFSTDEVFGIKNDTKFTEKSPYKPNSPYSASKASSDHLVRSWNRTFEVPTIILNCSNNYGP---------YQFPEKLIPLVITNSLDQKI-------IPVYGNGLNIRDWLFVNDNCDAIDCVI---ADGKTGETYNIGSNSELSNIEIVKNICGILDKEDLITFVEDRPGHDFKYAVDSSKITTKLGWKPKTNFKDGIQTTIKWYLDNEKW------ 140741627 ---KKILVTGADGFIGSHLTEMLVNRGYKVKALAQYNSFNNWGWLESVNHKGDIRDLNLCRTISK--DIDMIFHLAALIAIPYSYLAPDSYLETNIKGTMNICEAARENGVSRIIHTSTSEVYGTAKYVPIDENHPLQPQSPYSASKISADAMAMSFYNSFDLPLSIVRPFNTYGPRQS---------ARAVIPTIITQIASGKKEIKL-------GDTSPTRDFNYVEDCCRAFIMIAESDKTIGETINI--GSNSEISISDTLKLIKELM------------------------------------------------------------- 142966405 -ETMKFIVTGGRGFIGSHFVEEALNKGHTVIDIDKMSYASNRELPWDNNPRYTLI-VEDISEIKHLPQCDVLVNFAAESHVDNSIRETDPFVKSNILGVHNLLELIRGRRNYNFFHISTDEVYGDRLEGSFVETDVLSPSNPYSATKAAAEMLVLSYARTYELEYIITRSANNYGPR--------QFEEKLLPKCISCIETGKKIPIHGDG--------SYIRDWTYVKDNVSGIFAILDSGV---KNEIYNIASENHMVNLEVVDEVLGWFGKGRMIRFVPNRWGQDLRYSISSKKLRS-LGWEPRY------------------------- 142179982 ----RIFLAGHRGLVGSAILRRLEADGYANVV-------------TRTHAELDLKDQAKVRAFFADERPDVVILAAAVGGILANSEAPVDFLYDNLMIASNVIHAAHEHGVAKLLNLGSSCIYPKFAPQPIPEDSALEPTNRAYAAKIAAIELCDAYRRQHGADFLSAMPTNLYGPGDNFDLRSSHVLPALLRKMHEAKMEARD-------TVEVWGSGNPMREFLYVDDLADAVLFLLRNVSEPGP---INVGTGEDVTIRDLALLIADVVGFDGELAFDAGKPDGTPRKLLDVSRLR-DLGWTASTGLRDGVERTYAWYVRN--------- 141820986 -----------------------------------------------DFFQVDLCDMESIEKIFKSYTPQVVVNLAAQAGVRYSIKNPNSYIKTNIMGFMNVLECCRKYQVEKLVYASSSSVYGNTKKIPFDVNDFVNPISIYATSKIANELMSHTYNHLFKIKTIGLRFFTVYGP----------WGRPDMAYYIFCDKISKGEPIDIFNNG------NLKRDFTYIDDIINGTKSAMDSNY---ECEVFNLGNNKSENIMDIIRLIEKNIGKNAIINYKDMEPGDVAQTYANIEYSQKKLGYRPKISITEGVPKFVEWYIDY--------- 137549676 -----------------------------------------EKNKNYHFIKGDICSKKIVRDILEKYNPDVLIHFAAESHVDRSIEGPSEFVQTNIVGTLTLLNETNQWENFRFIHISTDEVYGLGRKGKFKEDTAYDPSSPYSASKAGSDHLVRSWYRTYDFPAIITNCSNNYGP---------YQFPEKLIPLMIINCL-KEKSLPVYGEGINVRDWLYVRD----------HCEAIQTVANKGQGETYNIGGNNEIKNIDIVEIICDLLDYKKLIDFVEDRPGHDFRYAIDATKIKNTLGWVPKENFETGIKKTIEWYL----------- 91216642 -----ILITGAAGFIGSAIAHSLNNLGFKTLTIDNFSTGYRSNLPKNTIIEGDCGDPETISQL-QNYNVDTILHFAGQSSGEVSFNDPLADQKSNTTSTLLLLNYAKLKGIRKFIYASSMSVYGDHENLPVTEESVTMPKSLYAVGKLASEHYLNIYS-NSDLKVVSLRLFNVYGPGQNLANLKQGMLSIYLAQALKD------------GQIKVKGSLERFRDLVYIDDVVEVVSLLVTTDLSNPYSV-YNVANAYPVKVKEMITSIKAVLGNISVKEIEGTQ-GDQFGIFGSNYSLMKDFGWKPKIKHITGIKKMIAW------------- 209550525 ---KSVLISGGAGFIGSHLCDRLLLRTDVEVVVDNLWTGLFDNIAHIRDPRFHFV-KSDVETLRSSEKFDEIYHLASPASPPWYMKEPKRTISANLLGAFRLLELLKKG--GRFGFTSTSEVYGDPLVSPQPESDCTGPRSSYDESKRCTESLLFEMQRTQGLDLKVVRPFNIYGPRTRS--------DDGRAVSNFITQALAGRPITVFGD------GKQSRSWGYVDDVVDGFARYF-WINETDYKGPLNVGNDREISVLEVAQYVSKLVG-GVPIVFEPSPPQDPTNRRPDLTNANYVMEWSCKISYEQGVAMTLDWFRD---------- 157145002 MMKQRIFVAGHRGMVGSAIVRQLAQREDVVLVL-------------RTRDELNLLDSRAVQAFFAEERIDQVYLAAAVGGIVANNTYPADFIYENMMIESNIIHAAHLHNVNKLLFLGSSCIYPKLAKQPMAESESLEPTNPYAIAKIAGIKLCESWNRQFDRDYRSVMPTNLYGPHDNFHPNNSHVIPALLRRFHEATEQNAPD-------VVVWGSGTPMREFLHVDDMAAIHVMELDREVWQENTQHINVGTGVDCTIRELAQTIAQVVGYKGRVVFDATKPDGAPRKLLDVTRLHQ-LGWYHEVSLEAGLASTYQWFLENQHRF----- 146339114 -----IVITGGCGFIGCNLADRLATRGDHVLILDNLARGVRENAQWLKSRHGDVGDIREPITVIDTVKQAAVLHLAAQVAVTSSLDNPVDDFEINARGTLNVLEAVRLHNAAAVLFASTNKVYGRLIDDDVSEQTSLDLYSPYGCSKGAADQYVHDYARVYGLNTAVLRMSCIYGPRQFGN-------EDQGWIAHFVLSALRGAALTIYGDGC------QVRDALYVADAVDAWLAVLDQIETRGRVFNLGGGPSNAISLLELIDQIGQLSG-PVNYSFSDWRPGDQPWYVTDIGALAGATGWRPRTSFVEGLRELHGW------------- 255017851 -----IAVLGGAGYIGSHAVDELITRGYEVVVIDNLRTGHRESIKKAKFYEGDIRDKAFLSSVFEKEKVDGVIHFAASSLVGESMEVPLDYLNNNVYGTQIVLEVMEEFDVKHIVFSSSAATYGEPERVPITEDMPTNPESTYGETKLIMEKMMKWCDKAYGMKFVALRYFNVAG-------------------------------------------------------------------------------------------------------------------------------------------------------------- 137393545 MESKNVLVTGGCGYIGSHTCLALQAAGMNPVVVDNLGNSKRSVLARPQFYQGDIRDAALLERIFAEQQIDAVIHFAALKAVGESTRIPLDYYENNLGGTLTLLQAMKRANVHNLVFSSSATVYGDPASLPIREDFPRCATNPYGRSKLLIEEILEDLQLAEPHWSMTLRYFNPVGAHDSGTMGEDPQGPNNLMPYITQVAIGRRDCLSVL--------------------------------------------------------------------------------------------------------------------------- 140097614 ----KVLVTGGAGYIGAHVAAELLNEGYSVRIYDDFSNGLHRRVKFRDIVEGDIQDREKL--IQAMHGIDAVIHLAAKKAVEESVKNPLKYYENNVGGTLNLLAAMSVKGVKKIVFSSSAAVYSPNDKDAIEESDPTVPLSPYGATKLLSEELISNVGGAEKISNISLRYFNVVG----SALPEFGDNSKDNLVPKVFLALKSGKRPEIYGTNYPTKDGTCIRDYIHVQDLAQSH-------------------------------------------------------------------------------------------------- 138687284 ---------------------------------------------------IDICNFSSLKECFDLFKPDAVMHLAAESHVDNSILSPGNFINTNIIGTFNLLEIARGYFKFRFIHISTDEVYGLGKDGLFSETSPYDPSSPYSASKAASDHLVRAWHRTFNLPAIITNCSNNYGP--------FQFTEKLIPKIITNALSGKKIP--------IYGDGNQIRDWLFVEDHASALVLVLD---EGKPGETYNIGGASERTNLNVAHTTLSILDKVKPITHVEDRLGHDLRYGVDISKIKDQLGWQPKHDFEHGISQTVDWYVE---------- 141888009 ---------------------------------------------------------SEVRAVLKRVGPESIVHFAARALVPESFQSPGLYYRNNLITTANLAELAVELGISNFVHSSSCAVYGTPEEIPIRETSPLRASSPYGDSKIMAEMILNRFQLMGNLRVLNLRYFNPAGAWSKYGWGESHDPETHLIPNVLIAAL-KDLPVSVFGNKYSTPDGTCIRDFIHVVDLAEAHVKAIQALQNKSVPLCLNIGTGKGFSVLEVIQMAEKVTGKKIKITFNSPRPGDPPHLVADNQQMVDCLGWRPNRS------------------------ 158429571 ----RILITGGAGCLGSNLIEHWLPQGHEILVIDNFATGKREPVAGLSVIEGSVTDAGLLERAFDSFKPTHVVHSAAAYKDPD---DWAEDAATNVQGSINVAKAASKAGVKRLLNFQTALCYGRPATVPIPIDSPTAPFTSYGISKTAGE----AFLMMSDVPVVSLRLANVTGPRL----------AIGPIPTFYKRLKAGQKCF----------CSDTVRDFLDMSDFLAIADLSLQEGRPTG---VFNVSTGEGHSIKEVFDVVLDYVGAAEPVPVVAPGADDVPSVVLDPSKTETEFGWKAKVDFKDTITGQLAWYDKY--------- 170740320 ---QTILITGGAGFIGRAVARALVARGDRVRVLDSLDDMPDDLPDAVDLRRGDVRDPAAVAQVL--IGVDKVIHLAAEVGVGQSMYAVERYVSVNDVGTAVLFQALIQRPVERVVVASSMSIYGEPDAPWDPLDAAGRPLTPYALSKYAQERLTLMLAPAYGMEGVALRLWNAYGPGQ-----ALSNPYTGVLAIFASRLHNGAAP-------VMFEDGQQLRDFVHVDDVAQSFLLALDRPEAAG--QVYNIGSGVSRSVSEVGTLLARAMGRSEIRIAGKLRAGDIRHCIPDITKAQTELGYAPRRDFAEGLAELAAW------------- 254373155 ---KKIFVAGHNGMVGSAITRLLSKDKTIQVITRN-------------RKDLDLLKQNQVYDFFQREKIDEIYLAAAVGGIHANNQYPADFIYENLIIEANIIHSAHMANIQKLLFLGSSCIYPKLAKQPISEEATLEPTNPYAIAKIAGIKLCESYNRQYARDYRSVMPTNLYGINDNFNLQNAHVVPALIRKFHDAKQNGRQQ-VQVWGSGKPKREFLYVDDMASACVHVMSIDRDVYAKFTDPMCSHINIGTGIDCSIKELAELISKVVGFNGDIIFDKTKLDGTPRKLLDVSKINK-LGWQASISLEQGLRITYDWYLQNQNNFRN--- 83591594 LTGKRVWVAGHRGLVGGAVVRRLAREDCAVLCV--------------GREDLDLTRQQAVEAWMEANRPDAVVMAAALVGIKANDRRSAEFIHQNLAVQTNIIHAAWQAGVGKVLFLGSSCIYPRDVAQPMREDAPLEPTNQWYAAKIAGIRMAQAYRRQYGCDYISAMPTNLYGPGDNFDLDGGHVLPALLRKIHEAKVEGR-------GEVVLWGSGAPLREFLYVDDLADALVFLLKAYSADD---HINVGSGEEITIKALAETIAGVVGYEGRFVFDTTMPDGTPRKLMDSGRL-AALGWRPATDLRSGIAATYRWFLDNAERLRQ--- 135920691 ----NILITGGAGFIGSAVVRLAISRGHRVVNLDALTYASISDHPNYLFVKMNIRDRENLDALFSKHKPDAVMHLAAESHVDRSIDGPKNFVETNIKGTFNILEASRKHWQFRFHHISTDEVYGSLPSDPFTESTPYDPRSPYSASKASSDHLVRAWHETYGLPIILTNCSNNFGP---------YQFPEKLIPLVILNALSEKP-------LPIYGNGKNIRDWLYVDDHANALLLALEKVKVVG--------------------------------------------------------------------------------------- 135402548 -------------------------------------------------------------------NFDALIHFAGFIQVEESVKNPKKYLENNTENSIKLFETCFKNNLKNIIFSSTAAAYGNQENVAILETDKLQPLNPYGESKIRTENYLL--NNNDRFNYIILRYFNVAGADIKLRTGLISKQPTHLIKIASEVAVGKRDKIIIFGKDYNTKDGTAIRDYIHVTDLADIHLKSLEYLVKNQKSNIFNCGYGKGYSVKEVIDTANKITNNLIKFEYGDRRPGDAEILIADVTKIYNSINWKPRYNLQTIIETAINWEKKYAKNL----- 138475051 ----NCLVTGGCGFIGSNLVDALIGAGHTVTVIDNYSDAHDQYNEKAHYVKQDICNY-DLTRIF-YCGVDWVFHLAAEARIQPAIKNPLNAVRINTLGTATVLQCAREANVSRVIYSSTSSAYGFN-SPPNTETQGDDCLNPYSVSKVAGEKLCAMYTDLFNLNTIVFRYFNVYGERQ------PLKGQYAPVIGIFLRQLANGEELTVVGD------GEQRRDFTHVSDVVQANLAAIKDLPEDAFGQVYNVGNGENYSVNEIAAMI------SPRKRFIDARPGEARITLADNSKLKR--------------------------------- 143639426 MMSKSI-VTGGCGFIGSHLVKRLASLGHEVIVLDRVKP--KDPCEGVTYYLQDISDYSKYIHFFESVN--NVFHLASEVSIPYCVEKPNESMFNNIVASMNVLECARVHKINKFILSSTSAVYGNTTFVPSYETQQVQCLNTYSISKYSAEQLCQMYYNLYGLKTVIFRYFNVYG--------EGQHKTGQYAPVMSIFKRQKDNKEPLTVIEPGY----QTRDFIHVDDIVHANILASQKDLDN-YGEVFNVGTGEGTDIQTIADLISD------YQTSIPQRPGEALHSRSNTDKIKEVLQWNYKIKVIDWIKR----------------- 136149788 ME--KILVTGAAGFIGFHTILKFLDNDFTVYGIDNLNNYYSSKLKKDRINEIDITNIKFLDKIFFKNKIKYVIHLAAQAGVRYSVSNPQSYVQSNLLGFVNILELSKKYKIKHLIYASSSSVYGINKKKIFSEKDAAHPINLYAATKRSNEILAHSYSYLHKLPTTGLRFFTVYGP--------WGRPDMSYYSFVKSLYDKKKINIHNFGKH--------KRDFSYIDLIVDGIYNKLSVDTSSGPFEIYNLATGKPKKLMTFIKTIEKITGKKFKKNYVSMQPGDIK-------------------------------------------- 137051031 --------------------------------------------------------KEDLNQCFEGV--DTVVHLAALKSVNGSMQFPQKYSENNITGTLSVLETMHENNVKHIIFSSTAAVYGEPEYLPLDEKHPLKPVNFYGYTKLSAENLLEWYKELMGISYISLRYFNAAGYDASGRIKYLEKNPQNLIPIIMEVAAGKREKVDVFGDDYDTPDGTGIRDYIHVSDLVKAHLNALELIQTN-QSALINLGSDQQYSVMDVIKIAEKISGKDIPYKIVERRKGDPAKIYASTAYAKKILNWSAEHS------------------------ 143836567 -KDSRIFVAGHRGLVGSAIVRRLKEEGYNHII-------------TRTRQELDLMDQVAVEKFFKYQGIDYVFDAAAVGGIHANDTYSAEFIYQNTQIQTNLIHFAWKHGVKKFLFLGSVCIYPKFAETPVQEESELEPTNDAYAAKIHGIYMLKSYYKQYGFKGVSLMPANLYGPNDNFHPLNGHVIPAMMQKFNNWQQ--GDDPVTCWGT------GTPRREFLHVDDLADACLFAMEHYSA---AELLNVGSGEDVSIKELAEMMASITGYPGEINWDTSKPDGTPKRPLDYKKLLEK-GWKPNYKLLDGLRKTYDWYIAN--------- 141383003 -----------------------------------------------------IRDYETC--LKACEEIDKISHQAALGSVPRSIEDPIQSTEVNILGTVNLMYAAVQQKVERIILAFSSSTYGDHPDLPKIEENIGNPLSPYAVTKATIEQFADVFGKTYGLKWIGLRYFNVFGPKQ-----NPGNPYAAVIPIFSKAFLFN-------SECTINGDGETSRDFTYVQNVIQMNKRALFTTNQEALNNTYNTACNDQITLNQLVKYLAVITGNDAKVKYGPERPGDVRHSFADISKAQNLLGYNPEVLFKEGLESTVNWYIKN--------- 140332671 ---------------GSHLAAGVAAAGHSVVVLDDLSTGQRENLAGTPCELLDLNDTESLDAALRGV--DAILHHAAFISVPGSFEEPAASATINVVGTARLLERAAAAGVRRIVFASSAAVYGE-TSGHVEESTPPQPLSPYGVHKLAGEQLCRVAATSGAIDTVSFRYFNVYGDRQRA------DSDYAAVIPIFRQRLAAGLPPVIHGDG------EQTRDFIAVRDVVAANLRALDA-VEPFRGEVFNLGFGTAVTIRELATAIAAEEGYDGEFASDGARPGDIRSSVADLTRLRAVFHWTPEWSLAEGLRH----------------- 83744470 ----TVLVAGSSGLVGSAVVRRLRAQGFTSVA-------------GIHSADVDLTDVRATLDCVTSLRPAVVIDAAAVGGIAANDAEPVEFLNDNLRIQTNLFAAAHAAGVDRLLFLGSSCIYPKHTPQPIPESALLETNDAYAIAKIAGVIAVRSYRRQYGRRWISVMPTNVYGPGDTFHPTRSHVLPALIRRFHEAVRSGAE-------EVVVWGTGTPRREFIHVDDLAAACTHLLDHYD---DPSPVNIGVGEDLTIADLATLVADAVGFTGRITWDTSRPDGTPRKLLDVSRLLA-TGWRPRIGLPEGVRATVRWY------------ 146278465 ----RILITGGCGFIGRHVAEELLAHGYEVRLYDALIDQVHDGVEGAELVRGDMRDADRLGPALQGC--DAVLHLAAEVGVGQSMYEIARYVGANDLGTAVLLEQLIDRPVSRIVVASSMSVYGEGHYVPTDEGKRVDLASIYALTKYMQEQAVLIHGEAYGVDAVALRLFNVFGAGQAL------SNPYTGVLANFASRLANGERPTIF------EDGEQKRDFVHVRDVARAFRLALETPDAAGEVINV--GSGTAYTISGVARLLAGAMGREITPEILNRRTGDIRNCFADIGKARAILGFEPRHRLEDSLDEFVAW------------- 141971554 ----TVLVTGGAGYIGAHTVRALRGANRKVVVLDTLERGNHEAVVDAELIVGDIADQELVGRICVDHDVASVVHFAAYKAVGESMEKPEMYWSNNVASTEKLLAVLAENKVDKFVFSSSAAVYGTPKSVPVTESMPTVPESVYAETKLAVEKYLLAVRATQPIHSVSLRYFNAAGASGDNKIGEDWSTSQNLLPRVMRALLDSAFKFEVYGNDYDTPDGT----------------------------------------------------------------------------------------------------------------- 139919653 ------------------------------------------------------------------------------------------------MNGLNLLEACVAGGVERFILSSTANLFGVPTSSVIDELAPIAPGSPYGESKWALERALDWLSQIKGLRFASLRYFNAAGA--SEMRGEHHTPETHLIPLVLQVAAGQRDYITIFGDDYDTPDGTCIRDYIHVLDLAQAHVLALQALEQ--GNRVYNLGNGEGFSVRQVIEAARVVTGAAILEQVDQRRAGDPARLVASSSRIRDELGWAPQFPLEQIIDSAWRWQQRHPSGY----- 141794438 ---KNILVTGGAGYIGSHIIELLIKKKFKVFIIDNLSTGHRKLIKKAKFFKIDINNINLVSNIIKKNEIDSVIHLAAKLNVIEAERKPKLYFKNNVKGTLNLIKACNNKNIKNFLFSSTCAVYSD-SIPLARENSMKNPKGVYGFTKLKCEKIIRKYFISKKKSYGILRYFNVVGASPSKKIGQINRN-GQLFKNLSIAIKRKKPIFNIYGNDYRTFDGTCVRDYIHVYDLAEIHIRALLKMNKTNKS------------------------------------------------------------------------------------- 294494777 MEKEKIYIAGHRGMVGSAIKRNLESKGYT-------------NLICLTHSELDLTDQQAVNEFFESEKPEYVFLAAAVGGILANSTYPAEFIYDNLMIEANIIHAAHIYGVKKLLFLGSSCIYPKFAPQPMKEEGELESTNEAYAAKIAGIRLCKHYNQQYGTNFISVMPTNLYGPN-DNFDLETSHVMPALIRKFHEAKINNESKVTIWGSG------SPKREFLHVDDMADACIYLMENYDYADIGEFVNIGVGKDLSIKELAELIKDVVGYEGDIVYDSSKPDGTPRKLLDVSKL-NGLGWTSSIGLKEGIKATYRWYVGN--------- 163848236 ----RALITGINGFVGGHLAEYLLADGWDVWGLSRSPSLVPELIGNVQIVQADLADAEATTRALVQVRPNVIFHLAGQPFVPESFRDPAGTLAANTLGALHIFLTLIYRMTTRVIVIGTNEEYGDPEDLPIDEDTPLRPTSPYGVSKAAQSLLALQYHYSHGLDVVRVRPFTHIGPRQ-----NERFVTAAFARQIARIELGLQPPVVQVGNLAAQRDFTDVRDVVAAYALLAEH---------GESGEVYNVGSGRAVMIRELLDMLLAECTVPVEVRLNPMRPIDIPLVVCDASRLRARTGWQPRYTLAETLHDILNYWRA---------- 141943259 -------------------------------------------------IEGDIRNINILEKIFSENEINSVIHFAGLKSILESVDKPLEYYSSNISGSIVLLQAMKKFGVRKMIFSSSATVYGINHDLPWHEGDLSMPLNPYAQSKLIIEEILKNIINERNWSVGVLRYFNPIGSHKSGIIGENINNEINNLPSIIRVLIGKSHYLSVFGDDYDTLDGTGVRDYIHINDLLDGHLKAMNFINLQDGYNIWNLGAGKGYSVLEIIETFQELAGKKINYKIKERRKGDLSHYWADVSKAKKELEWQANSDIKQMVNDTLKY------------- 135409140 ----KAFITGGSGFIGSHLADRLIKENNKVTIFDNFSTGNKKFLKNLNIIQGDILDYDFLKDSINDHDI--VFHLSANADVRFGLESPKKDLEQNTIGTSNILEAMRINNIKKVVFSSTGSVYGDCREIPTSESCPFPIQTSLYASKLAGEALISSYCFGYDFQSWIFRFVSILGER----YSHGHVFDFYKQLKEHPDQLN------------VLGNGHQKKSYLYIDDCIDAILLSIEKSEDKIN--LYNLGTDEFCEVRDSIKWICNELRLKPKLNFERGWIGDNPFIFLDTKKIRS-LGWKPKLNIENSIIKTVQ-YLDQNNWI----- 163749802 -KNKVALITGITGQDGSYLAEFLLEKGYEVHGVDHIYQDSHEDNQRFFLHYGDLTDSSNLTRIIKEVQPDEVYNLGAQSHVAVSFECPEYTADVDALGTLRLLEAIRFEKKTKFYQASTSELYGDVQEIPQSETTPFHPRSPYAVAKMYAYWIVVNYRESYGMYACNGILFNHESPRRGETFVTRKITRAIANISQGLQDCLY------LGNMDALRDWGHAKDYVRMQWMMLQQDVADDFVIATGKQISVREFVSKEVGILNEIATIKSITGDDVIVKVDPRRPAEVETLLGDPTKAKEKLGWVPQITVEEMCSEMVA-------------- 135154624 ----TYLVTGGAGFIGSNLVEELLKRGHTVRAIDNLATGRAQFLKDITFLEGDIRDYHSVIKAVKGVDF--VLHQAALPSVPRSVNDPISSNNVNITGTLNLLHAAKDHGVQRFVYASSSSVYGDSEVSPKVESLPTNPKSPYAVSKLAGEQYCRVFHQIYGLETVMLRYFNVFGPRQNP--------------------------------------------------------------------------------------------------------------------------------------------------------- 135984959 -----IYVAGHRGLVGSAIVRHLEAEGFDNI-------------LTATRDQVDLRDQAEVSHWFKANQPHYVMLVAGVGGILANSTRPAEFIYDNMMIHGTVVHAAHETGTEKLLYLGSSCIYPRHATQPITEDQPLESTNEWYAAKIAGIKLCQAYRRQYGSDFISAMPTNLYGPGDNFDLSSSHVIPALIRKFHDARVAHETSGQPNQVEVWGTG--SAFREFLHVDDLARACLFLLENYSDDS---HINVGTGVDLSIRELAETVQRTVNPGAELVWDTTKPDGTPRKLLDVTRLR-DLGWEPSIDLESGLAGTYAWFVE---------- 238786147 ------------------------------------------------------------------------IHFAGLKSVGESVEKPIEYYQNNVTGSIILLKEMLAANVKKLIFSSSATVYGEPEFVPLTENARIGTTNPYGTSKVMVEQILKDFSLAHPFSITALRYFNPVGAHSSGLIGEDPNGPNNLLPFITQVAIGKLSKLLVYGNDYDTPDGSGVRDYIHVMDLAEGHLSTLNSLAS--GFHVYNLGTGIGYSVLQIIREFERVTGVTIPFEIVSRRPGDIAECWASAELANVELSWKAKRNLSDMLMDAWRWQEMNPNGYN---- 138539280 ----RILVTGSAGFIGFFLIKLLCKNNYEVIGIDNLNTYYDVNLKKKRFHRLDIKNEKK-IEFIFKKKIDVVVNLAAMAGVRHSLKHPMDYIDSNIVGFVNLIKLSCDYKIKHFIYASSSSVYGNNKKYPSSEKDITDPASLYGATKKSNEIIASAYSSTHKIKTTGLRFFTVYGP----------WGRPDMALFYFYNSILRNKKINIFNK------GNMLRDFTYVEDVAFSILKIIKKKEKRSNSLYKILNIGNPIHLKKFIFHIEKVLGKKSKKNYMPMQIGDVKKSLADCEK------------------------------------ 307821308 ---KRALVTGGAGLIGSHIVDLLVREGWTVRILDNLKNGKPDWVNPAEFRQGYVQDYETMREALT--DIDVVFHEAAYGG---YMPEMAKYVLVNSFGTAQMLEIIRDHQLPIGDFNVHCPVCGHPTSIPTPEATPGGGETVYALTKVDQERLVLLWGKQMGIPTVALRYSCTYGPRQS-----LFNPYTGVIAIFCTRLLNGRPP-------IMYEDGAQTRDLCFVEDIARAN--LLAATTDTLDGLPANVGSGRATSVRDLAEIIADQLGVKAPIARGEFRPGEIRSLISDISRIRTI-GYAPQTSIEEGIARYVNW------------- 143687851 ----TILVTGGAGFVGSHLVDRLVADGQDVRVFDNFSSGRREFLSHHHTVEGDLLDLDAVKSAMK--GIDMVYHLAANPDIRLGTQVTDTDLKQGTVATYNVLEAMRLEGTKRIAFASSSVVYGEADVMPTPENGPLFPISLYGASKLASEALITSWVGTFGLQAWIFRFANIVGSRTHGVIFDFIHKLHRDSK-----------------NLEVLGNGRQEKSYMEVIDCANAMIHVVKNTKEHIN--CYNLGTKDTCSVRRIAEIVLEETGCDASIEYGGDRAGDVPRSMLNPQRLF-ELGFTPLHDSDDAVRLTAR-------------- 137127231 -------------YIGSHIALEAINQGYEVTIFDDLSTGFKKNIPSANFFKGSTLFEEDLIQVMKKNSFNVVIHLAGYKAAGESMINPSKYAQNNIIGGINLLKACADNEIDKFIFSSSAAVYGIPKYNPIDESHPLLPINYYGYTKLLLENNLKWFSDLNKIRYASLRYFNAAGYDLGGNILKKEKHPQNLIPIVMETAVQERDKLFVFGNDYDTKDGTGIRDYIHVTDLAVGHLSALKYISEKNKDLVVNLGTGRGHSVLDIINMTKNVSGKNIEYNF----------------------------------------------------- 142679204 --------------------------------------------------KCDLSNKEELKEIFSNNEFDIVINLAAQAGVRYSLDNPMSYVSSNLVGFINLLEECKTSNISHLIFASSSSIYGMNKKQPFSSKDITDPISLYAATKKSNELLAFSYSHLYDLPITGLRFFTVYGP----------YGRPDMAYFKFTKNILNGDPINVYNNGNMQRDFTYIDDLVEGITKIKFQRNKNIHTNSNAPFRTYNIGNNKPVTLSDFINAIENATGKNAIKNNLPMQPGDVPITYADISDTARDFGYDPKTTIDQGIKKFVEWYNEYYQN------ 310635459 --NSRIFITGHRGLVGSALLRALEAEGFTQV----LTAGR---------EELDLRDQRAVSDWFAEQRPEFVIHAAGVGGIQANSEYPADFLYENTLIHATVLHASRETGIEKLLYLGSSCIYPRECPQPMKEDYLLQTNYAYAIAKITGLLACRAYRQQYGCDFISAMPTNLYGPG-DNFHPENSHVLPAMIRRFHEAKLAGAEAVTVWGTGRPL------REFLYVDDLARACLFLLREYSNE---KTINVGSGVELSIGELAETIRDIIYPGCEIRFDTSKPDGTPRKLLDSSRLQA-MGWSPRTELATGIKQAYAWFLE---------- 134758431 -------------------------------------------------------------------------------------------------------ELIIKNDLNNLIFSSSAAVYGNTNEKLISEEHQKIPTNPYGESKLKAEKLINEFSNRYNLNTINLRYFNACGADPSGDYGEDRMNETHLIPNALISLIDKKNQFTIFGSNYPTKDGTCVRDYVHVNDIVKAHYLAMSKFNNQKFKDEFNIGLGLGFSVLEIIQACDAVTQKKIELKYADKRDGDPPILIADNQKIKSILNWEPEYTIKEIIKTAWNWHSK---------- 91781094 -KNARIFVAGHRGMVGSALLRNLASRGYGNVV----TRSRAD---------LDLTDQAAVERFFRDEAIDVVILAAAVGGILANETYPADFLYLNLIIEANVIHSAFRAGIQRLGFLGSSCIYPREAPQPIKEDYLLSTNEPYAIAKIAGIKLCEAFNRQFGTQYVSLMPTNLYGPNDNYDLKTSHVLPALLRKAHEAKVSGASK-------LAVWGTGRARREFLHVDDMADAVIFMLERGIGEG---WYNVGCGADVTIEELARAAMHVVGFDGDIEFDVSKPDGTPQKLLDVSKL-AELGWSAKIGLQEGLAATYDDFLQHHE------- 143687149 ---------GCSGFIGFHLTSALLDKGYEVIGIDSLNDAYDEENKNLKFLNFNLSDLDSYKELSKLSDGTSVYHMAARAGVRQSFINPENYVDDNTVATTNIAKFTKSNNIEKLILASTSSVYGDSGELLMSEDEKIQPPSVYASTKLSGEILSKIMMEDTTTKLLIPRFFTVYGP--------YGRPDMSILRFIHWIIEEK--------EVLVLGDGEQMRSFTYIDDVVEALLLMMDYK----ESNTFNIGSNTTVSLNEVIKTIEKYSGKKANIKNEERAYKDPDVVRPNLENISNELNWKPSTNIEAGIEKTVSWYSENKEFLND--- 142300943 -SDSRILVAGANGLVGSAIVRCLKDKGH-------------SFVIEATRRQVDFTDQVQTESYFGSVKPEYVFVAAAVGGIMANKTLPADFIYKNLMIQTNIINCAHGYGTKKLVFLGSSCIYPKHANIPITEDQMTGPLEPYAVAKIAGIKMCQAYRHQHGFDAISLQPTNLYGVGDNFDPLSSHVIPGIMRRMHEAKLNGDE-------QFWCWGDGSPLREFLYIDDMAEACYACMQ---NYSDSEIINIGTGYDISIKELTEVIAEVIGYSGEIYWDTSKPNGTPRKVMNVDKLLG-LGWKPKVDIVEGLTKTYEWFKENYDRI----- 120601426 ----TVLVTGATGFIGSHVVEALTSR-HDVVGL--ASSVYPSPRDAVRQVRMTLP-HPDLEELVATLRPDVVVHCAGVASVGLSMHSPGVDFQSGPPVVFQLFDAIRKAGASKVVLLSSAAVYGNPQSLPVGEGAPRAPISPYGWHKGMCEDIAQEFHDTYGIRSAVLRIFSCYGAGLR----------------KQLLWDAGHKLLEGAFVFDGTGDET--RDFIHVRDVAAFVTRLVEGWPD-GGCVVCNVASGEATRIADLLALLPEAFGLSGVVVFTGRRGGDPHHWRADISRARQ-MGLAPAVSLEEGVREYATWF------------ 84497465 ----RIVVTGGAGFIGGAVVRALLEESHDVVVLDSLRPGDAARARLGRIVEGDVRDVTLVRQ--HVHGADAVVHLAAKVGLGVDLDDMDDYVSCNAVGTAMVLRACHDAGVTRLVQASSMVVYGEGAPHLVAEDAVMDPRNTYAATKVTQEQLAAVWARETGASATSLRLHNVYGPGM------PRSTPYAGVAAIFWSASTSGQPPQVF------EDGGQRRDFVHVDDIASAFVAAVQASGAAGEHTAYNVGSGVVHTVGDLATELALLAGGPSPVVTGRYRLGDVRHITASSDRARRELGWTATVPFTQGL------------------- 78779699 MNDKNILITGITGQDGSYLAEFLLNKGYQVHGIKRLNTSRIDHLYQDPHEYGDLTDSTNLIRIIQDVQPDEIYNLGAQSHVAVSFETPEYTANCDALGTLRILEAIRIENKTKIYQASTSELYGEVQETPQTEKTPFYPRSPYGVAKMYAYWITVNYRESYGIFACNGILFNHESPRRGETFVTKKITRGLARIHC------GLDDCIYLGNLDSLRDWGHAKDYVEMQWLMLQQDTPEDFVIATGRSESIWSKNENSSAIIWEGEGLNEVGKRKDTGEIVVRRPAEVEALIGDSSKARKELGWEPKIRLEEMIEEMIKYDLEVCKGLVN--- 138717992 ----NCLVTGGAGFIGSNLVDSLISDGHTVTVIDNQSSDAHENFYWNPAANADICD-ESTDKLYK--NIDVVFHLAAEARIQSCIENPGQAFQTNIIGTYKVLDNSLKAGVKRLVFSSTSAAYGLSNNPPMSEEMPTDCLNPYSVSKVCAEEICKMYTKLYNFETVIFRYFNVYGERQPT------KGQYAPVIGIFQRQQKNKTPLTIVGS------GEQRRDFVYVGDVVRANIMAAEFDPRNFKNWKWGQVYNVGSGVNYSVNQIANMIGGTSIN--LPPRIGE---------------------------------------------- 136075493 ---------------GSEVVKQLIRMDEEVINVDKLTYASCIKSLEYKFYKEDICNFEKMSEIIFSEEPDAIMHLAAESHVDNSITNPDVFIKTNIFGTYNLLNASKKFFNFLFHHVSTDEVYGDSFDTPFKETNPYLPSSPYSASKASSDHLVKAWGRTYGLPTVISNCSNNFG----------HFQNVEKLIPKTITNAIKGIEIPIYGKGH------QIRDWLFVEDHARALIMLA---KSKNYGESYNIGTRNEVRNIDLVKMICQILDELVNITFVDDRPGHDQRYAIDPSKFEKDFNFKSKKDFESDLITTIKWYIENHN------- 296131652 ----RVLVTGAAGLCGTHLVDELVRDEEKVYGIDNLSRGFPRKEEWQGKFELIVKKYQDLSREINNLDVDVVVHLAAYNSAREAMETPDEYFVNNDYGTLKLLQLFHTKKNPFFIFASAAEIYGKPVKEPVSESSAPTAMNIFAATKLAGENYCSVFFNWYNYPLVVIRLSKVYGENQNLVGYTSVVGNFICRALRDD-------------PLIIYGCGGQTRDFIYAGDVAKAITGIIKARKKVC-GQVINIASGKVISIEELARNIIDLSGAKSEIIKLPPVKGDYPGYLIDISKACELLNWSASTPLDVGLKRTINWHRQ---------- 218128518 ----KVLITGAAGFIGSQLAHRLWKDGVELVLIDNFSYGSDDNLKFEDHDKIDIRDREQIAGIFRNGQIDYAYNIAGIAPLPDCQLNPQEAIDVNVTGFVNILENARIYGVKKVIQASTNAMYENELEFPTVENEFKQPTLIYPNTKYCAELFAESYCKTYGMNVTCLRFANVYGPHIDCL-------RKQPPFVGYMIRELYYNRIPVFH-----SDGKQRRDYIYVDDLINLAI----LVQKTKGFDCVNVSSNQNYSVNEMYDITRQIMNKDYWCKYPELYEGEVNKYLCDNTLAREKYGWVPLVDMKQGLKNVVEY------------- 139443622 -----ILVTGGAGYIGCHAVRALQRQGLQVVVLDNLVYGHREQVLQVPLVVGQVGDRALLDQLLQGAHPAAVLHFAAYAYVGESMAQPARYYRNNLGDSLTLLEALLAEGERRLVFSSTCATYGIPDDEPIAETCPQRPINPYGRSKWMVEQLIADFAAAYQLPSVIFRYFNAAGADPAGGLGENHTPETHLIPLVLDAMVGRLSQLQIFGDDYPT--------------------------------------------------------------------------------------------------------------------- 139520681 -----------------------------------------------------VADAGAVADLFEACRPRAVVHLAAQAGVRYSLENPAAYVQSNLVGFGHILEGCRHQQVEHLVYASSSSVYGGNRNLPFSETHSVHPVSLYAATKKANELMAHTYSHLYGLPATGLRFFTVYGP----------WGRPDMAPMLFAKAILAGEPIRVFNHGRMARDFTYIDDIVEGVVRVDFDVTQPDPATSWAPHRVFNIGNSAPTPLLDFIAALETAIGRKSIQRFEPMQPGDVEGTFSDSSLLEKWVGFKPSTSLSTGVKLFSSWYKEY--------- 138331000 -----------------------------------------------------IQNDDLLKSLFTHHQPLKVVNLAAQAGVRYSLENPKAYINSNILGFQNIIDCCKDFKIENFIYASSSSVYGGNTKIPFSEDDHDHPCSLYAATKRSNELIAHTYSHLYELPSTGLRFFTVYGP----------WGRPDMAPMIFANSILKNKPIKIFNRGHMSRDFTYIDDIIFYLVKILNKPASSDFSFNKANHRIFNIGNSQKISLMNFIEILEEEIGKKAIKEYSEMQLGDVKDTLSDTKKIELWTDFVPKTSLKEGIKEFINWYLKY--------- 15895448 -EDSKIYIAGHTGFVGSAILRNLERRGYKNVVV-------------RTHKELDLMHQESVKKFLEEEKPDYVVLSAAVGGIQANISNPVDFLMDNLIIEYNVIKNSFEVGIENLLFLGSSCIYPKEAPQPLKEEGYLEPTNEGYAAKISGLKMCEYYSKQYGLNYISAMPSNLYGMRDNFDLKTSHVMAALIRRFHEAKVSGSQ-------EISIWGSGEQYREFTYIEDLADGIIFLMEHGEKVKGFLNI--GCGKDIKIKDLAYKIKDVVGFKGNIIFDKSKPDGMFRKVMDVSKINS-LGWHYKVELDEGITKTYRWYLNN--------- 227879733 LSEKRVVVTGGAGLVGSHLAQYLRDEN-DVVVADDLSKGTRERVPDGEFVKADLTDASDVAEVI-TEDVDVVFHFAAYT--DTNYADPRKLFEENTEMTYNVLERMEEVGVSNIAFTSSSTVYGEAPRPTPEDYAPLEPISVYGASKLADEGLLSTYAHSKDVTVWLFRFANIVGPKQRGNV----------IPDFIEKLLEDPETLTILGN------GRQEKSYLHVEDCVRAISHVVENAEQSMNT--YNLGTRTTTSVTTIADIVSDVMDLDPEYEYGGDREGDVPRMRLSIEKL-SALGWEPDASSDDAVRRA---------------- 137151913 ---------------------------------------------------------------------------------SDSLINQKEYLNNNITASKNLLEGIIKYNIRNFIFSSSASIFGSTKALKVDESHPKFPINPYGRSKLIFEEMLIEKVREFNLSAAILRYFNAAGADDSGLIGEYHNPETHLIPSIFNNLIGKINTLKIYGNDHNTKDGTCVRDYIHVSDLVHAHYLAFKKIKGEKGCFDYNLGNSNGFSILEIIKVCELITKKKVYYKFVDKRKGEPAHLVADCTKAISELKWITTHSIKRIVKSAWQWHSRLYNEI----- 141987494 --------------------------------------------------------------------ISTVIHCAGLKSVNSSIIKPYDYWEVNVSGTINLVKIMKSFSCKKIIFSSSATVYEPSSNKKLDENSKLKPINPYGTTKFVTEKFLEDIHNNPKWKIINLRYFNPIGADPSGIIGECPRLENNIFPIILDVASGKLDMITIFGNDWDTKDGTCIRDYIHINDLADSHIRALEYLDDNPPCFNLNIGTGKGISVLELIRTFEKTNNLKVPFIYGKRRMGDFGSVVADNKLAKEILSWSPKRDLRDMCKDGWNWFIN---------- 135967785 -------------------AKKLLEKGHKIHGFDSMNNYYDIRLKKSRYKILSLENKKNLEKSFKIFKPQIVIHLAAQAGVRYSIQKPRVYLDSNITGTYNVIEASHKFKVKHLIMASSSSVYGANKKFPFKEIDKADSLSIYAATKKATESMAHSYSNIWKVPITMLRFFTVYGP--------WGRPDMALFKFTKGILSGKK--------IDIYNNGKMYRDFTYIDDIVNGMILLIKKIPKLNKNIRYNIGNTKKVYLLDFIKQIEKELGKKAIKNYMPLQKGDVKQTLSNSSLLKKITGYNPKTNYKLGIKKFIDWYKEYYTKFK---- 136482555 ----RVFITGGAGFIGSHLCDALLKEGHQVSILDNMSTGSAANIAHIKDHQGDIRDVSLVEKAMAPA--DLVLHMAAALGVNTILENPIESVSTNFTGSEVVLNAATKLN-KRIIIASTSEVYGKNPKQPLSETDPQKIRWTYSDAKALEEAIAHALFLTKQLKVTTIRLFNTVGPRQTGRY--------GMVLPRFVRSALKNEPITIYGD------GTQSRVFCHVADAVRAILTIAKTDSTIGE--VYNVGGVGETSIKQLAEKIIKRTESTSAITYTPYDQADMQRRVPDITKIKNAIGWSPDNTLDSIIDD----------------- 269928814 ---KTALITGITGQDGSYLAEFLLEKGYRVVGMQRRTSGRIAHLMDKIEVQGDLLDQLSLIDLIQRYQPDEVYNLAAQSFVPTSWQQPVLTGEFTALGVTRMLEAIRLVKPDRFYQASSSEMFGKVREVPQRETTPFYPRSPYGVSKVYGHWITVNYRESYNLFACSGILFNHESPRRGLEFV------------TRKVTHGVARIKLGLAKELRLGNLDAQRDWGYAPDYVRAMWLMLQ----QDEPDDFVVATGKTHTVRRLCELAFDVVGLNWYVVVDPKRPAEVDLLVGDATRARTILGWEPTVSFEEMVEIMVD-------------- 136273684 --KKKILVTGGLGFIGSHTSLKLLENNYEIIVLDNLSNSKIEVRKDFDFVEGDIRNRNLLSNLLKKNTIDCVMHFAGLKAAGESEKYPLDYYDNNVLGSLVLLQEMKLANVKSIIFSSSASVYGNSLTTKCKEETQLKPISVYGKTKLIIEDILKNYKSEPEWKIINLRYFNPIGAHFTGIIEDSRDTPTNLIPFITEVALGKKDQLLVFGDDYKTSDGTGKRD------------------------------------------------------------------------------------------------------------- 223940543 -RQKPVLITGGAGFIGTNVAHRFLSKGQPVLIYENLSRGVQRNLEWLQKTHGDLLEVEDADKIRRAARHASVFHFAAQVAVTSSLLDPVHDFEVNARGTLNLLEGLRSSTPPPLLFTSTNKVYGDLHDVDFNESRQLDFHSPYGCSKGCACEYVLDYARTFKMPAVAFHMSCIYGPHQFGN-------EDQGWVAHFLIQALRNKPITVYGDG------RQVRDILFVEDLIDAFLLAHENIGSSGQAFNIGGSPANTSSLIELLALIKNLQGNEPEVHFDDWRPADQRYYVSDIRKFSSATGWAPKVDVQQGVSRLFHWLKEHPRS------ 140310885 ---------------GSNFIHKILQKDIYIINLDKLTYAGNLMNLRYTFVRGDICDKGLVKSLFNEYNFQSVVHFAAESHVDRSIDGPSAFIQTNIVGTLNLLEHSKKFFDFKFLHVSTDEVYGLGNNGKFLENTPYAPSSPYSASKAGSDHLVRAWNKTYDLPILITNCSNNYGP--------YQFPEKLIPLMIIRAINNKPLP--------VYGNGDNVRDWLYVLDHCDAILTVLDRGEI---GQTYNVGGNNEIKNIDVVESICDIIDHKELIHFVQDRPGHDFRYAIDASKLNSKLGWVSKESFESGLEK----------------- 135023050 ----KILITGSAGFIGFNFCKHLLQNNYHILGIDNLSDYYDVNLKRFKFQKADITNQFTMDKIFKKNKFDFVFNLAAQAGVRYSIDHPRKYIDANILGFFNVIDCCKKYHIKRLFYASSSSVYGESKKFPLKETEKISPKNIYGLSKKINEEISLIYNQFYNLKLTGLRFFTVYG--------EWGRPDMMMLKFIDCHFKKKIFKLHNFGKH--------TRDFTYINDVVEILHRLIINNKKLKKNDVLNICSNKPINLLNVISFMMK-NGIKPKISKVKLQQADILKTHGDNRKLFKYVGTQKFNNWKSSVKNLIIWYKKH--------- 136550639 ----RAFITGGAGFIGSHLCDALIARGDTVTILDNLSTGSKKNIAHITVHEGDIRDKDLVDKLVS--ESDVVFHMAAALGVKNIMEHTIESIDRNFNGSEVVLHAATKHN-TRLLIASTSEIYGKNPNQPLHEESPQKIRWTYSDAKALEEAVAHTLHKTHGLKVTTVRFFNTVGPRQTGQY-------GMVVPRFIQAALKNED-------IVIYDDGSQSRVFCHVEDAVKAVLTIIDTDSTIGD--YFNVGGVGEVTITQLAEKSIERTESSSKIKYIPYPAGDMQRRVPDITKIKNAINWAPTHTLDSII------------------- 136460686 ----KIFITGIAGFLGSHLADRMIELGHEVVGNDTLIGGYLHNVPEAEFYQIDCCDMTVMSK--AMEGCDIVIHAAATAHEGLSVFSPSFITRNIFEASVSTMTAAIQNKVKRFVFCSSMARYG-NQSNPFLEVNRPTPIDPYAVAKVASEDILKILGETHGMEWNIAVPHNIVGPRQRYDD------PFRNVMSIMINRNLLGKPSIVYGDGSQSRCFSYVTDCITCLEKMALDPNIVHEIINIGPDE-------ETTTILTLANMIAEELNFPLPPIHMADRPREVKHATCSSDKARHFLGYKTTTDLKTAIRETAN-YIKNQ-------- 108758739 ----RILVTGADGFVGRHLCALLRAAGDEVV----EAHGPRGEGINSNALHFDVANEASVKAAVAEVKPEGIIHLAGFSSVAKSHHNPSRVFAVNTMGVVHLLTAVRESVPKRVVLVGSGEVYGVPEGTRATEDTPAVPLSPYAASKSAAELAAVQFHRSYGLEVVMARPFNHLGAGQD--------------PTFVVPSFAAQIRAIGLGTVDPTGNLDAVRDFSHVRDVVEAYRLLLDK---GEPGQAYNIGSGEGRTIRSLLEEMLSLAGVSARIELDPARPSDIPSLVGAPDKLKA-LGWVPKLTVADALRD----------------- 257792907 ---RTWLITGVAGFIGSNLLEKLLNLNQCVIGVDNFSTGFQSNLRRFKFIEGDICNLDVCKKSISGV--DYVLHQAALGSVPRSIENPILTNASNISGFLNVLDCARRENVKSFTYAASSSTYGDHTGLPKVENIIGNPLSPYAVTKYVNELYAGVYALNYNFKSIGLRYFNVFGRRQ-----NPEGAYAAVIPKWILSILNGDD-------LYINGDGNTSRDFCY-IDNVVQANLLAALANDNVKNNIFNIAYGQQTSLNKLFKYINEGVEYKKQPIFKEFRLGDVRHSLANIDKAKIMLGYEPCYNTNQGIKLAIKWYINN--------- 294011107 MNGKRALVAGGAGFIGSHLCRTLLDQGHEVICLDNLQTSREEGHRAFTFVRGDIVDP-LPDAVTRRRDISRIYNLACAASPPQYQADPEHTMLTSVVGTDRLLRL-AEQVGARFLLTSTSEVYGDPEAHPQREDNCTGPRACYDEGKRAAEAMAFDFARLGRADVRVARIFNTYGPNM--------HPDDGRVISNLVCQALSGDAITIYGD------GSQTRSFCFVSDMVDGLIRLMEAPLS--DMAPVNLGNPEEYSILQLLDQVVAATGTRSQVVFQPLPVDDPRRRRPDIRRASQLLGWEPRVPLSEGLAITCAFFEK---------- 134822282 -----IVITGGNGFLGSNLAKKFLTKGHKIYIFDKSKNKFSLINKGAHQVNCDLTNYKTLEKL-KINEVDLILHCAGQPSAALSFKDPEFDLKVNILGTLNILRWAKAKNVKKILFASTFNVYEENNKPRLSENDNCKAKSLYAVSKIASENYIRCYANHYNLSWVILRMFNIFGPGQDPTNKSLGMINIFLNMAIKNNKVEVKGSLNRF------------RDFIFIDDVVEA--WYQAAIKIKSKNKIYNIGTGKKTTILQLLKIISKVIKKKIQISELKGTPGDFNGCYSNISKIKRDLNFRPKVSLENGLKIFYNWAKK---------- 135453469 ----RVYVAGNTGLVGSAIVRMLHWKGYTNI----LSSPSSHW---------DLRNQSDVERFFRVNEPEYVYLAAAVGGIGANADYPGHFIYDNLMIQTNVIHAARKYGVKKLLFLGSSCIYPKFAKQPITEDQLLGSNDAYAIAKIAGIKMCKAYRKQYGFNSICLMPTNLYGPNDNYDLDSSHVLPALIRKFYEAAMLRH-------GKVRLWGDGSALREFLHVDDLAEAAFTCMKDYDSD---EIINVGTGKDISIKELAMTIADVIGYKGDIEWDTSKPNGTPRKVLNVDKIKA-LGWEPKIELKEGIQKTYQLYKEN--------- 144191421 ----TALVTGADGFIGCHLVDAL-SAGDTVV-------------HRHSKRDGDVSDEETWRRLPS---ADVLIHLAAQSGVLKSWEAPTDFVRSNCLGVARALEYSRRHRSK--MILISSYLYGDSGSTALAETAPIEIRNPYALTKRFSEQLCGLYIEQHGVDVRILRPFNVYGPRQS---------REFLIPMLIHQAVKHGR--------VVVNDLEPRRDFLHVDDLVAAIIKSIHYT---GPHQVFNIGTGRSHSVLDVIRILETHLGHHEVINQGRRRPGEIMDTVADVTLARRELGWEPTLSLVDGLSRMIK-------------- 142969563 ----KIFVTGCAGLLGSNYSRHLIANGHEVIGIDNLSGGYKAFVPKGEFVKLNLERRKKVEELFEKHNPDVLLHFAAYAAEGLSPFIRNFNYRNNLLCSANLIN-PCIKYKTKMIFTSSMAVYG-GQETPFTEDKQPMPIDPYGMAKYAVECDLKMAETQFGLRYNIVRPHNVLGT------YQNIWDRYRNVIGIFIRKTLNNQPILVYGDGEQTRAFS----------DIKYYMEPFDLLLDDFDGEVFNIGADKFFTLNQVAETVQEVYGYEVPIEHGPPR-HEVKHAYCDHTKAKTLLKFKDNTKLEELIESMFVWAMKQPN------- 84515104 ---KRALITGVTGQDGSYLAEFLLAKGYEVHGIKRLNTARVDHIYQDPQHYGDLTDASNLTRIIAQVQPDEVYNLGAQSHVAVSFEAPEYTADVDALGTLRLLEAIRFERKTRFYQASTSELYGQVTQSPQTETTPFHPRSPYGVAKLYAYWICVNYREAYGLHACNGILFNHESPRRGETFV------TRKITRGLANIALGLENCLYMGNIDALRDWGHAKDYVRMQWMMLQQDQPEDYVIASGVQHSVRDFIGTGRAETAVVTAVRRVGDTIMRIDPRYYRPAEVESLLGDPSKARKQLGWTPQISARQMCAEMVA-------------- 140775388 ---------------------------------------------------GDLGIVEDVDAAFTNMPVDVVFHFAAIAYVGESMADPLRYYSNITTNTVNLLRVMSAREVANLIYSSTCATYGNVEKLPITESTPTKPINPYGKSKLYAENAIEDYAANPKFKAAILRYFNVFGSDPEGTFGELPRMDLRAHGRCFDAALKNIDELTVMGTRYPTRDGTTIRDFVHVVDLVEAHIAVAEKNKFDNPPSLYNVGTGSGVSMREFVETCKTVTRADIKIHYAEPRPGDYAEVYANVDKIKHELGWEAKYDLHESLTHAWKFRK----------- 143275126 --------TGGLGFIGSNLIKLLIKKNYFVINIDRVNYASNFYNKNYKFVRLDINNRLKLKKIFKFYKPIAIFNLAAETHVDRSIDSPTEFIRSNIVGVFNLLETFREFKKTKLIHISTDEVYGDVLKGRSKETDPYKPSSPYAASKASSDHLVYSYVRTYGLNAIITNCSNNYGP---------HQHPEKLIPKLIYNII-RNKPLPLYGKGKNSREWIYVDDHCEALFKVFKNGTKGEFYNIGSNINSNNIDIAR---LLINIAKKKMKLGKKVKINFVKDRPGHDLRYALDSKKIFKKVKWKSKINLKKGLENTFNWYFENMKYY----- 225848251 ----NILITGGAGFIGSNLALKLQKENSKILILDDFSSANFKNLKGGIVYSCDVS-TDEVFFKIEDFKPDVIFHLASITDTT--VTDQEYMMRRNVDGFKNVLELAYDNESIVVYASSASVYGNVREKVPLKEDREKSPENVYAFSKYIMDNLAQEFAEKTSLKIVGVRYFNVYGPR------EAHKGKFASMIYQLYLQMKSGQRPRIF------KWGEQKRDFVYVKDAVDATI----LAAKAPRSTVYNVGSGEATSFNDVIKYLNQALGTDFEPEYFDCPYDFYQEYQADMTKIKEELGFVPRYSIQRGIKEYVD-------------- 142969684 ---RKILITGTSGYIGNALCLYL-KKNFVIKGLDKKPSNNLKSA------KINLLDFKKLNQFIKSFNPDTVIHLAAQSLVDE-TINKKKYFLNNVLATKNLLLSLKKNKIRNIIFSSTAAVYKY-RNEPIKEKNSLKPVSTYAKTKFKCEKLI----KKSNLNYIILRFFNVCSSLKINIVGELHNPETHIIPTIVYKSI-FNKKIYIYGNSYKTKDGSCVRDYIHIKDICSAIKNCLVILKNKNQTEIINIGSQSKITNLEILNLVKKIIKSPINYKIVKNRKGDVAYLSCSISKAKKKLNWKPTNSIEKIIKDEILWYLKHKRNFKN--- 135532443 ----RVIITGGLGFIGINLAKYLLAKKTQIVIIDKMTESTTNNLYRKTKDKVVVIKANVMNKKFSEIKDDIIVHLAAESGVDISISHPEKSFNVNVVGAFNYLNAARIKKVKRFIFASSGAVFGKAK-PPLTEKTIKGAISPYGSSKLTIESFCETFSGMFKLKTTVLRFSNCYGIYSDN---------------KSSVISKFIKNINKGYVNYVNGNGMQTRDFIYVDDLVDAIYKSF---SDKSDFSDYNVSTGKETSIKNLLKMLKDIYDKKVYIKYQKERLGDMKKNYAKPNKIIKRLNWNPRISLSDGLKTTVDWYSQ---------- 142756821 ---KKILVTGCAGFIGYSISMFLLKKKYKVVGLDNYSSYYDVRIKKKRFNRIDLNNFKKLNKLFKYNKFDMVLNLAAQAGVRYSFINPSEYIRTNINGFFNLIEISKTKKIKHFIYASSSSVYGLTDKTKSSEEDNDHPISLYAATKRSNELIAHSYSYNFNFRTTGLRFFTVYG--------EFGRPDMSIFKFIKKT--LQRKYIQVFNHGKHQRSFSNINDVCNFMFKIIESKNKITKPKKINPGEIFNIGNPSKISLINLIKIIENKIGLKIKKKYLGLQKGDIVSTHASDKKLKK--NFKTKVDIKKGVDSHIKW------------- 157413747 -------VSGGAGFLGSHLIDKLLKNGQNVLCLDNLSSGYSGNIAHKKNKKFLFINHDILEPLDSTILIEKIWHLASPASPYHYQNDPIRTTRVNYEGTFNLLNLAKSHN-SKMLFASTSEVYGETTNYLQEEDMPVKPRACYSEGKRIAETLINSYREKYNLEIRIARIFNTYGPRLN-------INDGRVISNFIKQCLTGDK-------LTIYGDGRQTRSFCYVSDLIEGLLVLMESNYN----YPINIGNEEEISIIKLADLIKNIINKNVIFEYRKLPLDDPKRRKPCLNRAKKYLNWSPKVTLIEGLHKTISSYKE---------- 143314094 MASKRIFITGGAGYVGAMLVPRLLELGHSVTVLDLMWYGDDDPHARLKMVKGDIRNEELLRQEIAGH--EVVIHLACISNDPSFEMDPKLGESINLDAFTPLVEISKESGVERFIYASSSSVYGVKSEPNVSEEMSLEPLTDYSRFKAECEKILAKYQSPD---------FTTVTIRPATVCGYSRRQRLDVVVNILSNLAYHKRKITVFGG-------KQLRPNIHIADMVEAYVLLLDAPKELIAGEIFNAGYENQ-SVEELAHSVRDVMGDD--VELITSPTDDNRSYHISSAKIARVLGFVPKHTIRDAIVDM---------------- 118595008 MKIQSVLVTGAHGFIGRNVARHLSRQGLKVIGIGHKWSSVDEQKLWGIWRSSDIT-VDGLRA--CEVVPDSIIHCAGSGSVGLSIQNPLDDFERNLNTTLNVLEYVRLYASTAKVITISSAVYGEVEKLPMAEGDSINPISPYGVHKKIAEELCKSYVDHFGLNVTVLRLFSVYGPGLKKQLLWDACNKIM------------------GGEYKFFGTGNELRDWIHVTDVAKLVACLL--TTSSSNFNLYNGATGLGTPITDILKLLFTALDSKESAIFGSARQGDPIGYVADVTKTSQVLAWDPKIKWHQGVLEYAEWFKHH--------- 9937230 -------VTGAGGFIGSHLVRRLVRDGHRVRGVD-LVPPRYGPGEAQEFVIADLRDAAQAAR--AVAGADSVFALAAMGGIGWTHTAPAEILHDNLLISTHTIEACRAAGVRTTVYTSSACVYPEPDAAPLAEDFPAEPDMEYGWEKLTTEILCGAYRRSHGMDIKTARLHAIYGPL--GTYTGPRAKSLSMLCDKVARIPGDE------GEIEVWGDGTQTRSYCYVDDCVEG----LIRLARSDVAEPVNIGSEERVDIASLVERIAGVAGKKVRCAFAPDRPVGPRGRVSDNTRCRELLGWAPETSLAAGLERTYPW------------- 138681304 ----KILVTGTAGFIGSHLAHRLLDRGDEVIGVDNVNDYYDVNLKEARLARLDVADREAMEAVFREHKPERVVHLAAQAGVRYSLENPHAYVDANLVGFMNILEGCRHNEVKHLVYASSSSVYGANETMPFSHDNVDHPLSLYAASKKANELMAHTYSHLYNLPTTGLRFFTVYGP----------WGRPDMALFIFTKKILAGEPIDVFNHGHHKRDFTYIDDIVE---------------------------------------------------------------------------------------------------------- 143353801 LESMNILVTGGCGFIGSHFVEEILKRDDVVINIDSLTYAANKDLPFKKYKELNLNIVDNSIGIYRQYKIDYVVHFAAESHVDNSIKGPRKFIDTNINGTFNLLEIFRDTDIKKFIHVSTDEVFGSYKESEFDINSPYRPNSPYAASKAASDLLVRSYVKTYKFPAIITNCSNNFGPRQYP----------EKLIPVCINKLKNKEKIPLYGN------GENIRDWIYVKDHINALINVL---LDGVVGKQYLIGGNNEISNIQLIYLIVATYEDWEWFEYVTDRKGHDFRYAIDVRDFKMEFNWK-LTDFNENLKTTVKSYL----------- 143504361 ---RNIVVTGGAGFLGRHICERMLQEDTQVFCIDNLVTGSLNNISRFYFVEYDITKELNLDRGMLPSEIDSIYHLACIASPPVYKEYSIETLMTSFVGTKSVLDLAKNTNAKVL-FTSTSEVYGDPQVHPQPEENTVGERSCYDEGKRVAESLIYEYRRRYNLDLKIVRIFNTYGPYMDINDGRVITN--------FIKQIMLDKPLIIYGDG------SQGRSFCYVNDMIDG----LIAMMNSKEQGPINIGNYNEFTLNELVQEFEIVVERQLSVKFIEATENDPKQRKPDISKANKLLEWDPKVKLSEGILNTMEYFLK---------- 110637266 ---KKILVIGSKGFIGSNLVAYYEKNTIDVWGCDVISDYSSRNYFLIDASNSDF------NEVFQQVAFDVCINCSGSASVPLSMINPGRDFHLNVLNVFNMLDSIRKNIPCKFVNLSSAAVYGNPESLPIKEDTPINPLSPYGWHKLQSEILCKQFNQNFALHTCSLRIFSAYGIGLR-----------KQLFWDWFQKINDAASMSIYGTGKE------SRDFIYIDDLIQCIECVVARGDFNA--GIYNIANGQEIYIADAIKEFKKASGKSFEYTFQETRPGDPINWVADISKLKT-LGYQQQVTFEKGVEILMKW------------- 153855857 --TKKILITGGAGYIGSHTALELLNEGYEVVVYDNLCNSSKESLKHITFYEGDVMDETALKAMMEKEGVDAVIHCAALKAVGESVQKPLEYYRNNITGTLTLMDVMKQTGVKNIVFSSSATVYGSPEEMPITEECPKGCTNPYGWTKSMMEQIMTDVQKANDMNVILLRYFNPVGAHESGRIGEDP--------------------------------------------------------------------------------------------------------------------------------------------------- 136277996 -----------------------------------------------HFVEGDICDANLVTQVMDAHETDTIVHFAAESHVDRSITGPDAFIRTNLEGTHTLLAAARKAWLHRFHHVSTDEVYGAPEEPAFTETTRYEPNSPYSASKAGSDHLVRAYEHTYGMQVTTSNCSNNYGP--------YHFPEKLIPLCLTRILDG--------GVIPVYGDGSNIRDWLYVEDHARGIARILEAGT---PGEVYNIGGHNEWANLDIVRLLCRVMDERFAIELVTDRAGHDWRYAIDASKIERDLGFVPDETFETGLAKTVDWYLAH--------- 142158170 ----KVFVAGGSGLVGSAVVRELGRQGVEKI--DAPSSA-----------ELNLLDREKVFSYLTQTKPDVIIDAAAVGGIHANNTYPAEFLSNNLLIQVNLMDAAGKADIERFVFLGSSCIYPKFAPQPMPESSELEPTNSAYAAKIAGIQQVQAHRKQYGRNWISAMPTNIYGPG-----DNFHPEDSHVVPALLRRIHEAKERGDDEVVIWGTG--SPLREFLYSDDLARAIVFLAEHYDS---GEIINVGSGEEVSIKKLAETVAAVVGFAGRLKFDSSKPDGTPRKLLDTSRL-EALGWAAQTSLKDGLSTTYEWFLQHKDDYRGR-- 135211610 --KKTWLITGVAGFIGSNILEKLLTLNQKVIGLDNFETGYQENIDQAIQDKGDIRNIEHCYEACSGANF--VLHQAALGSVPRSIEDPKNSNDVNINGFLNMLIASRDTNVESFVYAASSSTYGDHPDLPKLEDNIGKPLSPYAVTKLVNELYADVFSKTYGFSSIGLRYFNVFGKRQDPNGSYAAVIPKWIAAIVNKKDL------------FINGDGETSRDFCYIDNTVQMNLLAATSKNIKASNQVYNVACGKSSNLNELYKVIERIDGPEKKPIYRDFREGDVRHSLADISKAKSLVYYSP--------------------------- 55377339 MENQRVLVTGGGGFIGANLANKLAENN-DVVALDDGYLGTPENV----SEDVEYVEQSVLDDDLPT-DVDVVFHLAALSSYAMHEDNPTHGARVNVEGFVNTVEQARDDGCDTIVYASTSSIYGS-RTEPSPEDMDVTVNTGYEASKMARETYAEYFQNHYDLTLAGMRFFSVY---QGYGGAEEHKGEYANVIAQFADDLASGDAPKLYGN------GEQTRDFTHVDDIVRGLVLAAEHELND----VYNLGTGEAYDFNTVVEMLNDELGTDIEPEYIENPIPEVHDTCADFSKMHEATGWEPETSFEEGIE------------------ 300709989 ----RLLVTGGAGFIGTHLTERLLDAGNDVVVVDNFANSDPSTVPDGAEIEGDLTDSDVASEAIDS-DIDGVFHLAARKSV--NDENPRGQFEDNSAMTYNVLEAMDAADVSEIAFTSSSTVYGEAPRPTPEDYAPLEPISVYAASKIADESLLSTYAHTHGMRVWTFRFANIVGPHLRGAV----------IPDFVEKLRANPETLTILGN------GRQEKSYMYIEDCLDAMEHVIEHTERPVNT--FNLGTRTTTSVNRIADIVSDELGLDPDYEYERGWTGDVPKMRLSIEKL-TGLGW--DVSLESAVRKTAR-------------- 260063374 MEKSKIYIAGHRGMVGSAVWRALEAAGYS-----NLTGRSSS--------ELDLRDARATSAFIADEQPAAIVDAAAVGGILANSRNQYEFLLENLRIQNNLIQAAHEAGVPKFVFLGSSCIYPKMAEQPIREDAPLEPTNEGYAAKIAGVRLIQALRDQYNRDYVSLMPTNLYGPN-DNFDLETSHVLPALIRKFHEAKQNDNAPVTLWGSG------SPRREFLHVDDLARAVVHALENPLPD---HLYNVGTGSDITIKELARTVQRIVGHTGEIRWDTSKPDGTPRKLLDVSHIHA-LGWKAEIGLEDGIKRAYEWYLEN--------- 135108829 -ERPRIWVAGHRGLVGGAIVRRLEQDG-------------SAELILRTHAELDLADRGAVEAFLAETRPTQVFLAAAVGGIRANDSFPAEFIHENLAIQDNVIHGAWAAGVEKLCFLGSSCIYPKFAPQPISEDSPLEPTNEWYAAKIAGLKMCQAYRKQYGFRAFSLMPTNLYGPGDNFDPETSHVLPALVHKFHLARENGAER-------IELWGTGTARREFLHVDDLADAAVALMDRYEDAGP---INVGTGEDVTIAELAELVRSVVGFEGRIEFDHVNPDGTPRKLLDVSKVH-EFGWRHRIDLEAGIRSTYDWYLAN--------- 136171479 MKT---LVTGGAGFIGSHLCDLLVDSGNEVVVLDNLSTGSRNNICHLENRIGDIRDNKLVESLI--EESDLVLHMAAAVGVQNILVDPLGSISTNYYGSEVILKAT-SKYKKRIFIASTSEIYGKNPKQPLSETDDRIIGTPQKLAKALEEATAYSLFLSEKLKVTTIRFFNTVGPRQSSKY--------GMVLPRFIEAARTNKPLKIFGD------GTQKRVFCHVKDATNALLKL--YSNDHSIGQTFNVGGISEISITELANLVIRLTNSGSKVEYEAYPVGDMQRRVPDISKIRNLTGWNPKISLVDIIQDII--------------- 142595228 --NMKILLTGGAGYIGSHVLLSILEKNYKVVVVDDLSTGNINLIPENITIKCNINDERKVSNIIQQEKFDILLHFAGFIKVEESVQNPSKYFKNNTENAITLFETCYKNNLRNIIFSSTAAAYGNPANNSIKEDANLSPLNPYGQSKIDTEKYLIE--NSNKFNSVILRYFNVAGADPELRSGLISKEPTHLIKILSEIAVGKRDKIYLYGNDYNTDDGTPVRDYIHVSDLADIHIKTAEYLMEKKISNIFN--------------------------------------------------------------------------------- 139842577 ----NILLTGGTGYIGSHVAVSLTKAGHKVILFDNLSNSTLETRLKIPFFKGDIINIQDLQNVFSKFDIDAVFHFAGLKSVSDSIINPIDYYNINFLGTFNLINVMREKKVKNFVFSSSATVYGKPKYLPIDEKHTTNPINPYGKIKLYIEQMLYDALSDNDWRIVCLRYFNPIGSHESGLIGDN---------------------------------------------------------------------------------------------------------------------------------------------------- 155371229 -KSSRIYVAGSSGMVGSSIVRLLKKLEYTNVI-------------TRTSKELDLRDTSAVDKFFTAERPEYVFLAAAVGGIHANNTRRGEFIRDNLMIQTNVIHACCVFDVKKMIFLGSSCIYPRDCPQPIREEYLLDTNKPYAVAKIAGIEMCDAYKRDYGCDFVSVMPTNLAGPGDNYNLIGGHVFAALVRKFYEAKKLGLP-------NVVVWGTGSARRDFMHVDDLARGILMVMQ---SKEDLGVVNMGSGKDVSIREFAELIREVVGYEGGIVFDTSKPDGTPVKIMDCSKAHG-LGWKPELSLRETVELVFEDFSDNYERYCKSHR 148613129 --------------------------------------------------------------------------FAGFKAVGEGVQKPLMYYNNNLIGTITLLEVMAAHGCKKLVFSSSATVYGWPKTVPCTEESPICAANPYGRTKLFIEEICRDVQRDSEWKIILLRYFNPVGAHPSGYIGEDPRGPNNLMPFVQQVAVGRRPALTVFGTDYSTKDGTGVRDYIHVVDLADGHIAALAKLSDPSGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLLMAGRRAGDAEVVYASTEKAERELKWKAKYGIEEMCRDQWN-------------- 143959796 ------------------------------------------------------------------HQPDAIMHLAAESHVDRSIDGPSDFIQTNIVGTYSLLECARSYWDFRFHHISTDEVFGTGETDSFTEETAYDPRSPYSASKASSDHLVRAWHETYGLPVVLSNCSNNYGP--------YHFPEKLIPLMIIKALKGEKLP--------VYGAGENIRDWLYVDDHANALCKIL---FEGKKGESYNVGGNAEVSNLDVVRNICRLLDYEEQIDFVADRPGHDYRYAIDATKIRNELGWKPIETFETGLRRTVEWYLAN--------- 136544974 ----TILVTGAAGFIGRALCETLLRQGQDVVGVDSLNDYYSNCHKRFKFEKINLDDPSRVKKLFYNSDFSYVYHLAAQAGVRLRPNELDKYITSNINAFTNVINSSIANSVPNFIYASSSSIYGDADSKLLSEGFTSNPTSYYGTTKLFNEHAARVLSVGTKTKTRGLRFFTVYGP----------MGRPDMAYFRIATALLTSTEFNLFGDGNI------RRDFTYIDDVTTSVILLGENKLSNSHSDVVNVGGGRPQSMRELIQVTEEITGRKLRIVAHPANSNDVKQTEADTRLIEELTGFKPSVPIEEGM------------------- 257413287 MENKKIYVAGHRGMVGSAIVRQLEKEGYTNII-------------TRTHKELDLCRQDAVEEFFAKEKPDYVFLAAAVGGIMANSEALADFMYDNMVLEMNVIHEAWKNGCKKLMFLGSSCIYPRMAPQPMKESCLLKTNEAYALAKISGLKYCEFLNRQYGTDYISVMPTNLYGPN-----DNYHPEHSHVLPALIRRFHEAKEAGLKEVTCWGTG--TPLREFLYVDDLADACVYLMNHYS---GNETVNLGTGKELTIKELTELVAKVVGYEGEIKWDSTKPDGTPRKLLDVSKL-EGLGWKYKTELEEGIRLTYDDFLHNP-------- 143284057 ---KKIIVTGSCGFIGYNLINSL-SHIEEVIGIDSLNNAYDNNLKKLRLEQLNFSDKNDLHKNESIFHDCDVIHLGARAGVRQSFNDPEKYLMDNTLGTINLSLQVKENNIPKFIIASTSSIYGDTGEQLAVEDELLYPPSIYASTKSFGEILAQNILEDTNTHIQIPRFFTVYGP--------YGRPDMSILRFIHWICKGEK--------LILYGDGNQRRSFTYVDDIVNGLMALMEYE----GSGTFNFGSNETWSLNEVIEIIEMNTGKKADISFEKRAYKDVDIVLPNLNKSNNILNWKPTTNIKNGIMNTVNWYLANQEKLKD--- 226363583 MTTCRVLLTGAAGFIGGHVRTALTEAGHDVVAVDALSAHGAGAELPDGVRRADVRDLPALTELLRGV--DVVCHQAAVVGAGVDVSDAPAYASHNDLGTATLLAAMHRSGCRRMVLASSMVVYGAGEWALVEENSPLRPRSLYAAGKVAQENYALAWALATGGSVTALRYHNVYGDRM------PHNTPYSGVAAMFRSALEAGEPPRVF------EDGRQTRDFVHVHDVAAANVAAVEAAL--PGFAAFNVCSGQPITIGEVAATLARSHGGPEPVVTGEYRPGDVRHIVADPRRARERLGFRAKILPDEGIAAF---------------- 94314778 ----RVFVAGHRGMVGSAIVRALAARGDVELVL-------------RTHRELDLTEQRDVRHFFATERISQVYLAAAVGGIHANNVYPAEFIQQNLTIAANVIHEAWRGGVEQLLFLGSSCIYPKFASQPIQETGALEPTNPYAIAKIAGMMMCDSYNRQYGVDYRSVMPTNLYGPG-DNYHPENSHVIPALIRRIHEARLADAPEVAVWGSGAPMREFLHADDLAHACLHVMDLPQASYRAHTQG-AGFLNVGSGEEVSIRQLALLIARVVGYRGNIAFDPTRPDGTPRKMLDCGLI-NATGWQPQVSLESGLRSTYQ-------------- 135192280 MALQKVLVTGSAGFIGMHTALALQKQGYAVTGLDNLNTYYSVDLKLARFIQLDLADSHLVEELFAEKKFDYVVHLAAHAGVRYSIENPHAYIDANVKGFLDILEASRKFGVQHLVYASSSSVYGMNEQVPFRESDQTDRVSLYAATKKANEAMAHSYAAVHKLKLTGLRFFTVYGP--------YGRPDMAPFKFTRRVLQGE--------PIDVYNHGNLSRDFTYIDDIVAGVTGIVPFAPEGEPYAIYNIGRGEPVQLMDFISSVEEACGQE---------------------------------------------------------- 140784638 --------------LGSHVAELLVYNKNNIVIIDNLETGYKKLIKKSIFIKGDIKDRKLIDKVIKKYDIQTIIHLAAYLNISEAERNKLKYWKNNVDGTRKLLLACKNSNVKNFIFSSSCSIYGNAKGS-VSEKKRPNPQGYYAYTKFKSEELIKKYSEVCKFNYGILRYFNVGGASKSGKIGEIEKSHGHLIKNIAIQSLRNNAKINIFGSDYPTKDGTCIRDYVHVSDLAEIHIKGLEYLKKNNKSFILNCGYGKGYSVLQIVNI-FKKIKKNLIIKYKNRRPGDVAQVFSNTEKFK---------------------------------- 135109526 -----VFVAGGSGLVGRAIVNKLADAGI-------------EGILSPTSRELDLTDRASVEAFIELHRPALIIDAAAVGGILANNSFPAEFLSQNLQIQLNLMDTAARFGVRKFLFLGSSCIYPRLAEQPMSEDALEQTNSAYAVAKIAGVEQVKSYRRQFNLPWISAMPTNIYGPGDNFHSTGSHVIPGLMRRLHEAKELGE-------GTVSVWGTGRAMREFLYSADLADALLFLVERYDSD---QIINVGSGQEVAIEDLARKIAGVVGYQGDLSFDASKPDGTPRKLLDSSRLNN-LGWQANTSLRDGLTETYNWFLENSESFRGR-- 251797500 ----KALITGITGFVGSHLAEHLLGEGIEVTGTMRSHSSHIRHLLPDMRYECELMDPQAVEHMMASIRPDLIFHLAAQSFVPLSWESPAETMTNNIIGQVHLQEAVRKGLASKVLIACSSEEYGHVEEVPVKETNPLRPISPYAVSKIAQDYLGYQYFKTYGQHIIRTRTFNHHGPR-----RGEQFVSSNFAKQIAEIEKGLKPPVLYVGNLEA------KRDFTDVRDVVRAYRMAMDYCEA---GEIYNIASGQCHEIREVIQILLSYSTVDITIEEDKLRPSDVKVLVGDYGKFYEQTGWKPEIPFEQTMKDLLNYWRN---------- 300865156 -----VLVTGGAGYVGAVLIPKLLQAGYRVKVIDLYLYGPDKDHPGLEQIKGDIRDRALLEKIMPGC--DAVIHLACISNDPSFELDPDLGKSINYDAFLNLVDVAKDSGVKRFIYASSSSVYGIKETENVTEELPLQPLTDYSKYKALCEEVLLA-KREPGFVTLVLRPATVCGYSPRLRL--------DLTVNILTNLAINNNKITVFGG-------DQKRPNIHIEDMTDLYIKSLQWPDEAIDGKIFNAGYENHT-VSEIAQMVRRVVG--EQVEIVTTPTDDHRSYHISSDKIKRELGFVPQHTIEEAAQDLVKAFKAN--------- 135264163 ----------------------------DILVIDNFSSGSMDNLPDGEVENLDICSPEA-RELVRKIQPEILVHAAAQISVRESMEDPHYDAQVNVSGFLNLLQAFTTETMPYCVFISTGAIYGEQEVYPAPEAHRVKPECFYGLSKYVGELYLELWKRAFGLNYAVLRLANVYGPRQN------PHGEAGVVAIFTQIVQAGTVPT-------IYGTGNQTRDFVYVGDVAAAVLGAAESKV----SGTFNIGTGMETSIEAICRKICELKGADFSPKYDSARPGEQMRSCVDPSYAKEVFGWQPSVSIDDGLGQTYDWFQ----------- 146339115 ----RVLITGGAGFIGSHTADALISAGYEVRLLDTLAPGSQRQRPSYLHADGDVTDPIAVERALRGV--DKVLHLASSVGVGQSMYDIESYVRTNELGTAVLLQALVNRPVARLVVASSMSVYGEGEPIPTPETKQPTLSSIYALNKYAQERMCLITGKAYGIPTLALRFFNVFGPRQAL------SNPYTGVLAIFAARLLNDRSPLVFEDGL------QRRDFVHVHDVARACVLALESDRVDD---VFNVGSGQSRSIVSVAEDLARVMGRSQMTITGKYRAGDIRHCFADISKTERLLGFKPAVRFEDGLDE----------------- 135343220 ---KKILVTGGAGFLGSHLCEKLLNLNNYVICLDNYYTGKKENIIKFIEKNHDINKYENIDEIYNLACPASPIHYQ---------QNPIQTLKTCINGALNVLELALKTNAKVLQ-ASTSEIYGDPKIEPQSESYWVGVRSCYDEGKRCAETLFFDYYRQYNILIKVVRIFNTYGPRMS--------IDDGRVVSNFITQSLQNKPLTIYGKGDHLRC------FCYVDDLIDGLIKMMDTQDQT--IGPINLGSNQIFSMNELADKIIKLTKSDSKKIFLKLPDNDPKSRKPNLELAKIKLNWSSKTNIDEGLDLTIK-------------- 193215766 ----KIFVAGHRGMVGSAIVRRLKSLGYSNIVTRTRS-------------ELELLNQKAVQDFFQSKKFDEVYLAAAVGGIHANNTYPAEFIYENMMIEANIIHAAHQNEVQKLLFLGSSCIYPKFAPQPMNENALLATNEPYAIAKIAGIKLCESYNRQYDRDYRSVMPTNLYGPG-DNFHPENSHVIPALLRRFHEAVNSRVKEVVIWGSGKPMREFLHVDDMAAASVHVMNLDKSIYDTHTEPMLSHINVGTGVDCTIRELAETVAKVTGFQGELKFDASKPDGTPRKLLDVSRLAS-LGWNASISLEEGLAQTYRWFLEHQE------- 158317410 ----RILVTGASGFVGGVTADLLSAAGHQVTALVRDATARTRLSRVIEVVQADLLEPRQLAAAGVSRGFDGVCHLAALTRVRESRETPLRYFAANVTGTTNLLAARATGVAPRFVFGSSCAVYGDTGTSPIPETRAPAPTNPYGASKLAAEQAVAYQAATGRLGAVVLRSFNVAGA----VGSHADRDSSRIIPAALGVATGRRDAFRVNGDGASI------REYVHVVDMARAYLTALRATV-PGRCTVYNVGSGLGVSVTDVLRTVESVTGRDVPRVTLPPVP-EPRALIADSRRIRADLGWTPSSTIEKIVTDAWR-------------- 303239970 ---KVLLVTGGAGFIGSNFINYFLRRNYVIVNYDNLTYSGNERSPRYHFVKGSICNQDLVNYIIKRHRPDYIINFASETCPKKSLINPAPFFETNVMGTLTLLDSARYFWGNRFIQVSTDEVYGNAKDTYFTEDSAVMPENPYSASKASADLLVKSFSNNYGFPAVISRCCNNYGPN----------QHIDKFVPSCIKNSILNNPVRLNEDANNIR-----REWIHVLDHCTALIRILFYGRD-GETYNISSGIAAS-DVELAKKILKTLGKSEDQFETTGTSERQELQYAVNSYKIRNNLNWGHKYNLDDGILETVRWYKENRDWWDK--- 142659119 --------------------------------------ARFSDNPNYTHIRADISDKDKIDECFESYRPTKVINLAAQAGVRYSMENPHAYIQSNIVGFTNILEGCRHHDIKHLVYASSSSVYGANTKMPFSVHHNIHPLSLYAASKKSNELMAHTYSNLYDLPTTGLRFFTVYGP----------WGRPDMALFKFTKSILEGDPIDVFNFGNHRRDFTYIDDIVEGVVRVRWSGEDPDPGSSSAPWRVYNIGNNSPVELMDYIKSIEKALGTKAICNFLPLQPGDVPDTYADVDDLVKEFDYKPSTSIEEGITNFVDWYKGFYK------- 298675167 ----KILITGGAGFIGSHILDLLMEYDNEILIFDNLSSGNENFIKHHKFIDGNLLNFKEIDS--ACEETDFVFHIAANPDVKLGSENTKVHFDQNIKATYNLLESMRKNNVKKIAFTSTSTIYGEADIIPTPENGPLVPISLYGASKLSCEGLISSFCHTFDMQSWIFRFANIVGNRSNHGVIFDFIKKLKINP----------------NQLEILGDGQQRKSYLHVHDCVNAILYSINKSNNTVN--IYNIGSEDTINVTEIAEIVVDEMGVEFNYTGGSRWKGDVPKMMLSIDKLKN-LGWEPTYNSEKSIRDTVK-------------- 37678533 MPNKVALITGVTGQDGSYLAEFLLEKGYEVHGVDHIYQDRHDDNPKFFLHYGDLTDTSNLTRILKEVQPDEVYNLGAQSHVAVSFEAPEYTADVDAIGTLRLLEAIRFEKKTKFYQASTSELYGEVQEIPQKETTPFYPRSPYAVAKMYAYWITVNYRESYGMYACNGILFNHESPRRG------------ETFVTRKITRGLANISQGLEKCLYLGNMDALRDWGHAKDYVRMQWMMLQQVT----PEDFVIATGRQISVREFVSLSAKELGIDVIVRVDPRRPAEVETLLGDPTKAKEKLGWEPEITVEEMCAEMVQ-------------- 135587361 ---KKILLTGGAGYIGSAVTNDLIKKGHRVTVLDNLSTGFRKLIPKASFYKTDISNITNLRKIFNEKNFDIVMHFAAFIKVDESVKKPSKYYRNNYDKTKTFLNFCIDRGISKIIFSSTAAVYG-NKNKKVSEKDRLSPKSPYAKSKLNCEKYIINQSKKGKCSYVILRYFNVAGSPQNLETGLITKKATHLIKKLCEFILGKKKSFYIYGSDYPKKDGTAIRDFIH---------------------------------------------------------------------------------------------------------- 144177701 ----RLLVTGGAGFIGSAVCRRFVKEGHHVCNIDKLTYASIEDAPNYRFEQIDICDAAALRAVVADFQPDAIVHLAAESHVDRSIETPLDFVNTNVVGTANYCKTHAKPEHFRFHHISTDEVYGDLEETRFTETTAYAPSSPYSASKASSDFMVAAWFRTYGLPVVISNCSNNYGP--------YQHPEKLIPNTILSALAGREIP--------VYGTGRNVRDWLH---------------------------------------------------------------------------------------------------------- 135798246 ----RIFVTGGAGFIGTHLCKKLTSI-HQVTVYDNFSNSNKENLKNLTLIVGDILDTSKLIDSMKNH--DVVIHLAAKTDVVDSINNPDSTFETNVQGTQNILNSCIFHNLRKIIVA-SSAAIYQNSDTPIDEASNTMPLSPYGKSKLDMEKITID----SKIDYSILRLFNVYG-----------NGKSKNVITIFKKNILENKPLTIFGDGEAI------TDFIHVDDVVDAIIFSIE-----SKSGIYNIASGIGTSIINLAKLLINLSGKNSKIVYQSARSNEITYSVANITKSQNELKFQPKILLDDGLK------------------ 149179058 ----HCLVTGGAGFIGSHLCEQLIQQGQEVTAVDDLSTGFLQNLDGIIDHTGSITDPVLMAEMVQGV--DTIYHLAAAVGVKLVADNPVRTIETNIYPTEVLLR-HAVQGGHKFFLASTSEVYGKNPKERWTEEDDLHPRWAYGASKAIDEFLALAYSQKYGLDVRIGRFFNVVGPRQVGQY-------GMVIPRFIDQALDG-------GPVVVFDDGSQVRCFGHVNEIVDCVIDL--TNLDAAKGQVYNIGSDEPVSIRGLAEAIIAKVNPDVKIEYLPYNKADVQRRVPDLGRLEQTLGRKPQVKLDAILDDIIA-------------- 136525964 -----------------------------VIIIDNLDNSYSNKPKKSIFYKSDFSNKKILKKIFTKYSIDTVYHFAGSISVDESCKKPKKYFNNNYLKSKVFIDFCIKNKIKYFIFSSTAAVYKNNSKKN-KETSKTNPKNPYGKSKLYTEKFILQ--KKTKTKFCILRYFNVAGASLDLKNGQNSKKKPNHLISKIVNSINYKKSFSIFGSTYKTKDGTAIRDFIHLEDISNIHIKILGYLKKKANKSIFNCGYGKGFSVLDVVKCAQKLSN--FNYNFKNKRNGDLPCVIACNSKIKKILHWRPKHSLIKIIRSAILWEKK---------- 143625142 ----KIFVTGCAGLLGANYTRHLIKHGHHVVGIDNLSGGHKAFITKGEFVKLNLEKRKKVETLFEEHRPQVLLHFAAYAAEGLSPFIRNYNYRNNLLCSANLIN-PCIKYKTKMIFTSSMAVYGD-QDTPFTEDKQPQPIDPYGMAKYAVECDLKMAHKQFGLKYNIVRPHNVLG------IYQNIWDRYRNVIGIFIRKTLNGQPILVYGDGEQTRAFSDIR----------YYMDPFDILLNEFDGETFNIGADKFFTLNQVAETVQSIYGYDVPIEHGPPR-HEVKHAYCDHTKAKNLLKFKDHTKLEELIEDMFVWAMKQPN------- 161527634 ----KVLLTGANGFIGSHLADYLYNNYDIFLAVREFSNSNINHLKDKVNSKLDITNFKEIQNLLNEIKPDVVIHLAGETSHSKSFEDPIHDVEVNSKSTLYFLESIRKQLKCTFILGSTFIVIGKPISLPVNEKSVCIPTTIYATNRLSSEHFCKIYHQVYDMDCRIFRITNSFGPREKTISSKNAVNFLIYQAYS-------GKEITVFND------GEFFRDLIYVKDVVSGIETIM---KNGKNGELYWISSHQKTWFKEFGKILHELTSSPLNFIPPPEKKVDVGNFLADNSKLKS-LGWEPKYSLRDGIIETLDYFKN---------- 305663844 ----KVIVTGGAGFIGSHIVDYIVNHSAKVVVIDNLSSGSLNNIIGQEYFEFINADLKKFDELWSFREADLVIHMAANPEVRLSVVNPEIHFNENILATFNVLEASRIYDVKIGVFASSSTVYGDAKIIPTPEDHPLEPISVYGGAKLCAEVLYITYSKLYGLKSLILRYANIIGPRSNHGVIIDFINKLKKDPT----------------KLEILGDGTQRKSYLHVYDAVDATMFLTTKLYGAKDYEIFNVGNEDWITVKEIADIVVEEMNLKNYIYKLTTRPGDVKLMLLDIRKLKS-LGWTPSMTSAQAVRKTVR-------------- 140644400 ----KILVTGGAGFIGSAVIRHIISNTDDVVNVDKLTYGNLESLLRYTFEKVDICDRHTLDGVLQRHQPDVIMHLAAESHVDRSIDGPAAFMETNIIGTYTLLEAARQYWAFRFHHISTDEVYGDGPEDLFTETTPYAPSSPYSASKASSDHLVRAWLRTYGFPTLITNCSNNYGP--------YHFPEKLIPLMILNALEGKPLP--------VYGQGTQVRDWLYVEDHARALYKVA---TEGKVGETYNIGGHNE--------------------------------------------------------------------------- 307221893 MTKKRIYVAGHRGMVGSAICRQLSLRDDIELVV-------------KTHKELDLTVQKDVDAFFEQEKIDQVYLAAAVGGIYANNTFPAEFIYQNLMIESNIIHSAHKAGIQKLLFLGSSCIYPKFAKQPMNETGILEPTNPYAIAKIAGIKLCESYNRQYGRDYRSVMPTNLYGIN-----DNFHPENSHVIPALMRRFHEAKESGAPEVIVWGTG--TPMREFLYVDDMAAASVHVMEQQNTQPMLSHINVGTGVDCSIREMAETMASVVGYQGKIVFDVTKPDGTPRKLMDVTRLKN-LGWQYRYNLHEGLSLTYKWFIENINSF----- 186477219 -KNARIFVAGHRGMVGSALVRKLDASGYRNLV-------------TRTHAELDLTDQAAVNRFFECEQIDVVLLAAAVGGILANATQPGEFLYENLVIETNVIHAAYRANVDRLVFFGSSCIYPKLCPQPILETSELEPTNDAYAAKIAGLKLCDAYNREYGTRYVALMPTNLYGPNDNYDLKNSHVLPALIRKAHEARLHGDR-------SLIVWGSGTPRREFLHVDDLAAATLFVLEHDVNTG---VFNVGVGEDLSIRELAQTVCDVVGFEGDLQFDASKPDGTPRKLLDVSRL-AAMGWRASIGLAEGIGNTYREFARYDEKYGDKH- 239994416 ------------------------------------------------------------------------------------------------------------HNVKNIVFSSSATVYGDPASLPLREDMATHPTNPYGMSKLMVEHMLSDYVSDNEWNIVLLRYFNPVGAHESGQIGEDPNGPNNLMPYISQVATGKLEQLSVFGDDYDTVDGTGVRDYIHVVDLANGHLKALDRLNLNMGLDKYNLGTGQGYSVIEMIKAFEKGSGKTVPYKIAPRRSGDVAACYADPTKAATELSWHAEKGLEDMCADTWNWQSQNPMGYPKD-- 40063664 ---KKALITGVTGQDGSYLAELLLDKGYEVHGIKRFNTQRIDHIYEDPHIDGDLTDTSNLTRVISEVQPDEIYNLGAQSHVAVSFDSPEYTANVDALGTLRILEAIRFQEKTRFYQASTSELYGLVQETPQTETTPFYPRSPYAVAKLYSYWMTINYRESYGMFACNGILFNHESPRRG------------ETFVTRKVTRGLANISQKLETCLYMGNIDSLRDWGHAKDYVRMQWMMLQ----QDEPEDYVIATGKQYSVREFIRWTAEELGIDVVMRIDPRRPAEVETLLGDPSKAKKQLGWEPQITAREMCAEMV--------------- 140058288 ----RLLVTGGAGFIGSAVIRHLIESDHQVCNVDALTYGNLESLPRYTFHQVDICDAAAFGSVVAAFQPTHVMHLAAESHVDRSIDGPAAFIETNVVGTYTVLEAVRSYWAFRFHHISTDEVYGDLDDALFTEETAYAPSSPYSASKASSDHLVRAWHRTYGLPVIVTNCSNNYGP--------YHFPEKLIPLAILNALKGAPIP--------VYGRGDQIRDWLYVEDHARALVRVV---CEGSIGETYNIGGHNEKTNLEVVETLCDV-------------------------------------------------------------- 137383425 ----KVLVTGGMGYIGSHSCIALYEAGITPVIFDNLVNSSVKVLEQIEFIEGDVSDAVALEQALRQTNASAVLHFAALKAVGESTEQPLRYYQNNVAATLTLLEAMQKVGVNKIIFSSSATVYGDPQYLPFDEQHPLRATSPYGWSKIMAEQVVNDLCCASDFLAVSLRYFNPVGAHPSGLLGESPQGPNNLLPFIAQTAVGRRPFVKVYGSDYPTPDGSGVRDYLNVMDLAKGH-------------------------------------------------------------------------------------------------- 134751436 ----------GAGFIGSHVVKRFLKDTYDVFNLDKLTYAGNLNNLNYTFVHGDITDAKFIQNLFEQHKFKHVIHLAAESHVDRSISDPFVFAKTNILGTLNLLHSFKATHGGLFYHVSTDEVYGLGETGLFTESSPYQPNSPYAASKASSDHFVRVYAETYKLPVLISNCSNNYGPN--------QFPEKFIPVCIEAIVNNKNIP--------IYGDGNYTRDWLYVEDHAHAIKTILEH---GKIGETYNIGGLNEWKNIDLVKVLIRETDKAPLITFVKDRPGHDKRYAIDNAKIMREL------------------------------- 143115296 MNKKYILVTGGLGYIGSNTIAILKKNKFDIIILDNLSNSNKTSLKKIIFIKDDVRSK-KINNIFQKYKIYSVIHFAGLKSVKESEKRKKSYYDNNINGTKNLLNCMVRNGCYKIIFSSSACVYNEKSKSPLNENSSLKPKSYYGVTKLKIEKILKGYKKKYKFSCVILRYFNPVGADKTNTLKDNPKKAENIIPNISNVIKGEKRIFNIFGNDYPTKDGTCMRDYIHIIDLASSHAKSLSYN------------------------------------------------------------------------------------------- 138928042 ----TILVTGGCGYIGSHTCVSLLENNYNVLIVDSLINSSEDINNNIQLIKGDLRNKLFLDNIFHDYPIKSVIHFAGLKSIYDSIISPIEYWESNITPSISLLMTMKKYKCNRLIFSSSASVYAANGMKLLKETDIVKPVTPYGKTKLCIEEILNDYFSDTGWKIASLRYFNPIGSHDLGLLPENSRGKSTNFPAILKTIIGNQKRLHIFGNDWPTYDGTCIRDFIHVMDLAEAHIAALKFLIDN---------------------------------------------------------------------------------------- 255038877 --SKTVLVTGGAGYIGSELVRIMLQDDYRVVVLDNLSFGGESLLSFWRFIKGDLLNDEDVKKALEGVH--YVCHLAAIVGEPPCKKFPDLALQVNWHASVRLYELCEEAGIERFVFASTCSNYGKMADDLLDESADLNPISLYSETKVNFEKYLLSHESSTITRTIL---------RFSTVYGISPRPRFDLTVNEFTRDAALGKPLLIYGE-------NFWRPYCHVSDLARSVKMALEADKDKIDGEAFNVGDTAQNYTKKMLAAEIGREIPSLEVNYHPV-VTDPRDYKVNCDKIKRVLGFEISKTVPNGIQE----------------- 190349164 -----VLVTGGAGFIGSHTLDYLVQKDCKFTCVDKLSYAYSENNLRKTFIKLDLAEHQRLDELINHNNFTTIINFAAESCVDKSFNDPLYFTKNNVLATQNLLECCRTRRNFKFIHISTDEVYGEKEGEIIDEDGPLHPTNPYAASKAACDLIIEAYKHSYKIPITLIRSNNVYGPRQFP--EKIIPVCLKALQKASPTGIAEKERIPIHGNGRHTRRYLHVLDFAKAVDHIWNWLKNTSESSSDFLGETFNVGTDDEVDNLSMVKLICTILDASSFIRHTKDRNYNDFRYSIDFTKIKKV-GWKQEISLEQGIEELVKAEIENEK------- 227555560 ------------------------------------------------FYEGDIRDKAFLRSVFEKESIEGVLHFAANSLVGESVEKPLMYFNNNVHGTQIALEVMQEFGVKHIVFSSTAATYGEPKAMPITEETPTNPKNPYGESKLMMEKIMKWCDNAYEMKYVALRYFNVAGAKKDASIGEDHTPETHIVPIILQVALGQRAELSIFGDDYDTPDGTCIRDYVYIEDLIAAHILALEYLKNGGESDVFNLGSNNGYSVKEMLDAAREVTGKKF--------------------------------------------------------- 55378346 -----VFVTGADGFVGSHLTEQLVEFGADVHVFVRATSSGELQNIRHTIHRGDLRDKHSVEQAMKHYSDTIVFHLAAQAHVGESWDRPYETIDTNVVGTLNLLQTDLDLDIAKFDTAGTSEEYGNVDGQMLSERSPVNPTSVYATSKLAADFLTMNYHDAYGLPGVTTRMFNNYGPRQNPRY-----------------ITGTIVTQALERGIVELGNLTPRRDMCYVSDGVRGHMHVA---LEGSPGEEYVYGYGENISMRDWTELLLEVGSEEGYWEDDRYRPGDVEELLVGYEKLHEETGWEPEVSWREGARHTIEWYAAN--------- 136501904 -ADRTVVVTGGNGFLGSKVVAQLRSVGANVVA--------------PRQDRSRLTQVGVAEALFEQHKPSHVIHLAAVGGIGYNQVAPAQLYLDNLMMGTHTIEAARKVGVEKTVLLGTVCSYPKFTPVPFREESPEETNAPYGIAKKAMLIHAQVNEAQYGQQFAFVIPTNLYGPG-----DKFHESVSHVIPALIKKCVEAKELGTDKISVWGTGVAS--RDYLYAEDAAEAIVLAAELRTT---AEPLNLGNNREVTIRETAETIARIVGFDGELVWDSSRPDGQPRRRVDASRAERELGWHAHTEFEDGLRRTIDWYLAN-RAIAEQE- 255580837 ---KNILITGAAGFIASHVCNRLIRNDYKIVVLDKLDYCSNLKNLLPKFVKGDIGSADLVNFLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTQIRRFIHVSTDEVYGETDEDAVHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLP--------VITTRGNNVYGPNQFPEKLIPKFILLAMQGKPLP-------------------IHGDDFLN---------------------------------------GSEASIKFVENRPFNDQRYFLDDQKLKNI-GWSEHTTWEEGLKKTMEWYVQNPDWWGD--- 86739570 ----RVLVTGAAGFIGGVVTDMLATAGHQVTAMVREPMTTPRFAPDVEVVAADLLDPRQLAAAGVSRGFEGVCHLAALTRVRESRLDPVRYFQTNLTGTINLLAAEHTGVAPAFVFGSTCAVYGNVDLARIPETCPPDPANPYGTSKFAAERLLSHQAGTGLLGAVILRSFNVAGAVAGHIDRDG----SRIIPAAIAVASGCRDVFRVNGDGLAL------REYVHVVDMATAYLTALVA-ARPGHCAVFNVGSGVGVSVTDVLAAVGRVAGRPVRRVHCP-PVSEPRTLIGDSTRIRADLGWSPASSIDRIVADAWRW------------- 143782919 MNQKNYLVTGSAGFIGAALVRRLLESGETVVGIDNLNSYYSKQLKFWKFEEGNLEDLKFLKNIFIKYQPEIIVNLAAQAGVRYSLINPESYISSNLVGFSNLLECCRQFKVYNFIYASSSSVYGGKKELPFKENQKVDPVSLYAATKISNELMAHSYSHLFGIPSTGLRFFTVYGP----------WGRPDMAPMIFTDAIYNRKPLRIFN------YGKMKRDFTFIDDIVEGIFRCCYKPANKNDN------------------------------------------------------------------------------------- 300897474 ---KKALITGITGQDGSYLAEFLLKKGYEVHGIKRFNTDRIDHIYQDPHHRGDLTDTSNLTRILSEVQPDEVYNLGAMSHVAVSFESPEYTADVDAIGTLRLLEAIRFEKKTRFYQASTSELYGLVQEVPQKETTPFYPRSPYAVAKLYAYWITVNYRESYGMYACNGILFNHESPRRGETFV------TRKITRAIANISQGIEKCLYLGNMDSLRDWGHAKDYVRMQWMMLQQDQPEDFVIATGKQISVREFEFSGTGVDEIAFVVNKTSDCAVGVNIDPRRPAEVETLLGDPTKAKNVLGWEPEITVEEMCAEMVA-------------- 139492318 -------------------------------------------------------------------KIDSIIHLAALIDVQDGERNKLKFYKNNVLATKNLIKACNNTYVKNIIYSSSAGVYGNSKS-PVTENSKKRPINYYSKTKLMSEKILIKLSKKYKINYCILRYFNVCGASPSKKIGIFDKNNKSLFKVLANQILKKRPKINIFGDKFKTNDGTCIRDFIHVSDLSEVHFKSLQYINKIKKSLILNCGYGKGYTVLEVVKNFQKFSKNSIKINFKKERKNEIVISYANISKLKKYLKWKPKFNLSKMIKTSIDWEKK---------- 150391180 ----NVLVTGGAGFIGSQLIRKILPLSQHIYVIDDLSTGRREAIPISPFIEDSITNKKVLKNIIP--KVEYVFHLAC-SNILKSVDDLDLDFHTNLLGGFLLLQSAKIYNLKRFVYASTTSIYGDAANIPTTEDYYKISL-PYAASKFSTEHYCSVYYHMYQLPVTVLRLSNVYGPGQTS------SNPYCGVVAKFFEAVEKNEPLVIYGDGHQT------RDFTFIEDALD--AFLLVTINEEAIGQVYNVGTGMETPIIKLAKEIKTVTGSTQNLKFKGKRPVDVARRNIDSLKIQMELQWRINHSLSEGLEKTFKW------------- 136472679 LKKSKIIVTGGTGFIGSKLVEKLLDLGSDVVVADIAIDPHSLFIINKHHQKVDIRNREEIFNLFNKYKPDFVFHLAAQTLVTVAYNNPIETLESNINGTINILEAARSSDFVKTIVVASSDKAYGKSDKTYNESFPLRGDHPYDVSKSSADLICNMYYKTYNTPVVVSRFGNVYG---EGDLHFDRIVPGICMSIIRNQELQIRSNGK------------YVRDYLYVDDVVDGY-IKLVLEGSKVHGQAFNFSSGDKLSVLELVEKAAKIIGKKIDYKILNNAHNEIPYQHLNDSKVRKI-GWKNNYNFEMVFKKILDWYSK---------- 297526885 ----RVLVTGGAGYIGSVLVRLLLERGYDVVILDRLFFGRDSIRDIEDRVKI-VKDDIRWFDPWILEGVDAVFDLAALSNDPSGELDPEKTLEINYRGRVRVANLSKKHGVSKYVLASSCSVYGF-QPGILNENSSVNPLTTYAKANYMAEREVIPLGDR-KFTVTVLRQATVYG--------------YSYRMRFDLAVNGMVRSLYKYGVIKVMRDGTQWRPFVHVKDTSNAFIKVLESDEELVNREVFNVGSNQNIQIFELARKIAEACGQEFRYEWYGSP--DKRSYRVDFSKIRDRLGYRTRFKIEDGARETVKWYKK---------- 218682420 LAGKRVYVAGHRGMVGSAIVRRLASEGCE--------------ILTATRAEVDLRRQEQVEAWMSKNRPDAVFLAAAVGGILANATYPADFLYDNLILQANVIHAAHRAGVEKLMFLGSSCIYPKFADQPIVEDASLEPTNEWYAAKIAGLKLCQAYRKQHGRDFISVMPTNLYGPEDNFDLGSSHVMPALIRKAHEAKINRQQ-------EICIWGTGTPRREFLHVDDCADACLHLVKTYSAES---HVNVGSGEDITILELTRLVSEVVGFEGQITHDLAKPDGTPRKLLSVDKLGA-LGWSPKIGLREGIADAYRSFLD---------- 135081815 ---------------------------------------------------------------------------------GESVEKPIEYYDNNIVGTVSLLKAMLAADVKTLVFSSSATVYGDPQYLPLDEAHPTSATNPYGRSKLHIEEMLADVAKDHIWRIACLCYCNPVGAHDSGLIGEDPNNPNNLMPYIAQVASGKLPKLNVFGNDYDIPDGTGVSDYIHVMDLAEGHSAALRFLEKHAGLHAINLCTGTGYSVLEMVKAFKSTSQKAVPFAIAPRRAGDIASCYAKADKAKELLGWQAKRTLQDMCESTWKFQR----------- 261409114 ----RIYVAGHRGLVGSAIVRALELQGYRNLV-------------TRTSQELDLRERDRVERFFSSEGIEYVFLAAAVGGIIANRDYPADFIRDNLLIQNNIIDLSYRYGVKKLLFLGSTCIYPKLAPQPMKEEGALEPTNPYAVAKIAGITMCQSYNRQYGTRFISAMPTNLYGPNDNYDLETSHVLPALLRKIHEAKETGQR-------EVEIWGTGQPKREFLYADDLADACLFLMKHYE---ENTIVNIGCGEDVSIRELAESIASTVGYDGSFVYNASKPDGTPRKLVDVSKL-TALGWKPSISLEQGLARTYEHYLESQQIYSK--- 223985639 -----ILVTGGTGFIGSHTTVELINAGYDVVIIDNLVNSCKGVIKRPRFYENDLLDKAAVEKVFEENEIEAVIHFAGLKAVGESVTIPLTYYHNNLTGTLILCEVMKAHNCKKIVFSSSATVYGDPHKVPITEDFPLSTTNPYGSTKLMIERILSDFVSDTEWSIMLLRYFNPIGAHKSGLLGETPNG------------------------------------------------------------------------------------------------------------------------------------------------- 141064147 ----KILVTGGAGFIGSCVVRQLLDSQLQIVNVDKLTYAEAESNPNYRFCRVDICDPSALDSVFAEHAPDAVMHLAAESHVDRSIDGPEAFMHTNIMGTYQLLEASRRYLAFRFHHISTDEVYGDGPEDYFREDTPYAPSSPYSASKASSDHLVRAWHRTYGLPVLLTNCSNNYGP--------YHFPEKLIPHMILNALAGKPLP--------VYGDGSQVRDWLYVEDHAR---------------------------------------------------------------------------------------------------- 53804757 MESPRIFVAGHRGMVGSAIVRLLQRRGHTNI-------------LTRTRSELDLTDQAAVRAFFQAEKPDQVVAAAKVGGIHANNTYPAEFIYQNLMIEANLIHEAWRAGVQRLLFLGSSCIYPKFAPQPMGEDAMLEPTNPYAIAKIAGIKLCESYNRQYGTDYRSAMPTNLYGPG-----DNYHPENSHVIPALLRRFHEAKASRAPNVVIWGSGR--PRREFLHVDDLAEACLHIMDLDKAAYDGCHLNVGTGEDITIRELAELIGEITGFAGEIVFDTAKPDGTPRKLLNVQRL-ADCGWRARIPLREGLERTYQAFLE---------- 89094877 ----KVLITGGTGFIGLHLSRKIAEEGHELYIVDNFYSGDAELKEVIERERGDITDPGLFVEL--DNDFDQVYHLAAINGTGNFYEIPDQVLRVGVLGTLNLLEWLKTNPQAKIVFSSSSEAYPTPENVPLVIDDVANPRWSYAAGKMVSEVALNCYAQAHGLNFSIIRYHNVYGPRMGF---------KHVVPQMIERIVKKEFPFKVFGADE-------SRAFCYVDDAVKATQLVMESAKTCGE--IYHIGKQEEISIEALAKKLLLITGSPTDTLAEASFQGSVKRRCPDVTKL-EELGYEASVSLDEGLRACYDWYKN---------- 126741041 ---KRALITGITGQDGSYLAEFLLEKGYEVHGIKRFNTERVDHIYQDPHVDGDLTDSSNLTRILKDVQPDEVYNLGAQSHVAVSFESPEYTADVDAMGTLRLLEAIRFENQTRFYQASTSELYGLVQETPQRETTPFYPRSPYAVAKMYAYWITVNYRESYGIYACNGILFNHESPRRGETFV------TRKITRGLANIAQGLEPCLYMGNIDALRDWGHAKDYVRMQWMMLQQDSPEDFVIATGKQYSVRQFIGEGVDEIATVAAIEKVGDVVLRIDPRYFRPAEVETLLGDPSKAKERLGWEPEITTQEMCAEMVA-------------- 142905913 ---KKIIVTGSCGFVGFNLINN-IDKNTEVIGLDSLNDAYDEKKPNFKFHQIDLANMDTLNKNSHIFECEAVVHLGARAGVRQSFDDPEKYLMDNTLGTTNLSLKVKEYDVPQILIASTSSIYGDTGKQLAVEDELFNPPSVYASTKSFGETLAKNILDDTSTVIKISRFFTVYGP--------FGRPDMSILRFIHWIASGQ--------DVIIYGDGNQRRSFTYISDIVDGIQKLLKYKKE----GTFNFGSNQTWSLNEVIELIEKHIEKKGKIINKERAYKDVDVVLPSLELSKEILNWQPTTNIEDGIKKTVEWYKEYESSIEK--- 288963189 LDGKRVWVAGHRGMAGSAVVRRLAHEGCEVLTADRAT--------------LDLRRQEAVEQWVARERPDLVFVAAAVGGILANSTRPAEFLYDNLVIETNIIQAAYRTGVKKLVFLGSSCIYPRLAAQPMVEEEPLEPTNQWYAAKIAGIKLCQAYRRQYGCDFIAAMPTNLYGIGDNFDLQSGHVAAAMLAKIHRAKIEGRD-------NVEIWGTGTPKREFLFADDLADALVYLAKHYSDEP---HINVGTGQEISIRELAELVAAVVGYRGGFFHDTSKPDGSPRKLLDVSRL-TALGWSAPTSLHDGFAATYRWYLEH--------- 28394152 -ANRSVVVTGALGFIGSHFVEELAARGADVLGLDELSAHDRVRLLP-----VDLLDERRLRQVFEHEASGAIVHCAALDGNAAYKRHSAEILDANLRVASNLLNCVRDFGVEDVTVLSSAEAYCGPTASPAREDDVRSGENGYVLSKLITEILAEQYRRQYGFGVHLVRPANVYGPRDS-----FDGPASRVIPAMIARAESG-------GEIEIWGDGQQTRSFVYVTDLVRAALALVET----GKFHSLNVTTDETVSMLDLARVVFSVTGRTARIHHKPAQPVGAPGAVLDTTRMREVVDYTPRT-LREGLEETVRWYR----------- 260461382 ---KRVLVTGGCGFIGRHVAQELVEHGYEVRILDVLLDQHGGETVSIPAEKGDVRDRGAVSEAVS--HIDAVIHLAAEVGVGQSMYEITRYVGTNDLGTATLLEALIKKPLERIVVASSMSIYGEGVPVPTDEEKRPDLASIYALTKYAQERAVLIFGQAYDLDAVALRLFNVFGAGQ-----ALANPYTGVLANFASRLANGKRPM-------IFEDGNQKRDFVHVRDVARAFRLALEQRPAAGHAINI--GSGRSYTISEVARLLAEAMGVPKRPILGKARSGDIRNCFADIAKARELLGFEPSHLLENSLGEFAAW------------- 121533317 -KDAKIYIAGHRGLAGSAIVRQLRSQGYVNII-------------TRTSAELDLRCQAAVDAFFRAFRPEYVFLAAAVGGIWANNRYPAEFIYDNLAIATNVIHAAHCYGVKKLLFLGSSCIYPKYASQPLKEEGELEPTNEWYAAKIAGIKLCQAYRRQYDANFIAVMPANLYGINDNFDLETAHVLPALLRKFHEAKAAG-------MSAVTVWGSGKARREFLYVDDLAEACCFLMQNYDGE---EIINVGTGTDITIRELAELIREIVGFNGDIIYDRTKPDGTFQKLLDVTKINR-LGWQAKIGLREGIEKTYRWFKD---------- 15789923 LTGKRIVVTGGAGLVGSHAAGALAGDN-DVLVADDLSKGSREAVPDGEFRRRDMCDPDDVADVITP-DVDIVFHFAAYT--DTNYEQPRQLFEENGAMTYNVLERMAAVGVDRFAFTSSSTVYGEAPMPTPEDYAPLEPISVYGASKLADEGLISTYAHAHGITSWVYRFANIVGPRQRGTVVPDFIQKLRADPE----------------TLTILGDGRQEKSYLHVEDCVDAMTHVIEHAPSRPLNV-FNLGTRTTTSVTAIADLVSDELGVDPAYEYGGDRTGDVPRMRLSIEKL-AALGWEPTRASDEAVRT----------------- 75676569 LAGKSVFVAGHRGMVGSALVRRLAQEHVTLLTVDR--------------REVDLRDQAAVNQWFAGHHPQVVFLAAAVGGIIANDTLRGEFIYDNLIIAANVIHAAHVHGVQKLLFLGSSCIYPKLAEQPLREDSPLEPTNPYAIAKIAGVKMVEAYRRQYDSDFINVMPTNLYGPGDNYHPEYSHVVAGLIRRFHEARVAGA-------SEVTVWGSGKPRREFLCVDDLADACIHLLKTYS---DSELVNIGTGEDITIADFAHLVASTVGYGGRINFDTSRPDGTPRKLLDVSRLAR-LGWRAKVPLPEGIKLAYEAFLR---------- 157384624 -AGRTVMVTGALGFIGSHFAERLATEGATVIGLHRTDRPAIREELTARLARVDLGDEHETRAAFRYLAPDAVLHCAALDGNARYKREHAEILDANVRTTAHLLNCAREFEVDNVLLLSSSEVYCVPRSAPASEDEDVRTENGYVLSKIFGEILADHHQRQFATRVFRIRPGNVYGPRDSA------AGAHNRVIPSMVARAASGEEIEIWGD------GSQTRSFIHVSDLVRCALRVVET----GKYDVVNVGSAEEVSILELARLVAAALGVPSRVRTDPGRPVGAAVRRMDLTRMREVIDSEPR-SLREGLRDTVRWYRDHPR------- 135933973 -----------------------------------------------PFTKCDIDDQKTITSLIQNNKFDLLMHFAGYIQVEESVKKPNKYFENNTNKAVKLFETCKENGLVNIVFSSTAAVYGSKENDMIPENQTLNPNNPYAESKLKTERYLRD--NSSIFNFIILRYFNVAGADPQLRSGQISKQSTHLIKILSEIVVGKRNKLEIFGDDYDTPDGTAIRDYIHVSDLADIHVEIGKYLLKTLNSNTFNCGYGKGFSVLEVLET-AKQLGEKINFIFANRRDGDVEKLVSDISKLKKTINWTPNLSLEKIISSSIEWEKK---------- 260436653 -----VVVTGASGFIGSHLVDSLLDAGYNVRAVARLISTSALSNPRLSLCSIDIKNRLDLESVISGC--ELVVHLASGSLPLSSNHNPSDDIAVNLLGTINLLEASRFSNVKRVVVSSGGTVYGLPKSLPIKEDHPTDPICSYGITKLAIEKYVYLYRALYGLDGLVLRVANPYGPRQRLDSSQGVIPVFLGRVMRNEN-------------VEIWGSGEVVRDFIYISDLISAITLACSYT---GSEHLFNIGSGQGLSLQDLLHKLELLFNRPINVIYKAGRGFDVPVNVLSIDRAISKLSWRPDVLIDDGLKLFYQ-------------- 259414846 LKGKRIFVAGHRGMVGSAVVRRLATEDCEVI--------------TAAREELDLVDQAAVNAWMQEQRPDAVMAAAKVGGIKANNDFPVDFLYKNLMIETNIAQAAHEADVERFLFLGSSCIYPKFAPQPIPEDSALEPTNEWYAAKIAGIKLMQAYRQQYGRDWISAMPTNLYGPGDNYDLNSSHVLPALLRKFHEAKAAGAT-------SVELWGSGTPLREFMHCDDLADALVFLLKEYS---GHSHVNVGSGTEVSIRALAETIARVVGYEAELVFDASKPDGTPRKLMDSTTLHR-LGWNQARPLEDGIRQTYA-------------- 299136175 ---KRALVTGGAGLIGSHIVDLLLSEGWTVRILDNLKNGEPEWVPAAEFRQGNVQDYETMHSALM--DIDVVFHEAAYGG---YMPEMAKYVLVNSFGTAQMLEIIRDHHLPIGDFSVHCPICGKPTSIPTPEATPGGGETVYALTKVDQERLVLLWGKQTGIPTVALRYSCTYGPRQS-----LFNPYTGVIAIFCTRLLNGQPP-------IMYEDGGQTRDLCFVEDIARANL--LAATTDKLDGLPANVGSGRATSVRDLAGIIANQLGVKAPLARGEFRPGEIRSLISDISRIRTI-GYEPRTTIEQGIARYIDW------------- 88812282 -----VLVAGHGGMVGSAIVRRLRALGYNNI----LTAGRDE---------VDLRSQADVQRFFGVHAIDQMYLAAAVGGIHANNIYPAEFIYENLMIEANVVHAAHVTGVQKLLFLGSSCIYPKLTEQPMKETGVLEPTNPYALAKIAGIKLCESYSRQYGRDYRSVMPTNLYGPNDNFHPENSHVIPALLRRFHEAVQVQAE-------EVAIWGSGTPRREFLHVDDMAAVHVMELDEAVYQAHTQHINVGTGTDCTIRELAETVASVTGFNGRLVFDATKLDGTPRKLLDVTRLSS-LGWQASIGLEDGLRDAYRWFVENHDRFRGR-- 136690039 -----------------------------------LPWAGSSRARGCALVQARIQDRRALSQAIDDYSITGVIHLAALKAAGESVDMPLEYYAENVGGMLDLLSVMKEKDVRNLVYSSSAAVYGTPVTNPVAEDAALIPENPYGETKVVGEWLARDAGIAWNLSWVGLRYFNVAGAGAASL----GDNSVNNLIPMVFAALDAGRRPQIFGDDYPTLDGTCIRDYIHVVDLAEAHVAAAARCEGGNAADVFNVGRGVGSSVREVMDVISSVTGADVGAEVAPRRPGDPPATFAATDSIRDEMGWNASRDLEDMVSSSWR-------------- 139769037 ----KILVTGCAGFIGFHLASSLIKRKYSIYGIDNLNDYYDVTLKKHRFKKIDISNQLNTKKIFNDNKFDIVYHLAAQAGVRDSVINPQKYFKDNIQSFFNIIECVRINKVAHFVFASSSSVYGNNKKIPYLESLNINPESFYAASKASNEIMARSYSKIYNIKMTGLRFFTVYGPS--------GRPDMALYKFTTNILKNKKITVYNYGKH--------KRDFIYISDVVAFLQNVVTKKHNRNKFEIYNVGNNKTVKLKNFITIIGNLLNRKPKIKFVKKQ------------------------------------------------- 135548385 MESMKIFVTGCAGLLGSNYARHLIHNGHEVIGIDNLSGGYKAFVPKGEFIKLNLERRKKVAELFQEHKPDVLFHFAAYAAEGLSPFIRNYNYRNNLICSTNLIN-PSIEHNTKVIFTSSMAVYGE-QEPPFTEDKQPRPEDPYGIAKYAVECDLKLAHDQFGLRYNIIRPHNVLG--LYQNIWDRYRNVIGIFIRRTLN----GEPLLVYGDGEQTRAFS----------DIKYYMEPFDLLLTGFDGETFNIGADKYFTLNEVAETVQKIHGYDVGIEHGEPR-HEVKHAYCDHTKAKNILGFKDNTNLEELIDDMFVWAMKQPN------- 218440330 LRDKQILVTGGAGFLGRQVVQQLLIAG-----------ARKDNITIPRSQNCDLRVLENCQK--AVFGQDIVIHLAAHVGIGLNREKPAELFYDNLMMGTQLIQAAYQAGVEKFVCLGTICAYPKFTPVPFKEAEPEETNAPYGIAKKVLLVQLQSYRQQYGFNGIYLLPVNLYGPEDNFDPRSSHVIPALICKVYEAQQKGETK-------IPVWGDGSATREFLYSTDAARAIVMATQFYD---EPEPVNLGTNFEVSIRELVEMICEVMEFKGEIIWETDKPNGQPRRCLDTTLAQEKFGFVAQMEFKQGLKNTVDWYRQQAR------- 78188629 MHSSKIYVAGHRGMVGSAIIRILKEQGYSNIVVRS-------------REELDLTDQAAVRAFFASELPNEVYLAAAVGGIHANNTYPAEFIYQNLMMEANVIDAAFRCGVKKLLFLGSSCIYPRMVPQPMQENALLEPTNPYAIAKIAGIKLCESYNRQYGV-SHGVDYRSVMPTNLYGVGDNYHPDNSHVIPALIRRFHEAKVNNSQAVTIWGTG--TPRREFLYVDDMACVYVMNLDNEVYSKHTEHINVGCGYDVTIHELALLIGKLVGFAGNIVFDSSKPNGTPRKLMDSSRL-NALGWKATVDLEQGLGLAYDDFLR---------- 135172613 -RSMRILVIGAGGFVGRALCQRLFNQGHQVIGL-SRSMRPETTVNRWSQVQATLDDHQVLQRALD--QVDQVFHLAWDTTPGTSASQPSLEVSNNLLPSMRLLEAMQERRGLPLIFMSSGALFRGDGNKALHEDMPLQPRSYYGAGKVAMEAFLQAFAQRNDSPVVLLRASNLYGPGQRA-------KRQFAIVPTLFDCLKRKRPFEIWGDGSAV------RDFLFIEDFLDCCERLLDWSAPAAKTTAFNLGSGTGTSINALCDHVESVSGLKLERDYRPERGVDNGFVTMDTRRVGEQLGWQASTTLDAGLRQTWAWIRDF--------- 228912108 ----KIGVIGGSGFIGKHVTKELLARGYEVVIFDKFKPS-----IDVPFKEIDILDIATLRE--NLIDVDGVIHLAALVGVDNCRLNEEDVVRVNFEGTKNIVQVCTENGIEKLLFSSSSEVYGDGVSVPFKENDIKIPKSAYGKAKLMSEDYLKEYV-SNSLKIRVVRYFNVYGS--------QQNDNFVISKFLKQAHSGKDITIYGDGQ--------QIRCFSYISDIVNGTILAFEY--EGENFADFNIGNNKPISMEELAIKINELMGNKSKIKFLDLGEEGVRRRIPSIEKAKLFLNYQPIISLDKGLE------------------ 140367193 ---KNILITGGAGYIGSHITEKLTKTKKKVIVLDNLITGYKCLVKKTKFIKGDIKNLNLVKKIIKKYKIDTIIHLAAYLNISEGEKNKKKYYDNNVNGTLNLIKGCKKSCVKYFIFSSSCSIYG-NTKGAVSEKIKPNPQSYYAFTKYKSEELIKKNAKKFNYKYAILRYFNVAGASNSNKIGEIQKSYGHLFKNISIQSLKKKPNIKIFGNNYNTKDGTCIRDYIHVSDLADIHIKIIDFLNKKSKSITLNCGYGKG--------------------------------------------------------------------------- 296440433 ----KALITGITGFVGKHLANELHRNNYEVYGADII--AKDENTL-----ECDILDRVSIQNVLEQVKPNVIFHMAGQSSVAKSWSNPNLTFDLNVKGTINLLETIKMFDNEIQVLLIGSSDQYGKQDGIVNEEVPLCPATPYAVSKCAQEELGKLYHSAYGMNIYLTRSFNHIGIGQS------------KGFVIPDVASGIAEIEKHKSDTLKIGNLAVKRDFCDVKDIVRAYRLIVEKGRS---GVVYNVGSGESYCIQELVDKLIAMSKCTIKIERDPMRPSDVPCIQCDHSRLTQEIGWQPEIEIEKTLKDILDYFR----------- 302389088 ---KKALITGITGQDGSYLTEFLLNKGYEVHGIIRFNTDRIDHIYRDPHDQGDLTDGTGLRRILEKVQPDEVYNLAAQSHVKVSFEQPEYTADVVATGTLRLLEALRDYIKVRFYQAGSSEMFG-ASPPPQNEKTPFYPRSPYAVSKVAAYWYAVNYREAYGMFICNGILFNHESPRRG------------ETFVTRKITRAATRIKLGLQDKLYLGNLDAKRDWGYAGDYVEAMWLMLQ----QNEPDDYVIATGESHSVREFLEEAFGLLGLDWYVEIDPRRPTEVEFLLGDSTKARQKLGWKPKVTFKDLVKMMVE-------------- 142613186 MSKKKALITGITGQDGAYLAEFLLNKDYEVHGLRRFNTGRIDHLYSDPYHHGDMTDSSSLIRILQTVKPDEIYNLAAQSHVHVSFEEPEYTANSDAIGALRLLEGMRNLKSTKFYQASTSELYGEVKEIPQNEETPFNPQSPYAISKLYSYWITINYRKSYGLFASNGILFN------------HESPVRGETFVTRKITRALARINLDLQEKLILGNLDAKRDWGHAKDFVKAQWMILQHD----KPDDFVISTGKEHTVREFVEKAAKLLGFEIVIDEKYYRPSEVQTLLGDSSKAQKELGWSPETSFDELVEEMIDYDLK---------- 223942929 --------------------------------------------------------------------------------------------------------------CKKLVFSSSATVYGWPKEVPCTEEFPLCATNPYGRTKLVIEDICRDVHRSDDWKIILLRYFNPVGAHPSGYIGEDPCGPNNLMPYVQQVAVGRLPHLTVYGTDYSTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVFAGRRPGDAEIVYAATAKAEKELKWKAKYGIEEMCRDLWNWASKNPYGYAGSRD 120603435 MSSFRACVLGASGFLGSHLVHHLLEAGCHVHAFSRVPRRNPELMSSCTVFTGDFFNAQDVERAL--EGCDVCFHLVSTTIPKTSNDDPSRDVRENLSGALDLLECVRRTGVRKVVYTSSGGAIYGKHLMPISESHPTDPLCSYGIVKLAVEKYLALYHELYGIDYAALRISNPFGPLQRA-------GAEQGVIGVFLGRILRNEPLHVWGDGSVV------RDYIYVEDVARALVL---AARMKTEHHVFNIGSGAGLSLNEIIGMMRSVTGRDVVVKYDQNRAFDVPYSVLDVSRALDELDWKASIAFDEGLRRTWEW------------- 237808798 ---KKALITGITGQDGSYLAEFLLEKGYEVHGIKRFNTSRIDHIYQDPHHYGDLTDTSNLTRILAEVKPDEVYNLGAMSHVAVSFESPEYTADVDAIGTLRLLEAIRFEKKTRFYQASTSELYGLVQETPQKETTPFYPRSPYAVAKMYAYWITVNYREAYGMYACNGILFNHESPRRGETFV------TRKITRALANIAQGLEPCLYLGNMDSLRDWGHARDYVKMQWLMLQQEQPEDFVIATGVQYSVRQFEGSGVDEKGYVAAVAKVGDLIVAVDPRYFRPSEVETLLGDPTKAKEKLGWVPETTLQTMVEEMVR-------------- 134986445 ---------------------------------------------------------------------------------------------NNTASTTRFIAACVEAGIKRFVFSSTAAVYGNQDRNPINEDASNQPENPYGTSKLMSEKILRDCARATDLSYVILRYFNVAGADPNGRAGQLSQPATHLIKIAVEAAVGKRSSIQVYGTDYPTPDGTCIRDYIHVSDLIGAHMAALAHLQAGGESMFANCGYGHGASVRDVLGVVATVAGKELAITDAPRRAGDAAILVADSTKLRRKLGWTPIHDLQKIVSDALAWEQAN--------- 83859878 --------------------------------------------------KGDIRDRHAVRNAFKLADPDVIFHAAAETHVDRSIDAATDFVTTNVIGTFELLEAARDWRDTYFVHVSTDEVFGDLHDTQFDEASPYAPSSPYSATKASSDHLVRAWARTYGLDVRISNCSNNYGPR--------QFPEKLIPLTILNALEGKPLP--------VYGDGGQVRDWLYVNDHARALRLIAEKGEA---SRTYCVGGNAEQTNLNVVYLLCDILDERIEITFVSDRPGHDRRYAIDASRIKAELGWRPGQTFQAGLKKTVDWYLAHEDW------ 261885925 --------------------------------------------------------------------------------MFESMVKPLKYYLNNTANAANLINLAVEHGVSKFIFSSTAATYGEPSSGVVDETSEQNPINPYGRSKLMTEWILKAALANRDFKFGILRYFNVAGASTDGLIGQNYPNATHLIKVATQTITRKRESMSIFGSDYATKDGTCVRDYIHIEDLANAHLAVLEY-LKTNDSDIFNVGYGRGFSVKEVVQTAKKVGGVDFKVLNAPRREGDPAMLISDASKLRNKTSWKPTRSLELIISSALEWEKK---------- 229585462 ------IVTGGAGYIGGHLVDYLVSKNLEVIVIDDLTYGRYR-NEKAKFIMFDLRQGSIVELTEKLEKKPIIYHLAANPDVRTSMINIEEHFERDVKVTLNVMELARKVDAKKVIFASSSTVYGETKKIPTPESEELKPISNYGLFKLLCENVVKYYAEQYGIKSISARLANITGGRVSHGVVVDFVKKLRNNP----------------NLLEILGNGKQRKSYLYINDLIEAFMMLEEKVNKIYDVFNI--GNTDWISVDEIAKIVIDEMRLSPRIIYKDLWPGDVRVMLLDISKISKEIGWSPKMGSREVIRQAVR-------------- 108803416 MSS-TALITGITGQDGAYLAQLLLEKGYRVVGLDAL--GIAEHVELVP---FDLTDHGNMRRVIEAVAPDEVYNLAAQSFVGVSFEQPVTTGEITGVGVVRLLEAIREVNPIRFYQASTSEMFGKARETPQNETTPFHPRSPYAASKLYAHWTAVNYREAYGMHAS------------SGILFNHESPLRGVEFVTRKISLGAARIKYGLQEKLTLGNLEARRDWGYAKEYVEAMWLMLQ----QPEAEDYVVATGESHSVREFVETAFEVAGLDWERYVVTDRPAEVDFLLGDPSKAKSKLGWEPRTTFRELVEIMVE-------------- 145504967 ---QSILVTGGLGYIGSITITELYKKQYKIIILDNLSNSNIQVLEKLDLHIVDIQNYNELNEVFKKYKINYIIHFAALKAVGESVENPIKYYQNNVVGTLNLLNCAEEHKCQNFLFSSSATVY--APGEFVDEEAPFKPSNPYGETKVVIEYLIRSLSKKGG-RYLCLRYFNPVGATKDGKLGEMPNKPNNLFPYIEQVAIGNLQQLYVFGNDYNTHDGTGIRDYIHILDLAEAHVVALQELIKKDEYDYFNIGTGKGFSVLDIVNEYSKLVPIKYQIT--DKRVGDVAILK----------------------------------------- 269791637 -----IFVAGHRGLVGSAVIRRLSSLGREDVITADRS-------------ELDLTDQAAVRSFMRRVRPGCVFLCAAVGGIMANAKYPADFIGENLAIEVNVIREAFGAGVGLLLFLGSSCIYPKLCPQPIREDGPLEATNEAYAAKIAGLKMCEAYSRQYGVSYISVMPSNLYGPGDNFHLETSHVLPAMIRKFHEAKARGAE-------QVVLWGTGSPRREFLHVDDLACASVFLLENYR---GYEPINVGTGTDVTIAELADMVRNVVGFRGRVVWDSSKPDGTPRKLLDVSKIRS-MGWSPSIGLEEGIRSTYRWFLDNWR------- 300865164 --DRSVFVTGCTGLLGSWLVADLVKRGARVTGLVRDLVPHSRLYMEDWHQRINIVDLPALERAINEYEIDTVFHLAAQTIVGVANREPLATFETNIKGTWNVLEACRKVGVSRIVVASSDKAYGDQEILPYDENTPLQGEHPYDVSKSCADLICRTYYVSYGLPVCITRCGNFYGGGDLNFNRIVPDTIRSALRDKPVVIRSDG---------------SFIRDYFYAKDGVLAYLHLAEQMDRREWGEAFNFSNEVQITVVEMVRKILELMDKSHEPIILNQAKNEIIHQYLSAEKARRLLNWKPEYSLDGSLKDTIQWYSDF--------- 137095278 ----KIIVTGSAGFIGFHLSKSLLNKGYEVIGIDNMNNYYDNEIKHFTFEKIDISDKKSLNKIFKLYNPNKVVNLAAQAGVRYSIENPYAYVDSNLVGFINIIEMCRNYNIENLVYASSSSVYGGNKKFPFSTDDRVNPLALYGATKRANELIAHSYSHLFGLHSTGLRYFTVYGP----------WGRPDMAMFIFTRKIINDEPIPVFNNGKM------KRDFTYIDDIISGTISAIEKNH---KCEIFNLGNNKSEDLMDMIRLIEKSLDKKAII------------------------------------------------------- 46200718 MAKKNVLVTGGAGYVGSELIPALIAEGHKVTVLDLYLYGEVFEDLKGREVKGDLRDIKVVKDALSGC--DCVIHLACISNDPSYDLDPALGKSINHDAFLPLVRASKAAGVKRFIYASSSSVYGVKDDPEVHEDLSLEPLTDYSKFKALCEQELLA-ERAPGFTVCTIRPSTVCG--------YGRRQRLDVVVNIFTNQAVNNGKIRVTGGP-------QKRPNIHIQDMVRVYQHLLSQEDSAIDG-KIWNAGDTNYPISQLAEIVRKVVGEHVEIETVP--TNDPRSYQVSGRKIREEIGFELKYTIEDAVR------------------ 142738927 --------------------------------------------------NCNINDVTTISQILQKEKFDALMHFAGYIEVEESVSNPKKYFDNNTKNSKILFDTCIKNNLKNIIFSSTAAAYGNPANEPIKESTNLKPINPYGESKIQSELHLR---ENSQCNFIILRYFNVAGADPKMRSGLISKKATHLIKIASEVAVGKRKDITIFGNDYPTPDGTAIRDYIHVSDLADVHVKAVEYLVSKQESNIINCGYGKGFSVKDVLKIVNQVNDKPISIKNGDRRAGDPSMLVSNVSKLHSLFDWQPKYDLSFIVKTSIDWEKK---------- 141470372 ----NILITGCAGFIGFHLSKLLSDKNNKIIGIDNLNEYYDVSLKKDRFHKIDICNEDKLKKIFNKYDIEIVIHLAAQAGVRNSIKKPKDYLNNNLVGFFNIINLSNVFKIKHFIYASTSSVYGDTKKFPLLESDETQPLSFYAATKKSNEVIAYSYSNIFKLPTTGLRFFTVYGT--------YGRPDMSLFKFVKNIKNNKKIELYNFGNHY--------RDFTNVDDVALAIKNLLNKVPKKAPHTLINIGSNKPIKLKYFLSLIEKKLNKKAKVKLLKLQKGDVHKTHANVNKLKNVSKFSPNKSLE---------------------- 143123931 ----NILITGGAGFVCSHLADKLYEKKHNLFLLDNLLTGNKNNIRHLENENVKFIEHDVQNHIEIEDDLDFIFHFASAASPIAYQENPVNTLKAGSVGTINTLGLAKVKKADYL-LASTSEIYGDPEVSPQTEEYWGNERSMYDEAKRFAEAATATYARTYNINTKIVRIFNTYGPRMQLNDGRV--------VTNFIVQALNNENITIYGDG------SQTRSFSYVDDTVNGIIALME----SGHNDVFNIGNPNEITVNELATKIIQLTNSKSKLINKVLPEDDPKQRRPDINKANNLLNWEPVVELEVGLTKTIDW------------- 136937358 ----KYLVTGAAGFIGMHTCSELLKKKHKVVGVDNLNSYYDKKLKLDRFYKADIADFKKLLRIFKKFKPQIVINLAAQAGVRYSIKNPFEYSRSNLVGFGSILECCKIFKIKHLVFASSSSVYGGNKNYPFNESNVDHPISFYAASKKSNEVMAHSYSHLFKIPTTGLRFFTVYGP----------WGRPDMFLSLLASAIKKNKVINVFNKGKMYRDYTYIDDVTKGILKVAIKIPKIEKKYSQAPFKIFNIGNNKPMFLKKIISLTEDAFKKKVRKKFLGMQKGD---------------------------------------------- 134957984 ------------------------KNQEKILVFDSLTYSGNKENSLFEFIQGDINNLKQVQSIFGTFKPRVVVNFAAETHVDRSIEGPDIFIKTNVFGTFNLLRTSLEYFHFRFLQISTDEVYGSEDDSQFREQDPYKPNSPYSASKASGDHFVRAWTKTYGLPAIVTNCSNNYGP--------FQYPEKLIPLTIQNCLSGKKIP--------IYGKGDQIRDWLFVDD----HCSALEKIISKGPGENYNIGGECEYRNIDLVKLICNTLGYEELISFVPDRLGHDYRYGVNIKKIKNDLNWQPSTSIEEGMKRTVLWYLENTKWLNN--- 307592061 MPNSRVLITGGAGFIGTHLAERLSQYT-EVVLFDSFRRNSLPSLKEHPKIKVDVLDPSSIRLALDGV--DTVIHLAAIAGVSSYYQESLQTLRVNILGTSNLLEAAAKQKIKMFVHFSTSEIYGVDEESPYIIGSVSDRRWVYATSKLAGENFSLRYAEAFDFACTTVRPFNIYGPRQVG----------EGAISNFCRAISSGQPMKIYGDGSAIRA------WCYISDLVDAVEMILKIPDAAGQAFNIGNPSAVATTLELAQQMAKIIPEATFKYEQVKR--SEIKARIPSINKAQQLLGYEPKIGLETGLRRTFEWFKQ---------- 136524720 --------------------------------------------------------------------CEAVMHFAGKSLVGESVEKPDLYRKVNVDGTKVLLDQMNIAGIKKIVFSSSAATYGEPESSPVSETAQCAPTNPYGQTKLAIERELTTVAQTQGFAAVSLRYFNVAGALQTQRGWLAERHDPETHLIPNALRATSDSPLRIFGRDWNTPDGTCVRDYVHIIDLIDAHVRAL-KFLSPSEHHVFNIGSGTGYSVAQVVAAASRVKNNNLATVDAPRRAGDPAVLVANIDKAEMILNWRPERSLDQMVEDT---------------- 78357929 MGSQAI-VTGASGFIGSSVARMLAHKGWTVHGISHLAMEAQEQIGIKHWVQQDLTLASLQGLADKTGDPDIIIHCAGGSSVQASISNPYEDFARTANATLNVLEFMRRYPASALVYPSSAAVYGQTSSLPIAESSTPKPFSPYGHHKRIAEILCESYSLQWQLSVSIVRLFSVYGAGL------------KKQLLWDACQKAEQGAYSFFGSGAEI------RDWLHVEDASNLLILAAEY--ASPECVIINGGSGVGTSINEILKLVGSHFALVSAPTFGKAREGDPDSYVADITKAQA-LGFIPSFSLSDGIRQYVEWFKK---------- 78486028 MTQQTLLLTGATGFIGSHLLGKLLEQGYDVVVLKRSSSDIWRIQHLADQVRMYDVDTQPVSEVFESEKIDVVIHMATLYRKFDNGNEVSEMLASNVTFPTEILEAGARNGIKGFINTGTF-FEYDCSRQPVDENAPIVPFNFYAKTKLAFETVLKTHSDTMMVNTFRL--FSPYGEKDNQKLVPMIIQK------------------ALKGEAIELSEGLQKIDLIYVEDIVSGYMKAVERILSQPEYEVFNLGSGVALSIRDVVSIVEQKIGKPLKKTWGEASEVDIPIAYADITKLARILHWKPEYTASQGIENTVNFYQK---------- 143352242 --GKRILVTGANGFIGGNLVKHFVREGAEVTGLERRSFLHFEGLPKIRIVQGDLCDRSFLERVMAEGDFEFCFHLAAQVEIGVGLKSPYTTFETNVRGTYSLLEALRTHKAAVIASTDKAYGAYPASEMPYREHYPLKPQYPYDTSKACADMIAHTYSDVYEMPIVVTRFCNIFGPGQLNF--------SALVPDAVRAALGH-------GTFVPRGDGTHVRDFIFSSDVVALYARIAERLSNDSRGRAYNAGTNQPRTVREVLELIERALEVILQQMRTARTTGELSIQYMDFDVVQRDFGWTPSTSFEDGIDQTIGWFKRY--------- 115396184 -----IVVTGGAGFVGSNIVKALNKRNHDIVVVDDMSDG-SKFVNLVDCDIADYLDVDDFRKAIRPFRPTVIFHNGACSSTTE--MNGKYMLDVNFTTSKEISQ-YCQRNKTRFIYASSAAVYGSSHSPAAGETPQTRPLNVYGYSKMLFDQYVVSHLVDRGLQVVGLRYFNVYGP------QEQHKGAMASIVYQMYKQLQETGAITLFGEYDGYQPGMQQRDFIHVDDVVKVNLYFFDHPSCSG---IFDVGKGHADTFIDMATAVQKICGMTGNIAFKPFPEHLKGRYCADVSQLR-CIGFGDEFSVQDGVREYGQW------------- 135383654 ----NILVTGGSGFIGSHIVEALKKENHNVFNVDKI-----KINTSTNYKNIDINDTQKLKNYIKENKINYVFHIAAIANARKSLENVNETVVTNIGGTTSVLNACANSNVKKIILASTVWVYNINNTIYLDESCPIQPEHFYTTSKLVSEFLCQDFKKLKGLNFTILRYGIPYGPRM----------------WEGLVLRAFTENALSGNPLTIIGNGSAKRRFVHINDLAKAHILSL---SEKADNQIYNLEGNEDTTIKELAEIVSNINNVKIEYKNDSSRIGETDNIIISNSKIKNELGWEPKVNIKDGAKDYINWYI----------- 134974269 --KMKILITGGCGFLGSNLSAFYLREGAHVTVIDYLRRGSASNLAWFNFVQADLADSEDVLNVFRRYGPDFICHVGGQVAMTTSLVDPSRDMLTNVVGTFNVLEAARRFSENALIYSSTNKVYGDDYPNGFDEFMALDFSTPYGCSKGSADQYVRDWARVYGLKTVVFRHSSIYGGRQFASFDQGWIGWFCQKAMEQKSAYILGATIEPF---TISGTGKQVRDVLHADDLIRLYQIAYEKRESSGEIFNIGGGLENSLSLLELFALLSKKLDIPPLVYLRPRRTSDQDFFVADISKAQRVMGWQPNISVSTGLDLMIEW------------- 88856685 ----RLLVTGGAGFIGSAVVQSALKSGWHVRVLDSLRDSAPSFSDDVEFVRGDVRDTDTVSAALRGV--DAVSHQAAKVGLGINFDDAPDYISSNDLGTATLLAAMARTSVDRLVVASSMVVYGEGTPGLIDEDSALDPRNVYATSKLAQENLASAWARTTGGVAAALRYHNVYGPGM------PHNTPYAGVASLFRSAIERGEAPQVF------EDGKQRRDFVHVRDIASANLAALTWRSAPGAFRAFNVGSGTVHTIGDVARQLSIHSGGRAPIITGEYRLGDVRHITASSERLRIELGWSPVVTFDDGMREF---------------- 163789192 MTNTTILITGGAGNVGSALANALAKKSNIVVIIDNLSTGSIDKVPKLDNVKADVNNYQDIVPVFGRYNFEYVFHYAALVGVKRTLENPMSVLE-DIEGIKNILSISKNTGVKRVFYSSSSEVYGEPFEIPQNETTPLNSRLPYAIVKNVGEAFFRSFYKEYGLEYTIFRFFNTYGPNQS---------DDFVVPRFLRAAMADE-------NLYIYGDGQQTRSFCYVDDNVDTCIKAMYSIEHVNEVLNV--GSDIEMTILELAQQIIKFTNSRSEIVHLPAKEGDMARRCPDNSKMRSLLQ-RELVSLENGLDELKKFYLSH--------- 142396373 MKS---IVTGGCGFIGSHLVRRLISLGHEVTVLDRVQPHNS--CEGATYYLQDLSNYSKFIHLFDSV--DNVFHLASDVSIPYCVEKPNESMYNNIVSSMNVLECSRIHKINKFILSSTSAVYGNTAFVPSYEHQQVQCLNTYSISKYSAEQLCQMYYNLYGLKTVIFRYFNVYG--------EGQHKTGQYAPVMSIFKRQKDNKEPLTVIEPGY----QTRDFVHVDDIVHANILASQKDLSK-YGEVFNVGTGEGTDIQTIADLISD------YQTSIPQRPGEALHSRSNTDKIKETLNWNYKI-------KVIDW------------- 136826401 ---KKIIVTGGLGFIGS--IELLLKKKFYVINIDKVTYYNTREFNKNRNYKFIKCDINNIKKILSKYKPSAIFNLAAETHVDRSIDNPGDFIKSNINGVYNILECFRAFYKKKLIHISTDEVYGDVLKGRSNESYAYKPSSPYAASKASSDHLVYSYIRTYKIPAIITNCSNNYGP--------KQHPEKLIPKLIYNILKNKPLP--------IYGKGKNSREWIYVMDHCEAL---IEIYKKGKIGEFYNIGSNKNLNNIQISKALLMICKSNVKIKFVKDRPGHDIRYALNSSKIKKELKWKPKTS------------------------ 142245911 LSKKKIWVAGHNGMVGSAVVKRLLNEDCEIITV--------------KKNIVDLRDGESVLRWMKSNKPEAIIVAAAVGGIHANSNYPAEFLYDNLMMQTNIIHCAWECDVEKLLFLGSSCIYPRNISQPITEDGELEPTNEWYAAKIAGIKLCQSFRQQYGVDFISAMPSNLYGPGDNFHPKNSHVPAALLSRFHNAKINNEKES-------IIWGSGKPKREFLYVDDLADALVHLL---KNYSEVSHINIGTGEDISIKDFAHKIKECVGYNGKLIFDTTKPDGMQRKVLDISKITK-LGWTPKISLDEGLVKYYTWFNEN--------- 140547487 -------------------------------------------------FEGDITDRDALVKALD--GIDGVIHLAAKKAVEESVANPLKYYSNNVGGTLNLLAAMSLRNVKKLVFSSSAAVYSPSDKPAIEESDPTVPLSPYGATKLLSEQVISKVAKAEGISAISLRYFNVVG---SARVAYGDNSKDNLVPKVFAAYKRGDRP-EIFGSDYPTKDGTCIRDYIHVGDLAEAHLAALKKVESGLIDDVYNVGSGSGYSVKEMMDQISKSMGVGLNPKFSPRRAGDSPQLIASTLKIEKELGWKPKATLEEM-------------------- 134359955 ----RIIITGCYGFIGFNFLNYLVKKDFYIVGIDSLENKCSKINAKKIFIESDICKINDLD--FDFNGFDTVVNFAAESHVDNSIRNPEKFINSNILGTSKVFQNSLENNIENNLHISTDEIYGSTVNKYFVENDKMNPSSPYSASKASAEMICMSYIKTYGMNINILRPANNYG---------IYQQPEKLIPFSILNLLNG-------NNIEIYGEGKNVRHWLHVEDTCRGILHILE---SKNKNEVYNIGSGEYLTNLDLAHIILDKLDLDNNLSFIEDRPGHDFRYAIDFLKL-EETGWKPTFNLVDSIDKIIEWYQENRTWWESEYK 222873087 -----ILVTGGAGFIGANFVLDWLAAGDEPVNVDKLTYAGNLKSLRHVFVQADIGDGEVLARLLAEHRPRAVVNFAAESHVDRSIHGPEDFIQTNVVGTFRLLEAVRGYWAFRFLHVSTDEVYGAPAAPAFTEDHLYEPNSPYSASKAASDHLVRAWHHTYGLPVLTTNCSNNYGP--------LHFPEKLIPLMIVNALAGKPLP--------IYGDGMQVRDWLYVRDHCSAIRRVLEAGRL---GDTYNVGGWNEKPNVEIVNTVCALLD------------------------------------------------------------ 135879796 ----KIFITGVAGFLGSHLADLMIANGHTVAGNDNMIGGYFDNVPQDEFHQIDCCDLENLTK--AMEGCDIVYHTAATAYEGLSVFSPVLVTKNIFEASVTTITAAIRNNVKRIVYCSSMARYGHHDEVPYKETYECRPQDPYGIAKKAGEDVLRNLCDTHGVEYVIAVPHNIVGPRQ------KYDDPFRNVMSIMLNRMLQGKQPIIYGDGEQKRCFSYIDDCLYCLNALAFQDDVVGEVVNIGPDE-------EPVTINQLAEACANETGVNLDPEHHKDRPKEVKLATCSSDKARKLLGYKTSTNMRQAVKKTADY------------- 136173654 ----KYLITGGAGFIGSHLAERLIARGDQVVVLDNLSTGDTNNLYSIKDEQGNILDKGLIDDLVAESDF--VVNLAASLGVFNIVNKPLESLITNLKGSGIVIESADRCKKPIL-IASTSEVYGKNIKDSLKETDPLKSRWSYSEAKAVDESLAYFYFLENKLPIRIVRLFNTVGPRQVGHY--------GMVVPRFVTASLKNKPLSVYGSGD------QIRCFCHVTDAVRGLLLVMD--SDKAVGEVFNVGSNQQISIMELANKVIEITGSKSVVEYEKAYPEDMQRRVPDISKIQQVLGWAPEINLDQIIKD----------------- 141227221 ------LVTGAAGFIGFHVSKRLLEAGHQVVGIDNLNDYYDVSLKQAHFHKIDLADREGMASLFASEHFERVIHLAAQAGVRYSLENPHAYADSNLTGFLNILEGCRHNKIQHLLYASSSSVYGLNRKMPFTDDSVDHPVSLYAATKKANELMAHTYSHLYGLPTTGLRFFTVYGP--------WGRPDMALFKFTKAMLEGK--------SIDVYNYGKMKRDFTYIDDIAEAIIRLQDVIPHADTQWTVETG------------------------------------------------------------------------------- 138325817 -----------------------------------------------------ISDRTKLREIFSKNNIDLVVHFASLKSVTESVFNPIIYYKNNVCGSLILFETMQEMGVNKIIFSSSASVYGSSHLSPISEKCSLNPISPYAQSKAIIETILNDMTACKDFKALNLRYFNPVGCHESFLIGESKIKPSNLFPVICSVANGKKSHLSIFGKDYDTHDGTPVRDYIHISDLISGHISAIDWLNSSKKNFSINLGTGSGYSVLEIVNVFNSFLDKPIQYEYSPRRSGDPGEVFADNSLAKSELNWSCAQSLEKMCKD----------------- 222055216 -KKAKIYVAGHRGLVGSAIVRKLKAEGYDNLVV-------------RTSAELDLRNQQAVSDFFQQEKPEYVFLAAAVGGIVANNTYPAEFIHDNLMIQTNVIHQSWLNGVQRLLFLGSTCIYPKMAPQPIKETGPLEPTNPYAIAKITGIKMCQAYNRQYGTRFLAAMPTNLYGANDNFDLETSHVLPALMRKFHEAKVNGEDK-------VVVWGTGTPLREFLHVNDLADASVFLLNLPEDPSSPALLNVGSGEEITIKDLAVAIKDVVGYTDNLVFDASKPDGTPRKLSDVSRLNK-LGWRHKIILADGLKTVYDWY------------ 143889618 ----KVLVTGGAGYIGAHVAAELLKSGYSIRIYDDFSNGLHRRVKFRDIVDGDMLDRVKLLAALD--GIDAVIHLAAKKAVEESVKDPLKYYENNVGGTLNLLGAMAAKGVKQLVYSSSAAVYSPNDKEAVLEDDPTAPLSPYGASKLLAEQLISSVGSAEQISNISLRYFNVVGSN----IAEFGDNSKDNLVPKVFLALKNGKRPQIYGSNYPTPDGTCIRDYIHVQDLALAHLAALKKVESGYVTQVYNVGSGKGYSVKEMMGSDLK----EYWKRYKP--------------------------------------------------- 136538766 -----------------------------VVGIDNHNDYYDPSLKEARHFKIDIEDGESMAHLFKDNPFNGVVHLAAQAGVRYSIENPSAYINTNLVGFGHILEGCRHSNVGHLVYASSSSVYGANTKIPFSHDNIDHPLSLYAASKKANELMAHTYSHLYNMPTTGLRFFTVYGP----------WGRPDMALFKFTKAILERKKIKVFNFGKHRRDFTYVDDIVEGVIRVNWSGEKPDPGTSLAPWRVYNIGNNSPVELMDYISAIEEAVGIKAEKELLPLQPGDVPDTYADVDDLVKDFDYKPSMGIEEGVKNFVDWYQEYKKN------ 142871305 MDGCKIFVTGCAGLLGSNYSRHLLQNGYEVVGIDNLSGGYKAFVPEGEFVKLNLERQKKLAELFEEHKPKALFHFAAYAAEGLSPFIRNFNYRNNLICSANLINECINQD-TKMIFTSSMAVYGE-QEPPFTEDKKPQPIDPYGIAKFAVETDLRLASKQFGLRYNIVRPHNVLG------VYQNIWDKYRNVIGIFIRKTLNGIPILVYGDGEQTRAFS----------DIKYYMEPFDKLIDNYDGEIFNIGADKYFSLNEVAETVQRVYGYDVPIEHGEGR-HEVKHAYCDHTKAKTMLDFKDDTRLEELIETMFVWAMKQPN------- 140984915 ----KVLVTGGAGFIGSSLVRQLLNYDYEVLNIDKLTYAGNNKLPLYKFIQLDICNKIELRKAINNFQPNKVMHLAAESHVDRSIDGPNEFIETNIIGTYNLLEEVTRYWTFRFHHISTDEVFGDLKDTFFHEKSPYDPSSPYSASKAGSDHLVRAWHRTYGLPAIITNCSNNYGP--------FHFPEKLIPHMILNALSGKLLP--------IYGDGSQIRDWLFVDDHARALIKVVE---EGEAGETYNIGGDNEKTNIQVVETICDLIQPPGTYSYMSFNPDHVRHY------------------------------------------ 143406582 ----RIFVAGHEGMVGSAIVRAL-------------SSVSENILITASRSELDLLDQQAVKSFFEANEIDQVYLAAKVGGILANNEYPGQFIYENIMIQANTINAAHLTDVQQLLFLGSSCIYPKFSEQPMSETGVLEPTNPYAIAKIAGIKMCESYNRQYGRDYRSVMPTNLYGPN-----DNFHPENSHVIPAMMSRFHDAVANNKKVMEIWGSG--TPMREFLHVDDMAAIHVMNLEHSIYAAHTDHINIGTGIDCSIKELAETIAKVTGFDGRLYFDKSKPDGTARKLMDISRIKS-LGWQSGISLEDGLRSTYDWFKAN--------- 294945841 -RGKVVLVTGAAGFIGSHVARHCRDLGMEVVAIDDLSGGFTSNVPAGVTFRRDVRDAESMESLFERYKFDYVYHLAAYAAEGLSHFVRSFNYRTNLVGSVEILNAAIRHKVHTFVFTSSIAVFGPLNDHPLTEKDKPQPEDPYGISKYAFEMDLRAAHDMWGINFVIFRPHNVYGPHQN------MFDRYRNVVGIFVNQIIHGKPLTIFGDGQQLRAFSYIDDVAPVISRAPLVKSAL--------NEVFNIGADTPYSVNRLAQEISTAMGKADY-------------------------------------------------------- 143181450 -------------FIGTHLTRMATARGWKVRVLDNFSTSAECALLEGLGAEVLVGDVRDETVCFAAVDCDAVVHLAAQVSVPRSMEYPEETFEVNVEGTANLLNACKAHGVNRFVMASSAAVYGNSEMFPLEEQHAGEFHSPYADSKWTNEQQVRQ-TKNGGMEAIALRLFNVYGPGQR------HDGAYAAVIPKFIDRTLKGKPVTVFGDGLQT------RDFVHVDDVSQAFLMLATEPWPQALEPVYNVCTETEISMLEVLNNIHSVLKPRIAPNHEAARVGDIGRSVGSNKRLTRDTAWRPQTEFQDGLRQ----------------- 136901139 ----------------------------------------------------------ELDLVFKSENIWAIIHFAALKSVGESVKSPLLYYNNNIVSTLNLLEVMEKNNCNNLVFSSSATVYGNPSPLKETMEIGRGITNPYGSTKVMIEMILRDICRSNDYKIISLRYFNPIGGHKSRLFGDNPLKPSNLMPHIVKVAKGESSKLKIYGGDYPTQDGTGVRDYIHVVDLAIAHEKALDSL--KSGYHFYNVGTGQGTSVKKLVDTFIKVTGVDIPYDVVERREGDLAISMCDPSLAKVELGWEAKLDLEDMCRDSY--------------- 152965794 -------VAGHRGLVGSAVCRRLAAEGFRDVV-------------TRTSTELDLRERAPVERFFDEVRPDVVVLAAAVGGIGANSTYPAQFLSDNLRIQVNVMDAAARVGTPRLLLLGSSCIYPKFAEQPIREDSALEPTNDAYAAKIAGILQVQAVRRQHGLPWISAMPTNLYGPH-----DNFDPEASHVLPAMLRRFHEAARDEAPVVTCWGSG--TPRREFLHVDDLAEACLFLLRHYDDPAP---VNVGTGTDVTVRELAELVAGIAGYRGRIEWDATKPDGTPRKLLDVSRLR-DLGWSARTGLADGVRDTFDWYLR---------- 139987751 ---KTSLVTGGAGFIGSHLVDKLLSMGHKVIVLDNESSGHDDWNSGATNYPVDIRNFYHIVDKFRGV--DYVYHLAAKASVQASIDFPLDTMETQVMGTANVLEAARQCGVEKFIYSSTSACYGNKNPIPNTEIMREDPLNPYAIGKLTGEQLVKSYHGLHGMKTVAFRYTNVYGERAR------HVGTYAPAVSKFLKMTREGQPITIFGD------GSQRRDFIHVSDVVNAN-ALISFMELDNWGEVYNIGYGENWSIKDIADAISD--------------------------------------------------------------- 143225694 ----RVYVAGNTGLVGSAIVRTLHWKGYTNI-------------LSSPSHHWDLRNQMDVERFFRVNEPEYVYLAAAVGGIGANAYYPGHFIYDNLMIQTNVIHAARKFGVKKLLFLGSSCIYPKFAEQPITEDHLEPSNDSYAIAKIAGIKMCQAYRKQYGFNAISLMPTNLYGPNDNYDLDSSHV-----LPAMIRKFHEAKDKVTLWGDG------SAMREFLYVDDLAEAAFKCMVDYDSE---EIINVGTGKDITIKELATTIADVVGFKGEIVWDTSKPNGTPRKVLNVDKIKS-LGWEPKVGLREGIEKTYELYKE---------- 153217114 ----KILVTGGAGFIGSAVVRHIIQSTQDVVNLDKLTYGNLESLERYAFEQIDICDRAELDRVFAEHQPDAVMHLAAESHVDRSIDGPAAFIETNIIGTYTLLEAARHYWSHRFHHISTDEVYGDGTDDLFTETTAYEPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 298161998 MDKKRVFIAGHRGMVGSAIVRQLENRNDIELIIRDRT-------------ELDLMSQSAVQKFFATEKVDEIYLAAAVGGIQANNNYPAEFIYQNLMIECNIIHAAHLAGIQKLLFLGSSCIYPKLAAQPMTEEAVLEPTNPYAIAKIAGIKLCESYNRQYGRDYRSVMPTNLYGEN-DNFHPENSHVIPALLRRFHEAKIRNDKEMVVWGTGKPMREFLHVDDMAAASVHVMELSDQIYQTNTQPMLSHINVGTGVDCTIRELAETMAKVVGFTGNLVFDSTKPDGTPRKLMDVSRLAK-LGWCYQISLEVGLTMTYQWFLAHQNNFRK--- 291336907 -KKDKIFIAGHNGMVGSAILRKLRSEGCTNLCF-------------LSRKDLDLTNQTETFQWFENNNFDVVIDCAAVGGIHANNTYRADFIYNNLQIQNNIIHASYKTKVKKLLFLGSVCIYPKFTDQPIKEESPLEPTNPYALAKIAGIKMCESYYRQYGCQFMSVMPANLYGPN-----DNFHLENSHVLPALLRKFHEAKEKNSPTVKVWGTG--EAMREFMHVDDLANACVHVLKECIYSQNISQINLGTGEEISIKNLAHLIARVVNYEGKIQFDTSKPDGTLRRVLDNKRI-NDLGWSHEINLEEGLKSTYEWFKNNLKNIKE--- 139159628 ------LVTGGAGFIGSNLVDQLVAKGHKVIVLDNFVTGRKLNLAHHKVIKVDISDKKPLDKYFKKVKF--VFHLAGLADIVPSIENPEKYFKSNVMGTFNVIQAANKVKIKKFIYAASASCYGIPKKFPTSETDLINPMYPYAFTKWQGEQLVMHWANVYNLPAVSLRFFNCYGPRSRT------SGAYGAVFGVFLAQKLKEKPLTIVGDGKQT------RDFIHVNDLTKAV---IKAATSKKVKKIYNVASGKEVSVNKIAELI----------------------------------------------------------------- 136045916 -KNNNILVTGGTGFIGRSLVIRLIKNGLHPIVFDNNFRGNDDFLKINTFFNGDIRDKEQVKIALK--ECSSVFHLAFINGTRFFYEEPELVLDVGVKGAINTIELAKELKVEKYILASSSEVYQKPLIIPTAEDDITNPRYSYGGGKILSELLTLNFLRNTNVKHTIFRPHNVFGVQMG--------FEHVIPEILKKISIASDYFLKPYCEIEIQGSGDETRAFCYVEDAIDQLMYIFNNGKNRN---IYHIGMNDEISIKKLIKDISKILNIDISIKSGKIREGGTDRRCPSIEKIRSI-GYKKENNYYNGLKNTVEWYKNYY-------- 135011923 MMTRTVLVTGAAGFIGAALSQRLLQQGDRVVGLDNLNDYYDPSLKQARLRQVELEDGHSLMKLFAAEKPEVVVNLAAQAGVRYSLENPAAYIQSNLVGFGHLLEGCRQHGTQNLVYASSSSVYGGNRNLPFHEQQPVHPVSLYAASKKANELMAHTYSHLYGLPATGLRFFTVYGP----------WGRPDMAPMLFARAIISGKPIKVFNQ------GNMQRDFTYIDDIVEGVMRCCD--------------------------------------------------------------------------------------------- 136637048 -----------------------------------------------------------------------------------------KYYQNNVVASFNLIECAQKSGIGLFVFSSSAAVYGNPLSIPVNEDAPLAPINPYGRTKLITEWTLQDISSNKPLRYVALRYFNVAGAQIEGHLGQSTPEATHLIKVACEAACQKRSGVEIFGADYDTPDGTCIRDYIHVEDLAAAHLKALDYLDAGEPSTIINCGYGHGYSVRQVLDTVQKINGTEFPIQESSRRPGDPAQLIADNQKIRNLLQWKPRYSLEVICETALNWERN---------- 142844670 --KKRALITGITGQDGSYLSEFLLEKGYEVHGIKRLNTQRVDHIYQDPNLEGDLSDTSNLTRILRDVEPDEVYNLGAQSHVAVSFESPEYTADVDGIGTLRLLEAIRFQKKTKFYQASSSELYGLVQETPQKETTPFYPRSPYAVAKLYSYWICVNYREAYGMYACNGILFNHESPRRG------------ETFVTRKITRGLANLALGLETCLYMGNIDALRDWGHAKDYVRMQWMMLQ----QNKAQDYVIATGKQYSVREFITWTANALGIDIIVRIDPRRPAEVETLLGDPSKARAELGWEPEITAQEMCKEMVE-------------- 88808148 ---KKALITGITGQDGSYLAELLLEKGYEVHGIKRFNTNRIDHLYQDPHEEGDLTDSTNLIRIVQQVQPDEIYNLGAQSHVAVSFEAPEYTANSDALGTLRILEAVRISDTTRIYQASTSELYGLVQEVPQKESTPFYPRSPYGVAKLYGYWITVNYREAYGMYACNGILFNHESPRRG------------ETFVTRKITRGLARINEGLEDCLFMGNLDSLRDWGHARDYVEMQWRMLQ---QEGPPEDFVIATGRQESVRRFIELTADALGWEVVVRIDPRRPAEVETLLGDPSKAHEKLGWTPTTTLEELVADMVK-------------- 135636081 ------------------------------------------------FYRKDISNRSEIESLFNKHSFDIVVNLAAQAGVRYSIENPHAYLDSNLTGFINILEGCRHSKIKHLVYASSSSVYGMNTKQPFSEDRVDYPISLYAATKKSNELMAYTYSHLYKIPTTGLRFFTVYGP----------YGRPDMAYYKFTKAILNNESIDVYNNGEMKRDFTYIDDIIETMDRVPKNNIN-KFSASKAPYELYNIGNNNPVNLDDFIRAIEIACGKEAIRKNMPMQQGDVPITYADVDPLIKDVGFKPSTSIKDGVKKFVDWYKK---------- 256769988 ----RVFVAGHRGLVGSAVARRLTADGHQV-----LTRGRTE---------LDLRDAAATEAYLRDVRPDAVVLAAAVGGIMANSTYPVQFLEDNLRIQLSVIAGAHAAGVGRLLFLGSSCIYPKLAPQPITEDAPLEPTNEAYAAKIAGIVQVQSYRKQYGASYVSAMPTNLYGPGDNFDLQSSHVLPALIRRFHEAAAEGRD-------EVVLWGSGTPRREFLHVDDLAAACVVLLERYD---GDEPVNIGCGEDLTIKELAETVAEVTGFRGRLAWDASKPDGTPRKLLDVSRLAS-LGWKPGIALRDGIDATYRWWLD---------- 307299599 ----KIYVAGHNGLVGSAIMRKLESEGFRNIV-------------TRTFEELDLTNQKATREFFEKERPEYVFLAAAVGGIQANSVYPADFIYINLMIECNVIKASHEFGVKKLLFLGSSCIYPKLAPQPIKEEYLLETNEPYALAKISGMKMCQYFNKQYGTNFISVMPTNLYGPNDNFDLNTSHV-----LPALIRKFHEAKVNKAPYVEIWGTG--TPRREFLFVDDLADA---CLFLMKNYSGNDFFNVGTGEDVTIRELAELIGEVVGCKGELKFDTSKPDGTPRKLLDVTRIHEA-GWRHRIGLKKGLEKTYEWFSK---------- 116754368 ----TALVTGGAGFIGSNLVEELVRSGEDVIVLDNLQTGSLRNLEGLDVEIVSCNDIPRLD-----VNADRIFHLGIPSSSPMYRDNPFLVGEALNGFVAVMELARRCD--ARVVYASTSS-LYNGLEPPHREDMEIKVTDYYTEARFAMERIAELYRKLFGVSSLGMRFFSVYGP------KEESKGRYANMVTQFLWGMMRKETPVIYGD------GSQTRDFIYVRDVVRALILAMDSDYN----GVLNIGTGRAHSFNDVIHILGRRLGVEVRPRYVENPIKNVQHTLADISKAEICLGFRAAYSLEDGINEIIQYY------------ 301165716 MENKRTLVIGGSGFIGTHTVNSLLDQDHQVGVI-SRSIGIHNNVEYYC---GNILDEDFLSQSISDFDPNFVIHLAGSKNRSIQIDDFKSDINTNLNGTLNIFCLISLKSLEQVIVVGSTEEYGDAESVPFVESMNETPISSYSFSKTCTKYLAETFARVYGLPVAYVRPSIAYGPRQNPDMF-----------------ISSLCTTLLKGERFQMSSGEQRRDFIYIDDLVELFMAIINF--NSPVTGTFNAGGGSSVQIKKIAMMIADKLESLIDIGHIKTRALESDEHLLDNSKASSLLNWNPTTSLEEGISKTVEFFSK---------- 91200294 -KNKKVLITGHTGFKGSWLSLWLQNMGANVIGYSLPPSGVANVSSGMESIVGDVRDIEQVTAIVLKYQPEIIIHMAAQSLVRYSYNNPVETYATNVMGTVNVLDAVRHVKCAKVVIVVTSDKCYENKEWLWRENDPMGGHDPYSSSKGCAELVTSAYRSFFPVKDYKSHGVAVSSVRAGNVIGGGDWSEDRLIPDIMKAFMDKR--------IVKIRNPNSIRPWQYVLEPLRGYLALAERLWLHGTGFAEAWNFGDVRPVSWIIESLSEQWGEDARWELDSRQPHEARHLKLDCSKAQSLLGWKPRLDLSTTLQWIVAWYRGYQQ------- 134862885 ------------------------------------------------FHMCDLSNKEQVHKILKENKYEMVMHFASSINVGESYDHPMKYYENNVTNTLNLLECMIDLKILNFIFSSTAAVYGEPESIPIKEEQKLSPINPYGKTKSVVESILSDYDKSYGLKYISLRYFNACGAHIDGTIGERHNPETHLIPLILQTASGRRNNFKIYGDDYKTKDGTCIRDYIHVMDIAEAHLLSLEKLIQTQSSDIYNIGNKQGYSVREIIDMVEKVTQIKISYEI----------------------------------------------------- 135446488 ---KNLLVTGGCGFIGSNFINYIHKKNYNIFNLDAMYYAADKNNIRYHLIEGNLCSQDLIHYILKTHKIDIVIHFAAQSHVQNSFDDSLQYTKDNILGTHVLLECCRQYKIERFIHVSTDEVYGESMNEVKTEQSILCPTNPYAATKAGAELITQSYNHSFKMPIIISRGNNVYGPNQYP----------EKLIPRFIQQLKNDQKVTIQGE------GTAVRAFLHVYDTARAFECILEK-GQIGEIYNIGCDEKMEYSVMEVIEHIKKTTDYDRWITYIEDRPFNDQRYYISNEKLKK-LGWNITVDFMDGIK------------------ 139149111 LKNKKVLVIGGAGFIGSHVVSELLKTEVRVIIYDNLTRGKKSNLSSSLDDEGDIRDIDLLNDAMSGV--DCVVHLAAMW-LLHCKDFPRTAFHVNIEGTFNVLEACVMNNVKRLVYSSSASVYGDAVEVPMTESHPFNNRNFYGATKIAGEAMCRAFYDRYGLSYVGLRYMNVYGPHQDQTAAYTGVIPIMLNKIDTNE------------QPVINGDGSQAYDFIDVEDAGRCNVMALE---SESIDQFYNVGTGIQTSIKELCDTILEMRKSSLIVKYNP--------------------------------------------------- 83310536 ---RHYLVTGGCGFLGSRLVSALLAAGHGVTVLDILAREKASAVPADVRLLGDVAQPEIVARAMDGVDGC--FHLAAVASVEQCNRRWAETHRINQGGCVNVLDAARLAGRERVVLASSAAVYGASGAIPLDEAAPAWPVSPYGADKLGGELHARVSASTFGGRVTALRIFNAFGPGQ------VPDSPYSGVISIFIDRAMKGEDLVIFGDGA------QIRDFVFVDDVVRGFRRAMGVLESSSEPRIYNVCGGMGVEIGHLARTVIDLCQSPSRVVHAPARTGDIRLSVGNPAAAHAELGFVPSSRLEDGLAATIAW------------- 141078121 ----------------------------------------------------DISNNNEVENAFKTFRPLKVVNLAAQAGVRHSIENPFIYIESNITGFMNILEACRHHDVNSLIYASSSSVYGGNEKIPFSEDRVDKPISIYAASKRANELMAYSYSHLYGLNTIGLRFFTVYGP--------WGRPDMAIYIFTKKIDIGEKISVFNHG--------KMFRDFTYIDDIINGTRLAIENDLKVG---IFNLGNNKTENLMDVIGLIEDQLGKKASIDYKDIQPGDVNKTYADIDYSNKILGYEPKISISFGIPKFIEWYK----------- 260430776 MAMQKIYIAGHRGMVGGAILRQLQARQ---------TRGEELQLITRTHAELDLTDQAAVRDFMQAERPDVVILAAAVGGIHANNTYPADFIYDNLMIECNVIHQAFAAGVRRLLQLGSSCIYPRDAAQPMREDAILEPTNPYAVAKIAGIKLCESYNRQHGVDYRSVMPTNLYGPGDNFHPENSHVLPALIRRFHEAARDG-------LDEVVIWGTGKPRREFLHVDDMAEASLFVLDLPRAEYEANHINVGCGQDISIAELASLVAKVTGFKGKITQDPSKPDGTMRKLMDVSRLAR-MGWTARIGLEDGIRETYSWFLDQPE------- 147742779 ------------------------------------------YLKGVDDLQGDLRNKGDIEKLFSKQRYCSLLNSSLCSAVGESVGNPRRYFDNNLVGTINLYETMAKHNCKMMVFSSSATVYGQPEKIPCMEDFELKAMNPYGRSKLFLEEIARDIQAEPEWRIVLLRYFNPVGAHESGRIGEDPKGPNNLMPYIQQVAVGRLPELNVYGHDYPTEDGSAVRDYIHVMDLADGHIAALRKLFDDPKIGCYNLGTGRGTSVLEMVAAFEKASGKKIPIKLCPRRLGDATAVYASTEKAEKELGW----------------------------- 136178357 ----TIVVAGHTGLVGSAIFELLKSRGESVVGI--------------NRGVVDLLDRQEVFEFLNDLKPNAVIDSAAIVGIGANNSYPVDFLSENLQIQNNLMDGSHAAGVERFVFLGSSCIYPRECPQPIKEEYMTGPLEPYAVSKIAGIELVKSYRKQHGRRWISLMPTNIYGPRDNFNLETSHVLPALINRFVTAQSQGTSK-------VILWGTGKPKREFLHSTDLAKATLFALENYDND---EHLNVGTGEDMSIKELAELIAKVSGFEGEIDWDPSKPDGTPRKVLDVSKIKSI-GWSPKVRISEGVRETISWFRENQ-------- 142174032 ---------------------------------------------------------------------------------------------------------------------------------PIAETCLQRPINPYGRSKWMVEQLIADFAAAYGLPSVVFRYFNAAGADPAGDLGENHTPETHLIPLVLDAMSGRIPYLQIYGDDYPTADGTCIRDYIHVSDLADAHVLGLRKVLAEGGNHVFNLGNGTGYSVQQVIDTAKAVTSRGLLAHVAPRRPGDPPVLVASAERARRELGWQPRYPLSTILSHAWAWHQ----------- 140640606 MKS---LVTGGAGFIGSNLVDLLIKKGHKVIVLDNFSTGRKSNLKEHKIVNIDISKNNNIDKYFSGV--DYVFHLAGLADIVPSIENPKKYFDSNVTGTFNVVKASNKAKIKKFIYAASASCYGFPKNFPTREDSAIKPMYPYAFTKWQAEELVMHWNKVFNFPAISLRFFNCYGPRSRT------TGAYGAVFGVFLAQKLAGKPLTVVGNGKQT------RDFIHVSDLVN---------------------------------------------------------------------------------------------------- 139188183 MMVKNILITGGAGYIGSHVAEILLKKHKKVFLLDNLSTGHRKLIKKAKFFKLDINRKDKVKKIIKKNNIDSIIHLAANLIISEGQRKPKKYYKNNVLGTKNLLESCKDTTVKNFIFSSSAAIYKEGQYK-VSEKSIIKPKSIYGKTKIKAENLIRNFTKKNKMNYGILRYFNIAGSSPSGKIGLINKNSDHLFKNYSIEILRKKPKLKVYGTNYKTTDGSCIRDFIHVSDIAEIHYLVLEK-------------------------------------------------------------------------------------------- 137362324 MKNKKILVTGADGFIGSHLVELLIKEGHDVKALDNLS---AELKKDLEVCLGDIRDPQMVRNVMS--DCNEVYHLAALIAIPYSYLAASSYVDTNIHGTLNILESAKEVGLERIVHTSTSETYGSAQYVPIDEKHPLVGQSPYAASKIGADQLALSYWHSFQTPITVLRPFNTYGPRQS---------ARAVIPTIITQIASGKRRIKL-------GTTTPTRDFNFVLDTCRAFLAISKSDKTIGK--VINASSNFEISIGDTAKLIAEVMNVEIQLDIDQDRLSEVNRLYGNNNLIK---------------------------------- 288921267 ----RILVTGASGFVGGVTADLLCAAGHQVTALVRDATARSRLSRMVEVVQADLLEPRQLAAAGVSRGFDGVCHLAALTRVRESRETPLRYFAANVTGTINLLAARATGLAPRFVFGSSCAVYGDGGTSPIPETRAAAPTSPYGASKLAAEQAVAYQAATGRLGAVVLRSFNVAGA----VGSHSDRDSSRIIPAALGVASGRRDAFRVNGDGASI------REYVHVVDMARAYLTALRAVV-PGQCTVYNVGSGVGVSVSDVLATVESVTGRDVPRVTLPAVP-EPRALIADSTRIRADLGWSPSSTIERIVADAWR-------------- 110597113 MQNKKIYVAGHSGMVGSAIVRLLSRQGEAEIVVRS-------------HGELDLVNQADTLNFFESEKPDQVLAAAHVGGIYANNTYPADFIFRNLMIESNIIQSAWRVGVQRLLFLGSSCIYPKHAPQPIGEDAPLEPTNPYAIAKIAGIKLCESYNRQYGTDYRCVMPANLYGPG-DNYHPENSHVIPALIRRFHEALVNKSDSVVIWGTGTPRREFLHVDDLAAACVHVMNLAKGIYDEHTLPLQRHINVGTGSDLSIRELAELIARTIGFKGRIEFDTLKPDGTPKKLMDSSRLKH-LGWQSGISLEEGLIDAYNDYLK---------- 255058288 ----------------SNLAQRLVELGARVTLVDSLEYGGNLWNIEPFKERVDVRDEHAMKYLVQGQDF--LFNLAGQTSHVDSMNNPYTDLEINAKSQLSILEACRRYNAGKLVFASTRQMYGAPQYLPVDERHPLHPVDVNGINKMAGEWYHLVYNNVYGIRSTVLRLTNTYGPRMR-------------VKDARQTFLGIWIRLLVEGELQVFGDGKQIRDFSYVDDVVEA--MLLCAASEDANGEIFNLGADDPINLKDTAELLTRVAGSGSYRMVADRKAIDIGDYYADYRKIRAKLGWKPSVPLEEGLRRTLEYYREH--------- 2738478 --KKKALITGVTGQDGSYLAEFLLAKGYEVHGIKRFNTQRVDHIYQDPHVDGDLTDSSNLTRILQEVKPDEVYNLGAQSHVAVSFESPEYTADVDAMGTLRLLEAIRLEKTTKFYQASTSELYGLVQETPQKETTPFYPRSPYAVAKMYAYWIVVNYRESYGMYACNGILFNHESPRRGETFV------TRKITRGLANIAQGLEKCLYMGNMDALRDWGHAKDYVRMQWMMLQQDQPEDFVIATGVQYSVRQFIGQGVDEKGIVSAIEKVGDVVVQIDPRYFRPAEVETLLGDPSKAKQKLGWTPEITVQEMCAEM---------------- 135554441 -----------------------------------------ECHKGFSFSRAMLEDRENLESALRDFNPDIVLHLAAQAGVRYSLENPQAYIQSNVVGTMNLLESIRHVKPRHLLMASTSSVYGANTDMPFIEGNTDHQLTLYAATKKANESMSHAYAHLWEIPTTMFRFFTVYGP--------WGRPDMALFKFTDAILRGDEIDVYNFGKMY--------RDFTYIDDLVKSICMLIQVIPSRVEGRVVNIGNSQKVRLSDYIEAVEKELGVRAKKNFMPLQPGDVLATCADTSLLKALTGYAPSTNVEEGVAKFVKWYTQY--------- 136028858 ----RVYVAGNTGLVGSAIVRMLHRKGYTNI----FSSPSSYW---------DLRRQDDVGRFFQVNQPEYVYLAAAVGGIGANKDYPAHFIYDNLMIQSNIINAARKFGVKKLLFLGSSCIYPKMCEQPIKEEYMTGPLEPTNDSKIAGIKMCQAYRKQYGFNCISLMPTNLYGPKDNFDLETSHVLPAMIRKFDDGK---RGKPVTLWGNG------SAKREFLHVDDLADACFTCMKDYNS---PKPINVGTGEDVSIKDLAELVSDTVEYNGIINWDTSKPNGTPRKVLNVDKIKA-LGWEPQINLKEGIKSTYEWYKDYAR------- 33861757 ----KILITGGCGFLGSNLSNFFLKKNYEVFIIDSLVRRGSDINLSWKNFQIDIKNKNKLENIFEVNGPDYICHVAGQVAMTTSLKDPRTDLETNLIGTFNVLEAMRKYSPHSLAYSSTNKVYGDEYPEGFNENLPLDFSTPYGCSKGSADQYVRDWARIYGLKTVVFRHSSIYGGRQ---YASKDQGWIGWFCKKAIEQKKQQKSNQKLLPFTISGSGKQVRDVLHADDLVNLYEKAFFAKEKNGEIFNIGGGLENSLSLLELFNLLSELLDIEQSYNKLPRRQSDQDFFVASIKKAKIKLGWEPKINYKKGIKDMISW------------- 139174910 -----------------------------------------------------------VSSLLETHQPDAVVHFAAESHVDNSIASPSEFVQTNVIGSYTMLEASRQYWIFRFVQISTDEVYGELGNTKFSETTPLQPNSPYSASKASGDLLARAWFHTYGLPVVATNCSNNYGPR--------HYPEKLIPLMITHALAGKALP--------VYGTGQNVRDWIHVEDHCRGVYLAL---TKGKPGETYCFGGNSERKNLDVVRAICDALDYHSLITFVEDRAGHDWRYAIDDTKAQKELGFTRQYDFEAGLKATIEWYLANHQW------ 139357853 ---KKILVTGGAGFIGSHVVRRLVNKNYLILNADKLTYGNLENLIDVDFVQLDITNEKLVNELFEAHDFDGVIHLAAESHVDRSISNPGEFVMTNVVGTLNLLNSCRSQWNKVFYHISTDEVYGLGYEGLFYETTAYDPRSPYSASKASSDHLVRAYFHTYGMPIKISNCSNNYGANQFP----------EKLLPLMINNINENKPLPVYGK------GENIRDWL----WVEDHAIAIDLIFHKGKSETYNIGGHNEWKNIDLIHLLCDTMDRK---------------------------------------------------------- 134618438 ------------------------------IIIDNLVTGFKRLIKKTKFFKVDLKNKKLLNKIICENKIDTIIHLAAYLNVSEAENNRKKYYKNNIIGTKNLIEACKNSSVNNIIFSSSCSIYGNVK-GAVSEEKKPNPLGYYAYTKYKGENLIKKYSKKIGYKYSILRYFNVAGASPSNKIGEIEKSHDHLFKNISIQALKKKPVVRIYGNNYNTKDGTCIRDFIHVSDLADIHVKCINYLCKKKKSITLNCGYGIGYSVQEIVNIFKKI-KKNCKVVYEKRRAGDVDQVYSNI-------------------------------------- 54401414 --SKVALITGVTGQDGSYLAEFLLEKGYEVHGIKRFNTGRVDHIYQDPHHYGDLTDTSNLTRILKEVQPDEVYNLGAMSHVAVSFESPEYTADVDAMGTLRLLEAIRFEKKTRFYQASTSELYGLVREIPQKETTPFYPRSPYAVAKLYAYWITVNYRESYGMYACNGILFNHESPRRG------------ETFVTRKITRAMANIAQGLESCLYLGNMDSLRDWGHAKDYVRMQWMMLQ----QEQPEDFVIATGVQYSVRDFVEMSAAQLGIKVIVEVDPRRPAEVETLLGDPSKAHEKLGWKPEITLQEMVAEMVA-------------- 114566165 -KNSKIYLAGHQGLVGSALERHLRTRGY-------------NNLLTRRFDELDLRRQDAVEEFFQQFQPEYVFLAAAVGGMWANYLRPGEFIYDNILIQSNVLEAARKYAVKKLLFLGSSCIYPKFAPQPIKEENELEPSNQAYAAKIAGIAMCQAYRAQYGCNFIAIMPNNLYGPGDNFHPENGHVLPAMINKFHQAMLTRSP-------QLYLWGTGTPRREFLYVDDLATACYFLMKHYD---EADIINVGSGEEYSISELAAMVAAIVGYQGEIIWDSSKPDGTPRKLLDASRI-NALGWHSRVSLWEGLKVTYDWYLKN--------- 46127211 -----ILVTGGLGFIGSHTSLELLKAGYNVIIVDDL----RLKLPTLHFHKLDYRSKA-MRFLLESYKISGVIHFAAFKSVSESIEKPVQYYRNNVCGLIDFIELLGKHNIHKFVFSSLATVYRSKEEVVYHETLYLDETSPYRCSKYFCEAVLADAYTDPSWHIIALRYFNPIGCDPSGPLGEDPKGIPTNLFPVIAQVL------------------TAIRDFIHVTDLARGHVAALSSDIESP-FRTFNLGTGNGTTVAEAVKSLEGASLKNIAVNLVPRRIGDVGFCVAANDRAKKELGWTAKETIQQFAKDLWN-YINQPE------- 136859708 ----KVLVTGGAGFIGSAVVRKAISQGFKVVNLDVLTYASVAHLDGYVFEQVDICDREALDIVFAKHNPDAVMHLAAESHVDRSIDAPGDFIETNIMGTYHLLEASRAYWNFRFHHISTDEVFGEDKSVRFTEETPYDPRSPYSASKAASDHLVRAWHETYDLPVVLTNCSNNYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 298294214 ME-KCILVTGGAGLVGNAVRRRLEAQGRKVVAID-LVERTREGL---PLVACDLTDIHRLHAIAAEHPVEAIVHCGAHSGPMVARDNPYSMVQVNVVGTANMLELARIHKARRLVFCSSTSAYGDTPEGPVPEDVPLRPTSVYGGSKAASEALLWTYWRQFGVDALAIRLSWVYGPGRTT---------DCVIRTMIEDALAGRPTRMPFG-------ADFHRQFIHVEDAVGALLLALDAGDTP--RRVYTVTGDTYLTLGEVGDAVKRVLQADIALAPGPDPVDEVHRRF-DISAAKRDFSYAPAYDLETGIRSYAEW------------- 136506285 ---KTVIVTGAAGYIGGAICIELKKQGYRVVGVDRRVSSHLES-YYDEFIQCDFIDTKSLNCIADNI-PDAIIHCAGTSLVGPSMTDPIEYYENNVAKTAKYLEYIKYSPGTKFIFSSSASVYGDPESHMLFEKSDTNPISPYGESKLMTEMMLNWHNKAYGLQYVSFRYFNACGAVEGG--THGQEPNATHIFAKIFEAALNDEPFTMYGIDYPTKDKTCIRDYIHVTDIAKAH-------------------------------------------------------------------------------------------------- 135749572 ------------------------------------------------FYKCDICDFDMVEEIFEREQPDKIMHLAAESHVDRSISSSKDFIKTNIFGSYQLLEVSRNYFIFTFHHISTDEVYGDLDAPGFTESNSYKPSSPYSASKASSDHLVRAWYRTYGLPVIITNCSNNYGP----------FQNDEKLMPTIIRKLSRGDKVPIYGDGKQIRDWLFVDDHAHALHKASMEGKIGETYNIGGNSERTNIEVVKEIWQNNYNNFLKINDNFENCIEFITDRPGHDYRYAIDSSKITKNLGWSPSENFESGIKITVDWYLK---------- 143974030 MMIKSI-VTGGCGFIGSHLVNRLIDLGHEVVVIDRVH--HHNPNPKATYYLIDLSEYTKFIHLFDSVN--NVFHMAAEVAISYCVEKPNESMANNMLSTMNVLECCRIHDVDRVVFSSTCAVYGNTMFNPNYETNSVDCLNTYSISKYSGEQLFKMYYELYGVKTVIFRYFNVYG--------EGQHQSGQYAPVMSIFKRQKENKEPLSIVEPGY----QTRDFVHVSDVVYANVLASQREL-KTYGEVFNIGTGEGTEIQTIADLISD------YQIMIPARQGEVMHSRANNDKVRETLGWKWNV-------KVVDWIKKN--------- 139763189 ---------------------------------------------------IDISNLEDVEKVFNQSKPEKVVNLAAQAGVRYSIENPQACIQSNIVGFINIIEACRHSNVKGLIYASSSSVYGGNEKTPFSVDDRVDPISIYATSKKSNELIAHTYSHLHDLNTTGLRFFTVYGP--------WGRPDMAMYIFADKIRNGKKIPVFNYG--------KMQRDFTYIDDIVDGIRASIE---NNYSCEIFNLGNNRCEGLMDMVSYIEKAMDKNAEINFMDIQPGDVTKTYADIEHTKNKLNYEPKIPIQKGIPKFIEWFKSYHK------- 143910535 --SKNILVTGSSGFIGFNLSKRLLNEGHNVTGIDSFNEAYDPSFKRIRQYELNLDIYKNLEYIKDTEDVDIVFHLAARAGVRQSFLDPVSYIKDNAIATTNVANFVKECEIKKLVLASTSSIYGNSGTNKMIEDEKIEPPSIYAASKLSGEVFAKNILENSETNVIITRFFTVYGP--------FGRPDMSVLRFIHWIVEG--KKVNLFGDGKQSRSFTYIDDVTELLILCKSVNTDCTLNV----------GNNQTSTLIEVIEYIEEATNTKANIDYQERAYKDPDIVLPSLENTKNITGWSPKTDIKSGVENTVKWYFEN--------- 9631687 MLSKVALVTGATGQDGTYLCPFLVKKGYTVYGLVRHTSSENPRVEEVEIVHGDLTDSASLINIITKIRPDEIYNMAAQSFVGDSFHQAEVTANVDALGVLRLLDAVRIAGLNRICQASTSELYGKVQEIPQTERTPFYPRSPYGVAKLYAYWICKNYRESYGMFVCNSICFNHESPN------RGHQFVTRKITKAVANIFNGVEKCMYLGNIDSKRDWGYAEDYIEAMWLMLQQDTPDDYVIATGQTTSVREFVKIAFGVLDIVVEFSKVGDVVMRVNKDFYRPAEVDLLVGDATKAKSVLGWEPKTTLNELVKMMV--------------- 302348167 ----KFLVTGGAGFIGSHLVELLIEEGHDVTVVDNLSTGRLEFLKHLRFIRADLTSPEVAREVTKGA--DAVVHLAANPEVRIGSQSPESIYLQNVQMTYNVLEGMRANGVKAIAFASSSTVYGEARVIPTPEDGPCYPISVYGGSKLASEGLISGYAFTFDWTAVSYRLANVVGPRSTHGVIYDFINKLRRDPT----------------RLEVLGDGSQSKSYVHVSDTVRAMYQLFMKALEKGRYEAFNIGTPDRISVLEIAKIVAETMGLSPQIYVDGGRRGDVKVMQLSIEKAVS-WGWSPQVSSRDVVRRAV--------------- 141774789 ----KILVVGGAGYVGSHMLKRFQGTNHKVEVLDNLSTGFETNCLDFPLHKCDLSNREEVYSILNN-KFDLVMHFASYINVGESYINPKKYYENNVVNTLNLLNCMVDLKILNFVFSSTAAVYGEPKSNPISENHSMTPVNPYGHTKSIVENILKDYDNAYGLKSISLRYFNACGAHSDGTIGERH--------------------------------------------------------------------------------------------------------------------------------------------------- 137945469 --NRPVLVTGAAGFIGSAVIESLLGDGVSVVGIDNLNDYYDPALKKWMFECIDISDEAAMTSIFASYKPISVVHLAAQAGVRYSLENPSAYIQSNLVGFGHVLEGCRQQEVEHLVYASSSSVYGGNKNLPFAEKQAVHPVSLYAATKKSNELMAHTYSHLYGIPVTGLRFFTVYGP----------WGRPDMAPMLFAKAILAGEPIRVFNHGKMSRDFTYIDDIVE---------------------------------------------------------------------------------------------------------- 139575380 ---------GGAGYIGSHIGELLLKNKHNVRIYDDFSNGLHRRILFTDVINANILDENNLDA--AMANIDVVIHLAGKKAVGESVKFPVKYYENNVIGSKKVLDSMRTNGVKKIIFSSTAVVYLPNQDGIFSESDHLGASSPYGENKIEVENILSEYCKNYQLSSFSLRYFNVVGASTPQL----SDNSIENLVPKVFKALKNEIQPEIYGNDYPTKDGTCIRDYIHISDLSDLHLELINKF-NIGENQIFNIGSGSGYSVKEVFETIDR--------------------------------------------------------------- 134844810 ----NILVTGGLGFIGSNFISKIIDKENFVVNLDNKSFLNFESNQNYRHYDIDIADYQKVNKIIKKYSFDIIFHFAAESHVDKSIENSFKFCNTNVIGTLNLLESYLNRKNKLFIHVSTDEVYGSIKEGFFDENSIYRPNSPYSASKASSDHFVRAYFETHKLPVIITNCSNNFGP----------YQNKEKFIPTVINSLLNKKNIPVYGD------GKNIREWIHVEDHVDGLIH---LSKNGKVGESYCIGSGEEYSNIDIVKKICNNFDSEKLISFVRDRKGHDFRYGLNSSK------------------------------------ 142257608 MMNK-ILITGGSGFIGTNFVNFASKKKVEILNIDKLSTKKILYKNKYSFIKNNLQNVNQIYRILTKFKPEIIMNFAAESHVDRSINNPLYFIQNNINASSNLFLAYSKFYERKLFHISTDEVYGSANQGAFKENDNYEPSSPYSASKGSTDLIAMSFNRTYSTNIKIINLTNNYGP--------YQYPEKFVPTLIFHFLQNKPAP--------IYGSGKNVREWIYVDDSCNAIW---ETVKSNKKFEKINIGSNKRVSNIDIAKMVFKIMKQNNYLKSVKDRPGHDKRYALNTSYFNKTIGYKMKFDLSLGLKNTIEWYIKNITWLKS--- 221272678 MTIKKALITGITGQDGSYLAEFLLEKGYEVHGIDHIYQDRHETNPRFFLHYGDLTDTSNLIRLVQEIQPDEIYNLGAQSHVAVSFESPEYTADVDAMGTLRLLEAIRINKKTRFYQASTSELYGLVQETPQRETTPFYPRSPYAVAKMYAYWITVNYRESYGMYACNGILFNHESPRRGETFV------TRKITRAVANIALGLEKCLYLGNIDSLRDWGHAKDYVRMQWMMLQQDKPEDFVIATGKQITVFSGEGVETVVAINGNHISSVNIGDVIVRVDPRRPAEVETLLGDPTKAKKVLGWVPEITVEEMCAEMVA-------------- 283851095 MKSKVALITGITGQDGAYLAELLLQKGYEVHGIKRFNTQRIDHLYRDPHHYGDLSDSTNLIRIMQEVRPDEVYNLAAQSHVKVSFETPEYTADVDALGTLRLLEAIRIEQQTRFYQASTSELFGKVVEIPQTEKTPFYPRSPYAVAKLYAYWITVNYREAYGMYACNGILFN------------HESPLRGETFVTRKITRAMARIKLGLQDCLYLGNLSALRDWGHAKDYVEMQWLMLQ----QDGPEDFVIATGRQYSVRDFINMAATELGIPCLVAVDPRRPTEVETLLGDPTKARERLGWQPRITIEQMVAEMVR-------------- 238063753 ----RALVLGGAGFIGVHLTERLLADGYRVVVVDDFSRGRDDELRADPAVEVVSADLTRPRAWAALPRCDEIYLLAAVVGVRNVEADPARVIRVNTLTALHLLD--WVEPGDRVFFSSTSEVYPTAEDVPVMITDVTSPRFSYAISKLLGEAAFVHAARARRCAATVGRFHNVYGPRMGT---------DHVIPEMSLRALDGEDPFRVWG-------ADQYRAFCHVDDAVEAVLRLMRCPAAAGEIVHI-GNDAEQTNIGDLAKLVLRVADAAPALQPMPAPPGSVHRRCPDLATLRRLTGFEPTVPLEDGVRRTFDWYRAW--------- 163846745 ----RILITGSSGMIGTNLGLRLIEAGHQVFGVDRRVNPWTDRIPTLLQDLIPQRDFRAGIGGAPYPPCDLVVHLAANAKVYELVTEPHRALE-NINITYNVLEYCRHHEIPII-FASSREVYGDIHRYMTEETDFSFTESPYSASKIAGEALIYAYARCYGLRYIVFRFSNVYG-RFDNDLARM-----ERVIPLFIHRISRGEPVTVYGADKVL-------DFTYIDDCVDGIMCGINRFRGEVYNRTINLAYGEGNTLIRVAELIGEAFGRQPEIIVQPKRVGEVTYYVADIRQARELLGFNPQVPLAEGINRAVAW------------- 220914294 -------ITGGAGFIGSHLVEHLLAAGDEVIVLDDLSTGRLENLKRFRFIEGSILDRATVDKAVAGA--DRVFHLAAAVGVNLIVDHPLESLRTNIHGTEVVLDSVLEAGAS-LLLASTSEIYGKNTSDSLSEESDLKSRWTYAAAKGIDEAFAHAYWRQFGLRVAIVRLFNTVGPRQTGRY--------GMVVPRLVRQALAGEPLTVYGDGHQTRC------FSYVGDIVPAITRISEDERAYGNA--YNLGGNHEISILALAERIVELVGSDSPITLVPYEQADMRRRVPDNTKAFGLVGFDPKTTVDQII------------------- 284030675 -----VYVAGHRGLVGSAIWRRLEAAGF-------------SRLIGASSAELDLRDRAATTAFLAEHRPAVVIDAAARVGGILANRDHPEFLSDNLRIQVNLMDAALAVRVPRLLFLGSSCIYPKYAEQPIRETGELEPTNDAYAAKIAGIKHVQAVRRQYGLRWISAMPTNLYGPNDNFDLTSSHVLPALIRRFHDALVSGAP-------EVVLWGSGTPRREFLHVDDLADACVHLLDHYD---EPEPINVGVGADVTIRELAELVAKVVGYTGAISNDLSKPDGTPRKLLDVSRL-AALGWSPSIGLDEGVAATYDWYLE---------- 284164513 ----RVLVTGGCGYIGSVLVPQLLEDEAEVVVLDSLVSGSPRTLMGSEFVRGDIRNYGDVETAMR--DVDRVIHLAAITGASSTHDRRDETFAVNYDGTENVVTAAGKFDVDSVVFASSCNNYGRAASRNIDETTTPEPLNPYAESKVQAEELVNDAAAEHGFDATSLRMSTNYGYSPG--------VRFNLVVNHFVFRGLTDRPLTVYGD------GNNWRPFIHVQDAARAYKHAALHPDQWAHDVYNVGSTDQNYQISDIAELVNRELDSELEITYEDEQPG--PSYHVNFDRL-SETGFEPEWTLRDGV------------------- 228990887 --GKKILITGHTGFKGSWLSIWLKELGATVIGYDNFNLTNLQN--DIIDVRGDIRDYNKLNKLFKEYKPEIVFHLAAQPLVKYSYEHPKDTYDINVMGTMNILEAIRLHESTKIGIIVTSDKCYENNEWVWRENDPMGGHDLYSSSKGCCELLISSYRNSYPEKKYEHHKKIIASVRAGNVIGGGDWSIGRIIPDCIRALESNQE--------IFIRNTNAVRPWQHVLEPLSGYLLLAEKILTDGGAWNFGPKLNNIVSVKELVTGMIKSWGCGNWINVNPEEFHEATFLNLDISKAKFKLNWEPKWSLQQTLDHTVDWY-KNYKAYSS--- 139632117 LHDKRILVTGSAGFIGFHLTRSLLDDGYEVLGIDNLNDYYDPKLKLSRFEKIDIADRESLTQSFQSFKPQKVVNLAAQAGVRYSIENPYAYVETNLVGFLNIIELCRHAKVEGFIYASSSSVYGGNKKIPFEEDRVDNPIAFYGVTKRANELIAHAYSNLYGLHTTGLRYFTVYG-------------------------------------------------------------------------------------------------------------------------------------------------------------- 142867211 --SKTILVTGSSGFIGFNLSKLLMDNGNNVIGVDSYNNAYDPNFKRLRQFELNLDTKENLESL-EKENIDIVFHLAARAGVRQSFLDPISYIKDNSIATTNIANFVKDMNIKTLVLASTSSIYGDSGQEEMVENEKIEPPSIYAASKLSGEVFAKNILEGTESNVVITRFFTVYGP--------FGRPDMSVLRFIHWVVEGKQ--LKLFGN------GEQSRSFTYIDDVTELLSMCTDLNSN----CTLNVGNNKTSTLNEVIKLIEEFTNKEALIDYQERAYKDPDVVLPSLKNTKNITGWTPKTDISKGIESTVKWYFDN--------- 146339219 LAHKRVFVAGHRGLVGSALVRRLASEGVE--------------LQTVSRGEVDLSDQAAVFAWFARAKPQVVFLAAAVGGIVANNTLRAEFIYDNIAIATNVIHAAHVNGVEKLMFLGSSCIYPKLAAQPLREDAMLEPTNPYAIAKIAGIKMVEAYRSQYGADFINVMPTNLYGPG-DNYHPEYSHVVAALIRRFHEAKLADAPEVIVWGTGKP------RREFLYVDDMADACVHLMKTYSEPG---LVNIGTGEDISIADFAAMVATAVGYEGRIRFDPSRPDGTPRKLLDVGRLTS-LGWSATTSLQDGIRGAYRAYLA---------- 135295849 ----KILITGGAGYIGSHVAKQLLEEDHEITILDNLSTGHKETIRKLTFIEEDLSNLQAIESIIKNNKFQALIHFAASIVVPESVTDPLKYYINNTVNTANLINLCNKYKINKIIFSSTAAVYGDPEEIPIKETAPVKPLNPYGRSKLMNEQIIKDTAKASKFKFVILRYFNVAGADIKNRIGQSFPNATHLIKIAAQTVTGQRENMYIFGQDYETP-------------------------------------------------------------------------------------------------------------------- 136671004 -TQKTALVTGATGQDGSYLVDLLLSKGYRVVALKRRTSLINTDRIDHVFQNYDLLDSGNMHRILMEFKPDEIYNLAAQSHVKVSFDTPEETAEIVGMGTLKLLEAARNICPEKIYQASSSEMFGDNPENPQSEKTRLMPASPYACSKVFAHNLCRNYRESYGMHISSGILFNHESPRRG------------ETFVTRKITQAAARIKMGLQDKLYLGNLDAKRDWGFAGDYVEVMWLMLQ----QEVPDDYVVATGETHTVKEFLEEVFEYAGVEEYVEIDPRRPHEVPLLLGDATKAKEKLGWEPKIKFKELARMMYD-------------- 135610359 --KKKFLITGGAGFIGSHLTEKLVKLGHKVIVIDNLSVGKLKNLKKIKFINKDIRNYNVINKFFK--NIDCVFHLAALADIVPSIDNPDEYYSTNVTGTFNILKACRKHKVPRLIYSASSSCYGIPKKYPTSENAEISPRYPYALTKRLGEELILHFGKVYNLNVTSLRFFNVYGPR------------------------------------------------------------------------------------------------------------------------------------------------------------ 135181190 ----KIYVAGHLGMVGSAIVRVLKAEGQTHIV-------------TRAHEALDLTDQAAVRAFFAENQPDQVYLAAAVGGIHANSTYPAEFIYQNLMIEANVIDAAFRNGVKKLLFLGSSCIYPKLAEQPMREDAQLEPTNPYAIAKIAGIKLCESYNRQYG-ESHGIDYRSVMPTNLYGPGDNYHPENSHVTPALIRRFHEAKVNQAPSVTIWGTG--KPRREFLYVDDMARVHVMNLDKTKYAQHTVHINVGTGMDISIKDLAEVVKTVVGYQGSVVFDPSRPEGSPRKLMDCGLLKR-LGWSANVGLEDGIRRAYE---DFQQSLTLER- 289677518 ----TVLVTGAAGFIGFHVARRLCELGVEVVGIDNLNDYYSQRLPGFTFHRLDITDTEGLYTLFSQNAFEQVIHLAAQAGVRYSLEQPNVYAQSNLVGFINVLEACRQYRPAHLIYASSSSVYGANTRMPFVEDAVDRPLSLYAATKRANELTAYSYCHLYGLRATGLRFFTVYGP--------WGRPDMALFKFTKAMLAGQ--------SVDIYNHGEMARDFTYIDDIVESI-------------------------------------------------------------------------------------------------- 144167055 ----RVLVTGGHGFLGSHVTAAVRARGGDAVPV--------------GSSDADLTDREETRRLFDDVRPVVVLHCAAQGGIGWMKAHPVESGRDNALINTHVLDAAWRSGARAFVGASSACAYPRICPVPFREETPPEPTNPYAESKRLMMSLGRAYHQQHGLHASMAVLANLYGPG-----DHLTPERSHVVAALLQRVVAEPDELVVWGTGAPT------REFLYVEDAADGMLALLDW----GRPDPLNIGTGVEVSIAALAQAVARAAGYRGDIVFDRSKPDGQPRKCLDVSRATRELGWTARTDLDTGLRRTVAWYRQ---------- 142355743 MNKETILITGGAGLLGSRLSDWIVKHHYTVVSIDNLSGGYIENVLDTIFYDADCVDRKQIDDIFNRHKPAYVYHMAAYAAEGLSPFIRCFNYENNLLATANIVNNCIKHDVKRLVFTSTMAVYGHG-SPPFDESHQPTPIDPYGVAKFGCEQDIQIAGEQHGLDWCIIRPHNVYG------IKQNIWDKYRNVLGIWMYQVLNGKPMTIYGDGNQVRSFSYIDDCLEPLWKAAIDYRSSKQIINLGGTKDY--------TINEANDILKSVIGYGETIYLEPR--HEVKYAHPTWQKSVDLLDYSDNTTLQDGLRQMWEWAKE---------- 118473865 ----RALVTGAAGFIGSNLVDRLLADGHQVIGVDNFWTGDPSNLEWATLVKADIQ-APELTDIVAGACPHVVYHLAARTEHDAVAPDPLLDARNNVLGTINVLEACREAGVRRIVYATSGDSRYGD-----------DPVSSHAAAKLSGELYLRAYTEMYGFAPICLALSNVYGPRQR--------------PHGVAALITILASAMVTGRPYVVRDHADAHDFVYVGDVVDAFVRAAHAPIDT--VGTYDISGSTQITATDIHHAIATVLDDAASTGATGEENRELSEKKIDV-NTEYTLGWKPRVGLARGIRHTVDW------------- 136391322 ---------------------------------------------------------------------------------------------------------------------------------PISENNLMAPVNPYGQTKLIVENILRDYDSSYGLKSISLRYFNACGAHSDGTIGERHDPETHLIPLILQAASGRKDKITIYGDDYPTKDGTCIRDYIHVMDLAEAHLLALDRLTQTQLSDVYNVGNNEGFSVREIIEVAKKITNQKMDIEIQSRRKGDPAELIADNQKIKSELNWTTKHSLDNIISSAWQWEQK---------- 226334851 -------VAGHRGLVGSAIWRRLEADGF-----DNL--------IGRSSSELDLRDREAVFEFFEQTKPTVVLAAAKVGGILANNTYPVDFLSENMRIQVNVLDAALEHGVERLLFLGSSCIYPKLAPQPIKEEGHLEPTNDAYAAKIAGILQIQAVRRQYGLPWISAMPTNLYGPGDNFSPQGSHVLPALIRRYDEARHTGLE-------SVTNWGTGSPRREFLHVDDMASACLHLLE---NYDGPDQVNVGTGEDSTIKEIAQIVAEEVGYGGRIEWDTSKPDGTPRKLLDITKLRNS-GWEPKIGLREGIASTISWYRQH--------- 300787321 ----RVLVTGGAGFIGRHVVEALVAGGHEVRVLDALAGSPPEFPDGVEFRHGDLRDESTV--VSALHGVDAVCHQAAMVGRGKEIRDAARYVTCNDLATARLVTAMTELGLGKLRFEVTCPECGLTVDSPVEEDDPLDPRNVYAVTKLAQEHLAGAWARETGGQAVALRYHNVYGPEM------PPGSPYSGVTAVFRTAVERGTAARVFEDGKP------CRDFIHVRDIATANVAALTAANT--GFRAFNVATGDPRSIAEVAAALAAAAGTPPPVITGEYRIGDVRHIVASPRRIKAELGWQPSTGFGEGMAEFAR-------------- 239995077 ---KKALITGVTGQDGSYLAEFLLEKGYEVHGIKRFNTERVDHIYEDPHVEGDLTDSSNLIRIMQEVQPDEVYNLGAQSHVAVSFEAPEYTADVDAMGTLRLLEAIRFEKKTKFYQASTSELYGEVQEIPQKETTPFHPRSPYAVAKMYAYWIAVNYRESYGIYACNGILFNHESPRRGETFV------TRKITRGIANIAQGLEPKLYLGNMDALRDWGHAKDYVRMQWMMLQQDKPEDFVIATGKQISVREFVGEGVKEVATVTAVDNETAPDVIVEVDPRRPAEVETLLGDPTKAKEKLGWVPEITVEEMCAEMVA-------------- 138088069 ----KILVTGVAGFIGFSLCKKLLDNNYDIIGIDNLNSYYSIRLKKFKFYKIDLISNNKLEKLFRNNHFKLVFNFAAQAGVRYSFENPKSYCDTNIHGFNNLLSLIKKYKIPKLFFASSSSVYGDLGPYPKSENDRLNTLNIYSLSKLHNELIAKTYSKDSKTLFIGLRFFTIYG--------EWGRPDMLILKYLSHIKYKKIFELFNYGNHY--------RDFTYINDAIE-IVFKLSRFKFKNNFEIFNVCSSNPIKITKIIKVIEKM-GYKGKIKEKPLHRADVFKTYGNNKKLMKILK---KFNFKDGVKKTVSWYKKYSK------- 136761389 MMNKKVLITGGSGFIGSHLVEHLLATDWDIVVLDGLTYAGDIARMRVQIHWHDLRSPIGEALKNRMGHIDYIINMASNSHVANSLEDPVPFIHSNVMLVTHMLEYARQIKPEKFIQVSTDEVYGAPEEYSHKEWDVIAPSNPYAGSKAAHEAIAYSYWRSYGVPVAITNTMNNFGERQD---CEKFIPMVVKRLLAGETVQVHAEPLPQGGWKSGSRVWLHARNHADALVWMLNNVDFNKHPKDQ-RLTRFNIAGETEIANDEIVRMIAKILNVEPHMEYHSSRPGHDLRYSLDGTKLREA-GWSPPLSIKESFENSILWTLENKIWL----- 170749252 -------------MVGSAIVRRLRELGH-------------ERILTADRRTLDLLDQAAVRRFFAENRIDQVYLAAAVGGIHANNTYPAEFIHENLLIQSNLIDAAHTHDVDRLLFLGSSCIYPKLAPQPMREDALLEPTNPYAIAKIAGIKMCESYNRQYGRRYRSVMPTNLYGPN-DNFHPENAHVLPALMRRFHEAKQEGLAKVTVWGTGRAMREFLHVDDMARASVYVMEMDDAVYAANTRPDLSHINVGTGEDCTIRQLAEALARVIGYAGELAFDATKPDGTPRKLMDVSRLRA-MGWRPEIALEDGLRQTYGWFLENHATLRS--- 136327604 -----ILVVGGAGYIGSHICKVLSKNGYTPIVIDHNIKDKPWSTSFGLAFNLDLQEIQQLPDIIKRYNINSCINLAAYTAVGESVANPTKYYKNNIAMTLQLLDILNTCNVKNFIFSSSAAVYGIPKDGVCKDDDYLIPINPYGKSKLMIESILRDYYKAYDFRSISLRYFNAAGADPDSEVGELREQETHIIPLAIHASYSGKG-FNLFGDDYKTEDGTCVRDYVHVMDLADAH-------------------------------------------------------------------------------------------------- 142586551 LNGKRIFVAGHTGMVGSAIVRLLNN-------ID-------CEILTVSSQELDLKQSSKVDEWFNEYRPDAVFLAAAKVGGILANSSYQADFLIDNLSIQNSVLTNAFKYVKKLMFLGSSCIYPRNAKQPIKETGILEPTNEAYAAKIAGIKLCQFYRKQYGVDYISVMPTNLYGPGDNFHPKNSHVVAALISKFYEAVKLNKE-------EVFLWGTGKPLREFMHVDDLAEGLIFLME---NYSEYEHINIGTGKEISISEFAEIIKNISGWKGKIKFDDSYPDGMPRKIMDINKISK-LGWNPKIELKEGLKQAYKWFNDNYDHIKKK-- 256375867 LRDARVLITGGAGLIGSTIADQLVDEGAEIVVLDNLVRGRMANLATADFVEGDIRDVELVKSTMDGVD---LLFHQAAIRITQCAEEPRLAHDVLATGTFTVLEAAVEKKVTKVVAASSASVYGLAEVFPTEEDHHPGNRTLYGAAKVYNEGLLRSFNEMHGLDYVGLRYFNVYGPRMD------VFGVYTEVLVRWMERIAEGTPPLILGD------GSQTMDFVYSTDIARANVL---AAKSDASDEIFNVASGVETSLAQLADALLEVMGRDLRPEHGPERKVNVPRRLASTKAAKDRIGFEATTSLHEGLTRLVAWWQD---------- 143688763 -----VLVTGGTGFLGSHLVDLLVEQGHDVVVLDNGSRSSLDRCPPGVRIEGDVRSEEAWLAVDEAVGPCGLIHHLGAINGTARFDREADVIDVAVNGALHAIDA-AERWGARLVMASSPEAYGEASTVMHGEGSTFAPPTEHGASKYLVEVMAQAAVQR-GLDVRIARPCNAYGPRASG-------GQNGQVVAMMLERAADGRPLEVHGDGQQTRSFTWVGDVV---DGLECLGRLDDAVDGSGPGSAFNFASSVETSIANLAAHISALTGASVQ-KGQPGHPGDPRHRRPAVTETHKRLGWRATTSLEDGLARTWR-------------- 134629504 ----NLLITGGAGFIGSHTSLLLLEKGFDLIIYDSFRNSSEKVFQRIKVVKGDIRDKKLLDEVFNYYQINAVLHFAGLKSVAESVTNPIEYWDVNLSGTNNLIEIMERYSCHKLVFSSSATIYGSTNSSQISEDYAINPVNPYGHTKAAVEALLNNYFSNEKWSLASLRYFNPVGAHKSGQIGEDPFGPNNLFPFISQVAVGRLEKLKVFGDDYPTQDGTGVRDYIHIMGPCR---------------------------------------------------------------------------------------------------- 134607528 --------------------------------------------------ELSIEDKNSLDLIFKNHKPTIVVHLAAQAGVRYSLLNPDKYIATNLVGFGNILEASRNYEIENLIYASSSSVYGGNTVLPFSESQSVHPISLYAATKISNELMAHAYSHLYNLPTTGLRFFTVYGP----------WGRPDMAPFIFSKSILENTPIDVFN------FGKMSRDFTYIDDIVEAILNCCRKPATKAPYRIFNVGNNNTVPLMYFIQLLEENLGKKAIINYKPLQDGDVVSTYADLENIKNWIGFKPLTSIEEGVEKFSIWFKEYFNNHQDK-- 139540839 ITKKRILITGGAGFIGSNLCEALLSKDNKVVCLDNFATGKRKNILKDPNFFLIEGDIRKLEDCLATKDIDYVLHQAALGSVPRSINDPITSNEVNVSGFLNMLVASRDNGVKRFVFAASSSTYGDSYSMPKVEDIIGKPLSPYAITKYVNELYADIFSKTYGLETIGLRYFNVFGRKQDPN-----GAYAAVIPKFVSQLMTGESP-------VINGDGNYSRDFTYIDNVIQANLLSLVTTNEKAINTIYNVAYGDRNTLNDLAGYLKE--------------------------------------------------------------- 134466821 ----TILVTGGAGYIGSHTLVELLNVGQQVVVLDNLSNSSPESLERVIFVEGDVLDRACLQQLFAAHQIESVIHFAGLKAVGESSQIPLTYYQNNITGTLVLCEEMAKAGVFRLVFSSSATVYGDPASVPLREDFPTSATNPYGRSKLMVEEILRDLAKSDPRWAIVLRYFNPVGAHES---------------------------------------------------------------------------------------------------------------------------------------------------------- 291336874 ---KKVLVTGAAGFIGSHVAEFTVDLGWKTIAVDDLSGGFQQNIKNATFVEVDLKRPAAVAALFKQHGPDYVYHLAAYAAEGLSHFIRRFNYRNNLEASVSLINQCVLNKVKTVVFTSSIASYGAPEIRPFIEETPQQPEDPYGISKLAVEFDLKAAHKMFGLEFVVFWPHNVYGPRQS------IADKFRNAIAIFMNQIMHNEPITIFGN------GEQQSSFTYIDDVAPFIAISPE--VPEAKQQGFFVGSDKYYTINEVAKQTAKAMSSQFRVNHLEARK-EVVDAWASHDKLRCFFNPPPPVTLDVGLARTAEY------------- 140975230 MRNMKILITGAAGFIGMHLSKKILDEGHQVFGIDNINDYYDVKLKLSRLKKADITSK-KINSLFKNFKPQIVLHLAAQAGVRYSMINPSSYIKSNIDGFFNIIENSKNTNVQKFLFASSSSVYGYQKKSPFKESFKVDPLNLYAATKLANENLAYVYNNLYNLNTIGLRFFTVYGP----------FGRPDMSPMIFTNNIIKNKEITLNNEGKMI------RDFTYIDDVVFATYQLLIKENKSKSYELFNIGSQSTISVKKFISIIEKNLGK----------------------------------------------------------- 138665349 -------------------IRHLIQHTHEVLNLDKLTYGNLESLTRYEFVQADIIDQATVSALLARFEPDAIMHLAAESHVDRSIDGPSDFIQTNIVGTYSLLEATRAYWAFRFHHISTDEVYGDLHDDLFTETTPYAPSSPYSASKAASDHLVRAWQRTYGLPVLLTNCSNNYGP--------FHFPEKLIPLVILNALAGKPLP--------VYGDGLQVRDWLFVEDHARAL---LTVVTTGVVGETYNIGGHNEQKNIDVVRGICALLEETDLITFVKDRPGHDQRYAIDASKIERELGWVPE-------------------------- 219847860 ----RALITGINGFVGGHLAEYLLADGWEVWGLSRSATTLPELAGKVPVVQADLSDPEATMRAIVQVRPNVIFHLAGQPFVPESFRDPAGTLATNTLGALHIFLTLIYRMATRVLVIGTNEEYGDPADLPIDEDTPLRPTSPYGVSKAAQSLLALQYHYSHGLDLVRVRPFTHIGPRQ-----NERFVTAAFARQIARIELGLQPPVVQVGNLAA------QRDFSDVRDVVAAYALLAEHGESGA---VYNVGSGRAVMIRELLDMLLAECSVPVEVRLNPMRPIDIPVVVCDASRLRARTGWEPRYTLAETLHDILTYWRQ---------- 13540890 MENKRILITGGAGFIGSNMVEHLLPKN-EVTVIDNLSITDDRYIKKFKFIKKDILNGID------GYHYDIVVHLAADSDVRNGSSNPALDMKVNVEGTISVLEMMRKSDIKDILFASSSTVYGEAKVIPTPENGPLLPISSYGASKLAAEAFISAYASYYGFNALLFRFANVVGKNSTHGVIFDFINKLKNNKK----------------ELEVLGDGTQAKSYIHVEDLIGSMIYVYEHVK---GVEPFNLGNDDVTSVDKIAKFVLEAMGLKDTAIYNGRWPGDVKYAMMDIAKLKK-TGWKNKYSSDEAVKKSIN-------------- 219848581 ----RILITGSSGMIGTNLGLRLIEVGHQVFGIDRRVNPWTDRIPTL-LQDLSIPQRDFRSGIGAPYPPDLVVHLAANAKVHDLVNEPYRALE-NINITYNVLEYCRTHDLP-LIFASSREVYGDIHRYITEETDFSFTESPYSASKIAGEALIYAYARCYGLRYIIFRFSNVYG-RYDNDLSRM-----ERVIPLFIHRIRRGEPVTVYGGDKVL-------DFTYIDDCIDGIMRGIVRLIHGEVNRTINLAYGEGNTLIRAAELIGVALGRHPDIIVQPKRVGEVSHYVADIRRARELLGYEPQVPLAEGIRRAVAWSTEYTRN------ 147921180 MTKKSALITGITGQDGSYLAELLLTKGYEVHGLVRINTARIEHILDKIQVQGDLTDESSLVSAIRQTKPDEIYNLAAQSFVGSSWSQPIFTAETTAIGVTRLLEAIRTTSDAKFYQASSSEMFGKVQATPQNEKTPFYPRSPYAVAKLYGHWITVNYRESFGMYACSGILFNHESPRRGIEFVTRKISDGVARIYHGLQDELR------------LGNLDSKRDWGFAGDYVEAMWLMLQ----QEKPDDYVVGSGETHSVREFCELAFGAAGLDWYVKIDPRRPAEVDLLLGDASKAKKVLGWKPKVTFPELVKMMVE-------------- 134457927 ---KTILVTGGLGFIGSNFIRMLLQEDEKVINLDKQTYGNPENLSGFQNHRGDICDQTSLESILMENEVDTVVNFAAESHVDRSIDGPEPFVQTNIVGTLRLLEATKRQKAFRFLHVSTDEVYGTAEDPAFCESTPYAPNSPYSASKAAADHLARAYHHTFGLPVLTTNCSNNYGP--------YQFPEKLIPLMILNACEGKSLP--------IYGDGSNVRDWLHVEDHCSGILAVLQKGRL---GETYCIGGESEKTNMEVIDTLCSLLD--------ERFPDHAPH------------------------------------------- 67924557 MADKQVLITGGAGYVGAVLVPKLLRAGYEVKVIDLYIYGDNDEVKHHPQIKGDIRSRDLLEKIMPGC--DAVIHLACISNDPSFELDPGLGKSINYDAFLDLVDVAKENGVKRFIYASSSSVYGIKETENVTEDLPLEPLTDYSKYKALCEEVLLE-KREPGFITLILRPATVCGYSPRLRL--------DLTVNILTNHAVNNGKITVFGG-------EQKRPNIHIEDMTDLYVKCLDYPDEAIDGKIFNAGYEN-HKVKEIAEMVQNVVGK--QVEIVTTPTNDNRSYHVSSEKIKQELGFVPQHTIEEASEDLVK-------------- 141112828 ----KILVTGGAGFIGSAVVRLAIKRGHHVVNVDALTYAEVEDHEAYQFVQHDICDREGLHDIFARAKPDVVMHLAAESHVDRSIDGPTTFVNTNVMGTLNMLEAARSYWAFRFHHISTDEVFGSLPQDPFTEDTPYDPRSPYSASKAGSDHLVRAWHETYGLPTLLTNCSNNYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 141712309 ----------------SHLAEKLVSLNHKVIVIDDFSVGRIKNLSQIKNINSSILNKKKISKYFKNV--DNVFHLAAKADIVPSIENPNLYFDVNVKGTLSVLNHSVKNNIKRFIYIASSSSYGIPKKYPTPENSKIDPQYPYALTKRLGEELVLHYSKIYNLNSTSLRFFNVYGPRART---------SGTYGAVFGVFLAQKIANKPY---TVVGNGTQTRDFTYVSDVVDSIIKVCKKKNISGE--IFNVGSGKTVSVNRIVDIL------GGKKIFIPKRPGEPDKTFADIRKIRNKIGWHPKVNIEKGI------------------- 170692069 MTTKTILVTGGAGFIGSHTCVELLNGGYDVVVIDNLVNSKRESLRRVEFHEADARDEAALNRIFDAHPITGAIHFAALKAVGESVAKPVEYYSNNVGSLLALLGVMRDRNVKQFVFSSSATVYGVPKSSPIDESFPLSATNPYGQSKLIAEQVLRDLQADPSWRVATLRYFNPVGAHESGLIGEDP--------------------------------------------------------------------------------------------------------------------------------------------------- 187922826 -KQARIFVAGHRGMVGSALVRRLAADGYQNVI-------------TRSRKELDLTDQAAVNRFFESEKIDVVLLAAAVGGILANATQPGEFIYENLVIETNVIHAAYRAKVERLVFFGSSCIYPKQCPQPIRETSPLEPTNDAYAAKIAGVKLCEAYNREYNTQYVALMPTNLYGPNDNYDLKSSHVLPALLRKAHEAKLNGDA-------TLTVWGSGTPLREFLHVDDLAAATLFVLEHNVTEG---LFNVGVGNDLSIRELAECICKVVGFEGELVFDASKPDGTPRKLLDVSRL-AHMGWQATIGLTDGIASTYR-------------- 115525502 -KGQWALVTGAGGFIAHHLVSRLKADGFRVRGVDIKMPEYA-PTEADEFLQLDLREMENCR--VATSRMDHVYHLAAMGGIGYITGSHASISYNNSTMNLAMLESARIHRVERFLFSSTACVYPSPDVTPLREEDPADPEEGYGLEKLYMEKLCQYYSQDHGLQVRVVRFHNVYGPLGTYDGGREKAPAAMCRKVALAKDP---------GELEIWGDGKQTRSFMYIDDCVEGLRRIMAADYQAP----LNLGTDELVTVDQLADTVIAVSGKRLEKVHDTTKPQGVRGRNSDNSRLRGVLGWEPKTLLRDGIVPTWRW------------- 139709923 LKFMKILITGSAGFIGYNFSKFLLNTDFNIIGIDNFNDYYDVNLKKNRFKKIDICNNKDLKKIFKSEKFDFVFHFAAQAGVRYSIKNPRKYIDSNYLGFFNILENSNLYKIKRLFYASSSSIYGENSKFPLKEKYFTNPKNIYGLSKKSNEELSEFYNRYYGLKSVGLRFFTVFG--------EWGRPDMMMIKYISAFFFKKTFILNNFGNHV--------RDFTYIGDVVKILFLLLKKNKKIQNFDVLNICSSKPIPLKKIIK-IMEMNKIKPKVKKTSLQMADIIKTHGDNRKILKIIKFKKFSSIEDSIKKTIMWYKDY--------- 270492598 ---RRVLVTGAAGMIGRRLVAVLQQRGDAVAGLDDLSSGMAMPPGLEAAAVADVRDTAAVTSMLRDLRADALVHLAAVHHIPTCEMRRMHCLQVNVVGTESVLQAAGEADVRQVVIASSGAVYAWGCEALAEDHSPTEARDNYALSKLCNEGQLRLWCGL--------------GAGRRGRVARLFNCIAHDDPNAHLIPLAQINADPSAEPLLRLGNLEPRRDYMHADEAAAGLAALLDDPRADTAFDVFNLCSGVEHAVGELVSEIGALMGRTPRVEVDPKRPHDRAHQLGDPAKAAALLGWNTRWTLREALRRTLE-------------- 143945573 --NNKVIISGSAGFIGFHLAKKLIDMGFDVIGVDSLNDAYDVRLKNYEFCELNLSNPTSVSELQTLNQAQTFYHMAARAGVRQSFLEPYGYVLDNTVATTNVANFCKLTGVSSLILASTSSIYGDSGENLMREDERIQPPSVYASTKLSGEILAKTILDESDTKVLIPRFFTVYGP----------YGRPDMSILRFIHWIINREEVQVFGNG------EQRRSFTYVDDVVSA----LVKVMNHNSSDTFNIGSNNTVSLNRVIEIIEDSTDIKSIVVNKERAIKDPHVVKPDLSHIKQTLDWEPTTLIEQGVQKTVEWYLEN--------- 139894103 ----KILVTGGAGYIGSHVVLELCNSGYEVAVLDDLSNGNQESIKRAEFILGSTLVKKDVEKALNNVEC--VIHLAAFKAAGESMANPIKYSQNNINGTITLLNSMIKKGIKYFVFSSTAAVYGNPKYLPLDEKHPLNPINFYGFTKLEIERILEWYGKLEDLKFANLRYFNAAGHDPNNRINHIEKNPQNLIPIVMEVASGKRKQVKIFGNDY----------------------------------------------------------------------------------------------------------------------- 147852110 --DRTILVTGGAGFIGTHTVVQLLSEGFTVWIIDNLDNSVLEAIER-------VRDLVGAELSRKLHFNLMVFSSSATVYGQPDKIPCVEDFNLMAMNPYGRTKSGQTPLRQCQCSLIPC----------------LPKYSLIPWLKLFLEEIARDIQKEPDWKIILLRYFNPVGAHESGKLGEDPKGPNNLMPYIQQVAVGRLPELNVYGHDYPTRDGSAIRDYIHVMDLADGHIAALRKLFTSEDIGCYNLGTGQGTSVLEMVAAFEKASGKKIPIKLCPRRAGDATAVYASTEKAAKELGWK---------------------------- 220919837 --SKTILVTGGCGWLGSEIVRTLLARGDSVVATDLAISPALSALLAREPRLADLGEWPQVLRLFEQHRVDAVIHAAAIVGVVQAADIPLKALRVNVEGSINLFEAMRLHGVKRVVHVSTEETYGDFQAPIIDEEHSQKPVSVYGLTKLAVEHYGRVYSRESGLECINVRTCWVYGP---------HLPRLRLPRTFIEAALRGEAFHQPDGGNFAV-------DQVHISDTVAGILLALDKPQHRFDAYNVATGAAPSIVNRAIPGARITVGDCGPYYHVLSARKG-----ALDIRRAEVELGYRPRYDIQRGIEATIA-------------- 139345001 ------LITGGAGFIGSHTLLVLLDAGHELVVLDNFANASPDAAGRLHRVEGDVRSAADLDRAFTAAPITGVVHFAGRKAVRESVENPLLYWDVNLGGTRALAEAMGRHGCDLVVFSSTATVYGEPDAFPLTELMPTPPVHPYAQTKLAAEQMLAALVQSQGWRVASLRYFNPVGAHPSGRIGEDPTGPNNLFPYITQVAAGRLERLRVFGDDYPTRDGSGER-------------------------------------------------------------------------------------------------------------- 303247072 MKNKVALITGITGQDGAYLAELLLQKGYAVHGIDHLYSDPHDTGRRLMLHYGDLSDSTNLIRIMQEVRPDEVYNLAAQSHVKVSFESPEYTADVDALGTLRLLEAIRIEKHTRFYQASTSELFGKVVETPQTEKTPFYPRSPYAVAKLYAYWITVNYREAYGMYACNGILFN------------HESPLRGETFVTRKITRAMARIKLGLQDCLYLGNMSALRDWGHAKDYVEMQWLMLQ----QDAPDDFVIATGRQSSVRDFVMMTADELGIKCLIAVDPRRPTEVETLLGDPTKARERLGWQPKITIEQMVSEMVR-------------- 301164387 -RGKRVLVTGHTGFKGSWLSIWLHELGAEVIGVQDPFTARDNFVLSGIGEKIDIRDGERIKAIFQEYQPEIVFHLAAQPLVRLSYDIPVETYETNVMGTIHVLEAVRSTDSVKVGVMITTDKCYENKEQIWRENEPMGGYDPYSSSKGAAEIAIASWRRSFFHPEQYDKHGSIASVRAGNVIGGGDWALDRIIPDCIKALES--------GAAIDIRSPKAIRPWQHVLEPLSGYMLLAQKMWS--DPTRYCEGWNFGPRAESISTVWDVATEVVNNYGSGELRLSDPHALMLDISKAKFRLGWEPKMNIEQTVELTVDWYKRY--------- 136306322 -----------------------IENGINTIGIDNVNNYYDTKLKNWKFFKFDLQNKNLLLKVFQENKPQIVINLAAQAGVRYSLINPDAYIKSNIIGFYNILECCKISNVESLLYASSSSVYGGNTKIPYSENDPVHPVSLYAATKRSNELMAHSYSHLYGIPCTGMRFFTVYGP----------MGRPDMAPMLFVDAIINRKTLKIFNNGNMSRSFTYIDDVIDILMELIQKPAAKDKSFDPKENKILNIGNSNAVGLLTFINMLEKELGLEAIKNFEVLQKGDVLNTLSDNTIINEWIGNQPKTPINEGIKTFVNWYKNYY-------- 254472862 ---KTALITGVTGQDGAYLSRFLLDKGYIVHGVKRFNTGRIEGIYQDPHEEGDLTDATNLIRIMQEVQPDEVYNLGAQSHVKVSFETPEYTANSDALGALRLLEAIRIEKKTRFYQASTSELYGLVQEVPQKETTPFYPRSPYAAAKLYAYWIAVNYREAYGIHASNGILFNHESP-----LRGETFVTRKITRAAAAINLGQQEKLYL-GNIDAQRDWGHARDFIEGMWMMLQQDQPDDYVLATGTMTSVMAFAHCGTDIEWWGEGVEEQGLCARTIEIDPRRPSEVNELLGDARKARQKLGWEPKTSLEVLVQEMVQ-------------- 143009856 ---KKVIVTGGSGFIGSNLIKFLIKNNYFVINIDKNTYSKNSYILKNIFHKLDINNKKEVLKILKKNKPSAIFNLAAETHVDRSIDSPNQFIHSNIYGVFNLLEAIREYRKIKLIHISTDEVYGDIKDSRSSENDPYNPSSPYSASKASSDHLIMSYVRTFDVDACISNCCNNYGPGQF---------PEKLIPTLIYNILNNRP-------LPIYGKGVNSREWIFVEDHCRGLLAILKK---GKKGESYNIGTGINVNNLNLTKMLLNIVGNNVKIKFVKDRPGHDLRYALNSNKIKKKLKWKPLKTFKSGLIETFLWYQSNYKFFNN--- 254488666 ----TYFVAGHRGMVGSAIMRALEKRGHPPI-----------TIITRDRSELDLTDQPAVRRCLFEMKPDVVILAAAVGGIHANFTYPAEFIHQNLMIAANVIDGAHRAGVQRMLQFGSSCIYPRAAPQPITETGMLEPTNPYAIAKIAAIKLCESYNREYGRDYRSVMPCNLYGPG-DNFNSDYAHVVPALIRRFHEAKEAGASEVTIWGSGRPRREFLHVDDLAIAALFVLDVPLAIHRANTRPMLSHINIGSGSDIPVRELAGMIAKIVGFRGHILTDPSKPDGTQRKLLDNSRL-AAMGWRPKINLEDGLRATYKWYIDN--------- 141253772 ------------------------------------------------FIKADVRSK-KINYIFQKYKIYSVIHFAGLKSVKESEKRKKSYYDNNINGTKNLLNYMVKNGCYKIIFSSSACVYDEKSKSPLNENSSLKPKSYYGITKLKIEKILKDYRKRYKFSCIILRYFNPVGADKTNTLRDNPKKAENIVPNISNVIKGKKKVFNIFGNNYRTKDGTCMRDYIHVIDLASSHVKSL-FLIKKNVFEIINIGTGKPYSVKDMLNCFNKYLRKPIAYNYSKRRVGDVAICYSNIKKQRKILHFRPKYGLNEMVKS----------------- 110636487 MQNSKIYVAGHRGMVGSALVRLLEKKGFKNII-------------TRTSKELDLRDQQQVKLFFQAEKPEYVFLAAAVGGIQANNIYRADFIYDNLIMEANIIHQSFETKVNKLLFLGSSCIYPKMAPQPLREDAVLEPTNPYAIAKIAGIKMCEAYKDQYGCNFISAMPTNLYGPNDNYDLNNSHVLPALLRKFHEAKVNGTK-------TVEVWGSGSPLREFLHVDDLAEACLFLMESYNDKG---FVNIGSGVDLSIKELATLVKEVVGFEGELVFDATKPDGTPRKLMDVSKIEK-LGWKYKIGLKEGITSVYK-------------- 86356412 -KTSKIYVAGHRGMVGSAILRRLASAGYTNVI-------------TRTHADLNLVDQAATVRFLAEEKPDYIFMAAAVGGIHANNTYRAEFLYQNLMIETNIVHAAWQAGVQGMLFLGSSCIYPRDCPQPIREDYLLQTNEPYAIAKIAGVKLCESYNRQYGTQYASAMPTNLYGPNDSYDLNNSHVLPALIRKAHEAKIRGEK-------ELVVWGSGQPMREFLYVDDMADACVFLMENQISEG---LFNIGTGEDVTIRQLAETVMEIVGFEGGIVYDISKPDGTPRKLLNVDRMKA-LGWQARTSLADGIAKAYADFRSH--------- 136809282 -KKQNILITGGSGYIGSCLSLYLKKK-YEITNLDKKNNKHISINI------CDLLNLKKLSDILKKKKPKLIIHLAAQSLVDE-TINKEKYYQNNVMATKNLIIAMKNNNLTNLIFSSTAAVYKYSGK-ILNEKSLIKPKSNYAKTKLQCEKII----QNSKINSIILRFFNVCSSLNINIVGEFHNPETHLIPTIVYKNLLKKKFF-IYGKNYNTIDGTCIRDYVHISDICNAIDRSAIYFKSHKKFQIINIGSSSRNTNMEILQKIEKITKVKSLYNIVDRRKGDVDLLICSNTKAKKILNWEPQKSMNTIIKDEIIW------------- 150400829 ---KKAIITGITGQDGYYLTKLLLEKGYEVHGIVRRNSGNLEHLPKEELEQGDITDNLFMDNVVKAVQPDEVYHLAAQSFVGFSFENPRFTYDVNIGGTLNVTNAVKEYAPSKLYFAATSELYGKVQEIPQKETTPFYPRSPYGVSKLAGFWTIKNYRESYDLFMSNGILFNHESP------MRGPEFVTRKITMAVAKISHGLQDFVELGNLSAKRDWGYAGDYVYGMWKILQHDKPDDFVLATNETHTVREFVENGIDIEWEGEGVNEIGKDANNVNPEFFRPAEVDILIGDYSKAKKELGWEPKVKFEELVKMMVE-------------- 144076252 MMTRTVLVTGAAGFIGAALSQRLLQQGDRVVGVDNLNTYYDPALKQARLCQIELEDGEALMELISAEQPQVVVNLAAQAGVRYSIENPSAYIQSNLVGFGHILEGCRHHGVCNLVYASSSSVYGGNRNLPFDERQPVHPVSLYAASKKANELMAHTYSHLYGLPATGLRFFTVYGP----------WGRPDMAPMLFARAILAGEPIKVFNHGKMERDFTYIDDIVE---------------------------------------------------------------------------------------------------------- 138224587 -----ILVTGGAGFIGSHLVDALLAAGHGVRVLDNLSMGKRSNLPLDRFIEGDVADAEVVARAVAGC--SAVAHLAAVASVQASVDDPVATHQSNFIGTLNVCEAMRQHGVRRVVYASSAAIYGNNEGVAIDEATAKAPLTPYAADKLASEHYLDFYRRQHALEPAIFRFFNVFGPRQD------PSSPYSGVISIFTQRAQQGLPISVFGDGEQTRDFFYVGDLIE---------------------------------------------------------------------------------------------------------- 141163920 ----------------------------------------------------------------------------------ESISNPFIYWEVNVSGTINLLKVMKKYGCNNLVFSSSATVYGNSKKFPIKESFEINPVNTYGNSKATIEKILIDFRNEHNFNIAILRYFNPVGAHTSGLIGESPLGEPNNFPILNKIAASENKKLYIFGNNWPTRDGTCIRDFVHVMDLAEGHLLALEFQRRKNEIVIFNLGSGIGTTVLELVRTFQKVNNVNFPIVYAPKRKGDVAILIADINFAKDTLKWEPKKNIKTMCKDSWKFFLKN--------- 114797456 MTGRRILITGANGFVGGYMIRELVAAGYQHSELHTLTSGAEQSLRIGQAHRCDLRDSASIHRLLQEVQPTGIVHLAAIALPSQAKADPSAAWAVNFEAVRQLGEAVLACSPHVLVFAGSSESYGASFNTVVNEGTALRPLTPYAATKAAADVALGQ-MRNDGLNAVRFRAFNHTGPGQSPDYV-----VASFAAQIAQIIAGDHPPVIRVGNLDA------MRDFVDVRDVVRGYRLALETELDPVSEGVFNLASGTPRSIRSILNTLIDIAGVDIAIETDPARKNDVPRTWGDANRARTELGWVPYLAFEQTLVDTL--------------- 307353554 ---KKILITGISGFVGGHFVDY-INKNHSDFEIYGISRGKPAWDFFHKFYKADLKDLLKIETIIKEIEPDYILHLAAQSSVAESWNTPVESFMNNMNAFLNIIETVRHNELNTRILSVGSSEQYGEEDLPVSEDVQQRPGNPYAVARVSQENLAGVYAKGYGLDICCTRSFNHCGPGQKDNFV-----VSSLVKQFVMIAKGLQEPVLHIGNGAVI------RDFLDVHDVVEAYFSLL---SKGKSGEAYNICSGVGRSIVDIIEMLSGIYGIDVEIRQEALRPADNPRIIGSNKKICRDTGWKPKISFEETLRSVYDYWDSH--------- 15640273 MNKKVALITGITGQDGSYLAEFLLEKGYEVHGIKRFNTQRVDHLYKDPHEEGDLTDSSNLTRILAEVQPDEVYNLGAQSHVAVSFQSPEYTADVDAIGTLRLLEAIRFTKKTKFYQASTSELYGLVQEIPQKETTPFYPRSPYAVAKMYAYWITINYRESYGIYACNGILFNHESPRRGETFV------TRKITRGMANIAQGLEKCLFMGNLDALRDWGHAKDYVKMQWMMLQQDEPRDFVIATGELEFVGKGVDEKAVVKSVIGTKAPAIKVGDIIVAVDPRPAEVETLLGDPSLAKKELGWVPEITLQQMVSEMVA-------------- 269926815 MQERQVLVTGASGFVGPYLVRELKTQGWHVWAL----SRSGAPVEGATPVRADLLDRGAIQHIIQDIRPDVIFHLAAQSSVFSSFEHPIYTIENNTLGAANLLYSALDIDPKPRIIAIGSAEEYGPNELPIDEKQPLAPISPYAVSKAAQTLLALSLHESQELPVTVLRPFNHTGPGQKPRLVIPSIAE-----QIARIEAGLSEPVIRVGN------TESKRDFTDVRDIVKAYVLAVDRSRS---GEIYNIGTGRSVSIQWILEFLVGQSKIDIKVETDPNRPSDIPELRCNPEKFRRDTGWEAHIPLEQTLIDILDYWRD---------- 123443283 ---KKALITGITGQDGSYLAEFLLEKGYQVHGIKRFNTSRIDHIYQDPHEYGDLTDTSNLIRLVKEIQPDEIYNLGAQSHVAVSFESPEYTADVDAMGTLRLLEAVRINHKTRFYQASTSELYGLVQEIPQRETTPFYPRSPYAVAKMYAYWITVNYRESYGMYACNGILFNHESPRRGETFV------TRKITRAIANIALGLEDCLYLGNMDSLRDWGHAKDYVRMQWMMLQQDQPEDFVIATGKQITVREFVGKGIDEIATISAISDEYATDIIVRVDPRRPAEVETLLGDPSKAKEKLGWVPEITVEEMCAEMVA-------------- 143052218 ------------------------------------------------------------------------------------MIDPGKYYKNNVSGTQALLDMCVKAGVENFIFSGSSSVYGERDSEPFAESLTPMPMSPYAMSKHMTELMLEDYSKAYGLNYISTRYFNAAGADPDGTNGYTQEPATHVMPIIIDK-ITNDEVFNICGDDYDTKDGTCIRDYCHIQDIANAKLKAVEHLSNGGESGIVNLGSGSGFSIYDLINSAQNVVGKELKHEVGPRREGDPSYLCGDISKAKTLLDWEPTYSLDDMFAHSKFW------------- 136764800 MKIKKIIVTGGLGFIGSNLIDLLIKKNFKVINIDKVSYSSNDKNKYYKFYKININNKKKILQILFKEKPVAFFNLAAETHVDRSIDGPYSFIKSNILGTFNLLEAIKKYYKFKFIHISTDEVYGDVLKGRSRENSSYKPSSPYAASKASSDHLVYSYFRTYGLPVIISNCSNNYGP--------KQHPEKLIPKLIYNIINNIDLP--------IYGDGKNKREWIHVEDHCNALYKI---YKNGKIGQFYNIGSNDDLSNLNLCNKLIALHKSSSKIKYIKDRPGHDIRYALNSNKIKNQLKWRKRLSLKT--------------------- 142592972 ----NILLTGGAGYIGSHTALSLIENGHMVTVIDNLITGTKRLIPKAKYYNCDIADSSSIKKILKENKFDVVMHFAGLTKVDESVNNPDKYNDYNFEKSKSFFNCCFENNLKKIIFSSTAGVYGDSSSQYVKETDEIKPMNPYAVSKSKVENFLIKKSKSEKINYIVLRYFNVAGADEDKRSGLISKSSTNLIKVICELATKKRKKMTINGNDYQTKDGTAIRD------------------------------------------------------------------------------------------------------------- 136020213 ---KKALITGITGQDGSYLAEFLLDKGYEVHGIKRFNTQRVDHIYQDPHVEGDLSDTSNLTRILRDIEPDEVYNLGAQSHVAVSFESPEYTADVDALGTLRLLEAIRFEQKTKFYQASTSELYGLVQETPQKETTPFYPRSPYAVAKLYSYWICVNYREAYGMYACNGILFNHESPRRG------------ETFVTRKITRGLANIALGLESCLHMGNIDALRDWGHAKDYVRMQWMMLQ----QDEAKDYVIATGKQYSVREFITWSANALGIDIIIKIDPRRPSEVETLLGDPSKAKAELGWEPDITAQEMCREMVD-------------- 148241316 -SRKTALITGITGQDGSYLAELLLEKGYEVHGIKRFNTDRIDHLYQDPHENGDLTDSTNLIRIVQQVQPDEIYNLGAQSHVAVSFESPEYTANSDALGTLRILEAVRITNKTRIYQASTSELYGLVQEIPQKESTPFYPRSPYGVAKLYAYWITVNYRESYGMYACNGVLFNHESPRRGETFV------TRKITRGLARIDAGLDDCLYMGNLDSLRDWGHARDYVEMQWRMLQQDKPEDFVIATGRQESVRCGWGAMQWEGKGIDEVCRADTGDVVVKIDPRRPAEVETLLGDPTKAKEKLGWTPTTTLEELVAEMVA-------------- 159901331 ----RALITGANGFVGQHLVRYLQQATTWE-----LWALGREAHPQLPTVLADLLDRSAVATAVANAAPDLVVHLAAQSAIPQSFRDPAGTFSINVLGQLHLFEAIKSAQDPIVLVVGSNAMYGMAHGLPADENTMLCPADPYAVSKAAQDLLAGQWWYSHGLKVIRARPFNHTGPGQRADFV-----------VPAFAHQIARIEAGLQPPVIQVGNLTPQRDFSDVRDVVRAYHLLLERAQ---PGEIYNIGVGQSVSIQSILDRLIALSGQTITVEVDPQRPVDVPIVACDASRLRSQIGWEPQYCLDDTLSDILNEWRSH---VATEQE 159896837 -----VLITGSSGQIGTNLALRLLADGHEVFGVD------QRVNTWTKAFEYVIQDLGAPYRDFQGGKPDVVVHLAANAKVHELVTYPHRALE-NVMTTYNVLEYCRHQQVPII-FSSSREVYGDIHRYLTEEADFVYTESPYSASKISGEAFIYSYARCYNLPYLVFRFSNVYG-RYDNDIERM-----ERVIPLFIRRMRDGQPVTVFGREKTL-------DFTYVDDCVDGIVRGIERIVSQVANQTFNLAYGEGNTLVRMAELIAEALQIEATINVQPSKAGEVTYYVANIGRARQLLGYTPQVALADGLRRAVAW------------- 254455841 --KENLIVTGGLGFIGSNLIKLLLKKKFSIINIDNVSYSSNFFNLKDKFIKCDINNKKKLVNIFKVYKPLAIFNLAAETHVDRSIDSPKSFIESNIVGVFNLLEAFRKYKKIKLIHISTDEVFGDIIKGRSNEDYPYKPSSPYAASKASSNHLVSSYVRTFKIPAIITNCSNNYGP--------CQHPEKLIPKLIYNILNNIPLP--------IYGDGKNSREWIFVEDHCDALFQVFKK---GKIGEFYNIGSNKNYNNLEITKKLINISGNHVKIKYVKDRPGHDKRYALNSDKINKKLLWKSSTGINKGLKKTFLWYLNNQKYFKS--- 141534680 ---------------------------------------------------GDIRDYDSVFNAIKGCN--VVIHLAALIGIPYSYRSPLAYIKTNLEGTYNVLEASKNLKIKNIIVTSTSEVYGSAQYLPMDEKHPVNSQSPYAASKASADQLALSYYRSFDLPVKIIRPFNVYGPRQS---------ERAIIPTIIKQALQNNKKNFDLGNLNPT------RDFSYVDDTVDAFIKVMKNKKVFGQILNV--GSNKNISILLLCKEIMKLTKNKKLININSVRKSEVENLKCNNKKIRKITNWKPKINLKNGLKKTLEWFKKNENSNSNQY- 144093685 ---KTWLITGVAGFIGSNLLEALLNLNQVVIGLDNFSTGFREQWSRFNFIEGDICDYKLCLKLSKNVN--YILHQAALGSVPRSIEDPITVNASNISGFLNMLHASKENEVDSFTYAASSSTYGDHPALPKVEENIGSPLSPYAVTKYVNELYAGVFAKTFGFKSIGLRYFNVFGKRQNPDGAYAAVIPKWIAAMINDNHV------------CINGDGDTSRDFCYVENTVQMNILSATANEDYKDNV-YNVALGDRTSLNNLYKAISISLGISKKPIYKNFREGDVRHSQADISKSVNFLKYSAEFKIQEGINITIPWYIN---------- 137288132 ----------------------------------------------------------------------------------------------------------------------------------IDEDHPCNPINPYGRSKLLIEHMLADYDAAHNLKFVSLRYFNAAGADINGLIGERHIPETHLIPLVLQTASGRRDDIAIFGNNYGTDDGTCVRDFVHVLDLCDAHLLSLDWLKNGGGSKRYNLGNGNGFSVKEVVNVAKKVTDKSISITNSPRREGDPEILVADSKLIRKELDWKSKYELESIIKHAWQW------------- 135547292 -QKKTILVTGGAGFIGGNLVKRLLHYNFKIYNIDKLGYASDEDKDSYNFLKLDLCDNKSTQDAINHLKPDIIFHLAAETHVDRSINSPYSFINSNINGTYNLIESSYNFWKFRFIHISTDEVFGLDNNGKFNEESPYLPNSPYSASKAASDHLVRAWHKTYDFPVLISNCSNNYGP--------WQFPEKLIPNSIIKAYSNNKIP--------VYGKGNNIRDWLYVEDHIDALLLIADK---GKVGRNYCIGGDEEKSNLEIVSEICNILNKKFVITFVSDRPGHDYRYSIDNS------------------------------------- 136822752 --NKKTIVTGSAGFIGFSLCINLLERGDNVIGIDNHNDYYDPKIKEARHYRIDLSDKQGLNDIFKNHKPERVVNLAAQAGVRYSIENPLAYINSNIVGFANILENCRQHKIKHLVYASSSSVYGANTKMPFSENDSNHPLSVYAASKKSNELMAHSYSYLHQLPTTGLRFFTVYGP----------WGRPDMALFKFTKAILEDKPIDVFNHGKHTRDFTYIDDIVDGIINTNWNSNQPDPSTSKAPWCIYNIGNSKQVQLMDYINALEKALGKKAII------------------------------------------------------- 142905871 ----KLLITGGAGFIGSRLANIASNNGWDVTILDVLSTGNADSLQSKGIEIGDIRDKSLVASLVA--KSTAVVHLAAQVSVPLSVENPEETMEINVQGTQNVIDSCLANGVVRLVVASSAAVYGEAETLPLKEEAAGDLLSPYAESKWANEQQIIEA-RSNGLQASCLRFFNVYGAGQR------PDGAYAAVIPKFADMMAQGIPPRINGD------GGQTRDFVHVDDVCNAIMTLTEGDWKAESHHVYNVATETKISLLELVSVINTSLAKKVPPSHGEDRAGDIRHSMASIGRIR---------------------------------- 300871149 ---KKIYIAGHRGLVGSAIDRVLTKKGYSNII-------------RKTHSELDLRNREDVFKFFEKEKPEWVFLSAAVGGIYANNTYPVDFLLYNLQIQNNIIEASYTYGVEKLMFLGSSCIYPKECPQPIKEEGYLESTNPYALAKITGIELCESYNRQYNTNYIAVMPCNLYGINDNYHAENAHVIPMLIRRFHEAKINNLKET-------VIWGSGTPLREFMCSDDLAEACIYLMENKDAKDIGKFINIGSGKEVTIKELAELIKKVVGFTGEIKLDSSKPDGTMRKLLDVSKI-NALGWKYKTELEDGLKIAYEDFLKNYN------- 289634451 -KQSRIFVAGHRGMVGSALVRQLVAAGYTNVV-------------TRPRSELDLMDQAAVNRFFQAEKIDVVLLAAAVGGILANASRPGEFIYQNLVIETNVIHAAYRAQVERLVFFGSSCIYPKQCPQPIRETSSLEPTNDAYAAKIAGLKLCEAYNCEYNTQYVSLMPTNLYGPNDNYDLNSSHVLPALLRKAHEAKLSGAD-------SLTVWGSGTPRREFLHVDDLAAATLFVLENNVMEG---LFNVGVGADLSIRELAECVCKVVGFRGELVFDTSKPDGTPRKLLDVSRLAQ-MGWQARIGLEQGIAST---YRQFVESYA---- 143351997 ----KILITGGAGFIGSHLAQRLISEGHSVLALDDLSTGNERNIAGFEFVQGSMLDEHLVHTLMEKVHGC--MHLGAALGVQRILERPYESLVANTQGTEIAVKAAAELG-KRFFLASTSELYGKNPVQPLTEESDRVIGSPYSEAKAIDESVVQMFHGSHGLKFVTGRFFNTVGPRQSGAY--------GMVLPRFVGASLKNEPIKVYGD------GTQSRVFCHVSDAVDGVLKI--FFNDQALSHAFNIGGEEEISMKSLAERVIALTGSSSTIEFVPYRAGETMRRVPDTTKLRTTTGWKPQYSLDDII------------------- 135070309 ---KTALITGITGQDGSYLAELLLEKGYEVHGLKRFNTQRIDHIYEDPHHYGDLTDSSNLTRILSEVQPDEVYNLGAQSHVAVSFESPEYTADVDATGTLRLLEAIRFETKTRFYQASTSELYGLVQEIPQTETTPFHPRSPYAVAKMYAYWITVNYREAYGIYACNGILFNHESPRRGETFV------TRKITRGLANIAQGLEPCLYMGNIDALRDWGHAKDYVRMQWMMLQQDTPDDFVIATGELGITMRFEGKGLDEVGIVAAIEALSVGDVIVRIDPRRPAEVETLLGDPSKAKTKLGWTPEITVQEMCAEMVAHDLKN--------- 136159551 ----KILVTGAAGFLGSHLCDSLLSNGHQVVGVDNFFRGKKSNLPNHDFYELDLRNLSQTRIMMDIENPEIVVHYAAINGTKYFYDIPYKVCNDNILLTQNILDSC-GESVKKVVYASSSEVYGPEPKVPTKETDSLSDRDSYASSKAIGEYLVRLWAKENEKNYLIVRPFNTYGPRMATNGYGQVIPEFI-------------ERIKSGEQFYLYGDGKQTRSFCYVTNHTDIMNELIENVDDK----ILNIGFDEEITINELSKVIHEIMGIEFNVIYKEAWKNDTKWRKPDLEELKNCTNYNNFIQLKDGIKKM----LGYPN------- 136808426 -----ILVTGVGGFIGFNFCQKYLKLKAKIIGIDNINNYYSQKLKKKRFFKIDLNNKKKLETIFDKYKISRIYNFAAQAGVRNSIYNPRDYLDSNFTGFFNILELSRNYGVKEIIYASSSSVYGENKKFPLKEKNLINPKNFYGLSKKFNEEMAEVYSNYYNIKLIGLRFFTVYG--------EWGRPDMSIYKIIDSSFRKKTFYLNNYGNHD--------RDFTYIEDVVSIITK---LKFTKTKHVVYNVSSNKPISLKKLLIKINKFINLPK-IVKRKMQQADVVKTHGDNTRVLKLTNFKNFTDVEIGLKNTIEWYKSY--------- 114772204 MNRKKVFVAGHNGMVGSAIVRQLANQNDIEII-------------TRSRKQLDLTNQQAVLEFFRAEKIDQVYLAAAVGGIIANNTYPADFIYENLMIECNIIHSAHIANIQKLLFLGSSCIYPKLAEQPMAESTLEETNEPYAIAKIAGIKLCESYNRQYGRDYRSVMPTNLYGPH-DNFHPDNSHVIPALIRRFHEAKLNNDSEVTAWGSGKPMREFLYVDDMAAASIYVMNLQKNIYSENTEPMLSHINVGTGVDCSIQELVNTIARVVDFEGEIKFDTTKPDGAPRKLMDVSRLKS-LGWEYTMSLEGGLTIAYQWFVDNQNRF----- 237715188 -KGKRVLVTGHTGFKGSWLSIWLHELGAEVVGVAKDPYSEKDYVLSGIGKKIDICDSQRMKEIFQTYQPEIVFHLAAQPLVRLSYDIPVETYETNVMGTINILEAIRVTDSVKVGIMITTDKCYENKEQIWRENEPMGGYDPYSSSKGAAEIAIASWRRFFNPDQYDKHGKSIASVRAGNVIGGGDWALDRIIPDCIKALES--------GKNIDIRNTKSVRPWQHVLEPLSGYMLLTAKIWEEPTKYCEGWNFGPRTSVWDVANDVVKNYGSGGLNDIIPNAPHEARFLMLDISKAKFQLGWEPRMNIHQCVALTVDWYKRY--------- 134927790 ----NILITGGAGFIGSAVVRLAITHGHSVINLDALTYASISDHPNYSFVKMNIRDRENLDAVFSKHKPDAVMHLAAESHVDRSIDEPKNFIETNIKGTFNILEASRKHWQFRFHHISTDEVYGSLPSDPFTESTPYDPRSPYSASKASSDHLVRAWYETYGLPVILTNCSNNFGP--------YQFPEKLIPVVILNALSENPIP--------IYGNGNNIRDWLYVDDHANALLLVLE--------------------------------------------------------------------------------------------- 134533613 ---------------------------------DNLSNSHKIVIRKISFYKVDIRDKKKLNSIFKKHYCHAVIHCAGFKAVGESIEKPISYFDNNIGSTLSLLECMSENKVYKLIFSSSATVYNDKQRLPLKENSKIGTKNPYGTSKYIIERILMELSKFDKWSIRIARYFNPISNHSTGYIKENPKGDPNNLIPYIIKVAEKKLPLNIFGKNYKTKDGTAIRDYIHVMDLAYGHIALLKNNKMKKGLQIYNFGTGKGSTVLEVLKAFEKKTGIRIPYKYTKRRKGDSEATFCSPEKAFKELNWKYKFDL----------------------- 139565300 ---KTILVTGAAGFIGFHLSN-LLCKDYIVTGIDNLNNYYSKSLKKDRFKKLDLCDKSSLKNLFNSNNFDYVINLAAQAGVRYSLENPDAYMQSNIIGFHNLLDLLKEKKIKHFIFASSSSVYGMNKKSIFSRHDFTDPVSLYAASKKSNEVIAYSYSHLYEIPTTGLRFFTVYGP----------WGRPDMAYFSFTKKILEKEIINVFGDGSSMRDYTYVSDVISCIKNIRPPKNNFEYTESKSKFQIYNIGNNNPIKLIKFINTLEEVIG------------------------------------------------------------ 137479756 -----------------------------------------------------LADRAAVARLFEEVRPARVVHLAAQAGVRHSIDNPHAYADANLTGFLNVLEGCRAVGVAHLVYASSSSVYGGNRKTPFSASDPDHPVSLYAATKKANELMAHAYAELYAIPATGLRFFTVYGP----------WGRPDMAAWLFADAIHEGRALKVFNRGEMERDFTYVDDVVESLARLLPLPPAPDPGFDRAPHRVFNIGGGQTVRLMDFIRVLEREIGRPAVLDLLPMQPGDVLSTCADAAPLEAATGFRPRVTVEEGVARFVDWHRRW--------- 134952022 --KKRVLVTGGAGFIGSYICERLIEEGNYVICCDNFYTGNKNNLVKI----LDNKDFEILRHDVTYLEVDEIFNFACPASPVHYQNDPVQTVKTCVHGAINMLGLAKRTG-SRIMQASTSEIYGDPEVHPQSESSIDGPRACYDEGKRCAETIFWDYKRQHNVDVKVIRIFNTYGPRM--------------QKHDGRVVSNFIIQALTDKNITVYGDGSQTRSFCYVDDLVNGIFLMMKKENFPGP---INLGNPSEISINNLAKEIIDLTGSKSKIINKKLPIDDPKQRCPNIDIARKEL------------------------------- 142247208 ME-MKILITGGLGFIGSNLIDYLLKKKNVKKIIDNFSKSSPRYLKSLTDYKVDISNYNF--AVKITKNIDYIIHLAAESGVDTSVKNPEKSFKVNVIGTFNYLNAARINNIKGFIFPSSGAVFGDVK-PPIDENLARNPISPYGSGKLSGETFCETFSKVFKLNTTILRFSNAYG---------RFSTHKTSVIAKFIQNILNNKSVIVNGDGQHT------RDFIFVDDICSAIYISL---TNKKRLQIYNVSTGKETSINNLISTMKKVLKYSISVKYSKQRIGDMKRNFAKSNKINKELGWKSKIELKSGLKKTFGWY------------ 282888091 -KQSRIFVAGHRGMVGSALVRRLSAAGYTNVV----TRSRLE---------LDLTDQAAVNRFFQSEKIDVVLLAAAVGGILANASRPGEFIYENLVIETNVIHAAYRAQVERLVFFGSSCIYPKQCPQPIRETSSLEPTNDAYAAKIAGLKLCEAYNCEYNTQYVSLMPTNLYGPNDNYDLNSSHVLPALLRKAHEAKRSGAD-------TLTVWGSGTPRREFLHVDDLAAATLFVLENNVTEG---LFNVGVGEDLSIRELAECICKAVGFEGELVFDASKPDGTPRKLLDVSRLAK-MGWHATIGLEQGIAST---YREFVESYA---- 260832872 ---KHVLVTGAAGFVGFHLARALLDKQARVFGVDNFDKWSDVRIKQDRAHEGDICDQEYLTDLFGRENFTHVVHLAGRSGVRGQDLDAAGIMENNVECFVTLLEVMKNYKDIKLVYASSASVYGSSSQLPFSPSHVIQPDTIEGLAKRSAELLAQGYCKDFGLRQVGLRFFTVYGP----------WGREDSALNTFIQQLMEGEVLQVFHSREGHPL---ERDYLHVEDAVQGVLSALDFSPVHCEHV-FNLASGQAYSAVDIVALLEQQLNIQAQAVKVLAPPLEVVRMVGDVQCSLKQLGFRPKVSLQQGVGEFVQWALKY--------- 51243877 ---KKALITGITGQDGSYLAELLLEKGYEVHGIKRFNTERIDHIYQDPNAEGDLTDSSNLTRILKEVQPDEVYNLAAQSHVAVSFEAPEYTADVVANGTLRILEAIRFEKKTRFYQASTSELFGEVQEIPQTETTPFHPRSPYAVAKMYAYWITINYRESYGMYACNGILFNHESPRRGETFV------TRKITRAFANIAQGLEECVYLGNMDALRDWGHAKDYVRMQWMMLQQDAPDDFVIATGRQISVRDFKEAGFELEFSGEGLAEVGTVKAIVGEDAPRPAEVETLLGDPTKAKEKLGWVPEITVEEMCAEMVA-------------- 142009693 ----KYVVTGGAGFVGSYLVDRLANEGHEVIVIDDFSNGSLDNLKG---IDVEIRKQNIVTSNIP--DCDGIFHLATVP-RSFSFEDPRKDIEVNCKGMINVLESAKESGAKVVFTSNSGIAGSMDLHTPIDENYPDRPTTPYDADKLVCEHYCKMYHDVYDVKCANVRFATIYGYR----------QVVNEKINWRPLVATFLKRMKNHEKVYINGDGNQTRDLTYVRDAVQGVIKAMNSKVENADVAILS--TTTETSVNEVFDILKEKTGYTQAPIFTDALKGDLKSMKLSYKKASELYGYSPKYTPSQGIDEMVE-------------- 143544292 MYKEKILITGGLGFVGQNLVKCLSKEN-KILVIDVKKVDKDYISKNIEYKKIDIRD-SKLKNIIKDFNPDIVIHCAAQTSVIESINDPKTTKSINVNGTKNIIDSLKELESCFFVFISSGAIYGNPEYLPVDENHPLNPISEYGFSKFEGENIIERNLLESNIKYAILRPSNIYGPGQKTNNVIPIFFE----------------RMTLNKQVIIYGDGSSSRDYIFIYDLVEIIKTICEKKISTNANVS----TNKEIKIIEIFTVLKEKLFYQLDPKFEPIRDGEVEKIYLDNKKIKNLTGFNNFTSLEKGIEEIIN-------------- 136108271 ----RILVTGGAGFLGSHLVDALVERGDEVVVLDDLSRGDKSQVNNDKFVQGDVRLFSDWEKACDGFNPDVIHHLAAVNGTRRFHKEADLVVDVNVNGIRMSLKAAKKYDST-LIFYSSPEAFGEQENMPLRNDSHLHQRHSYGTSKHIGE-LLCQFESRKGLDVRIVRPCNVYGPRLRGDDNG-----------QVVSMMMEANPIVVHGDGLQTRSLTWIHDVIEGLMKVNDASNL--------SGKAFNLGSDDEISMLDLATKIANLRGVE--IVHGESNHGDSKRRLPDLS-MNVEIDWAAKTTLDDGL------------------- 147921593 ----KCIVTGGAGFIGSHLTDRLLEEGHEVTVVDNLSGGQFRFIEHHTNPEFSFVNEDLARDGLAFEGADMVYHLAANPDVRSGVTDTRTPLTQNTIATFNVLESMRAAGVRKIAFTSTSTVYGEAEVIPTPENGPLMPISLYGASKLACEAMISAYCHTFDMQSWIYRFANIIGSRGTHGVIFDFIGRLRKDPSKLTI----------------LGNGRQSKSYLHISDCVDGMLFAV--RNSNAPVNIFNIGSDDRFDVTGIARAVASEMGVEFEYTGGDRWKGDVPFMTLSIAKLKA-LGWKPVHNSEESVRLCVR-------------- 135405371 IENSKILVIGAARFIGSYVVSELLKEKVEVVVYDNFARGKKYYLKDSLKDSGDIREVDILNK--AMEGKDYVICLAAMW-LLHCKDFPRTAFDVNIAGTFNVLEACVKNKIKKLIWSSSASVYGDAVELPMTESHPFNNKNFYGASKIAGEAMCTAFNDRYGLEVIGLRYMNVYGPHQD------QTAAYTGVVPIMLNKIEANESPVINGD------GSQAYDFIYVEDVARCNVQALKSKVKYG---FYNVGTEVQTSIKELCDTILKLKKSNLKVKYNPYNEDDARALVGSKMKAEKELGFYYKYNLESGLKKLI--------------- 121606081 ----KIYVAGHRGMVGSAIVRQLLAAGHAP-----------ENIITRTHAELSLTEQSAVRDFFQAEKPDQVYLAAAVGGIHANNTYPAEFIYQNLMMQANVIDAAFQSGVKKLLFLGSSCIYPRQAPQPMAENAPLEPTNPYAIAKIAGIKLCESFNRQYGA-SHGIDYRSVMPTNLYGLGDNYHPENSHVIPALIRRFHEAKASHAPKVTIWGTG--TPCREFLYVDDMAAASIHVMNLEKATYDLHHINVGYGSDITIAELAQTVGQVVGYQGDIDFDSTKPDGAPRKLMDSSRLES-LGWQAQVNLKDGLKLAYQDFMTH--------- 93005447 LTGKKIFITGGAGFIGSTLIGRLIENN-EMIVYDNLERNTLKSQPFANHKNLTLGNVLDQEKIIEAAKGSEIIHAAAIAGIDNTVKSPVRTMTVNMIGTANALEAAHQATVQRFLEFSTSEVFGSRAYRVDELNSTTEARWTYAVSKLAGEHLTHAYNREHGLPTVTFRPFNVYGPGQIG----------EGAISIMIRKALNNEDIYIFGDGSQIRAWCYVDDMIDALMKALSVPQAIGESFNIGNARAITTIYG-------LAQTICRVLNSKSEIIFREALSADIELRIPNVDKSEELLGFKAQVDLEEGLIRTADWLSAN--------- 229110784 --KKKVFITGHTGFKGSWLTLFLSSLGAEVVGFSSHPPSIPNIFEQGNVAKGDITDYHSLFHAMKQHKPDIIFHLAAQPIVTASYKNPIDTFKTNVLGTVHVLEAAKSVESVRAIINVTSDKCYENDDRAFVENDRLGGFDPYSASKACAEIVATSYQKSF-FRTNTQKLASV---RAGNVIGGGDWAEDRLFPDIIRAYL--------HNHTLYIRNKYAVRPWQHVLDPLHGYILLAEKWNDDKYAEAWNFGPMNERTVHDVIESVIKLWNNPTIISSSTNTPYESPVLTLDSTKAVNKLGWTPKLSTDDAIAWTVDWYKKY--------- 138130418 ------------------------------------------------FVEGDIRDTAAVSAALPGH--DAIVHFAAESHVDRSVTDSHIFVDTNVLGTQTLLDAALKLGIPRFVHVSTDEVYGSIEEGSWNEEEPLLPNSPYAASKAASDLLVRSYFVTHNLNASITRCSNNYGTH--------HFPEKVI--PLFVTNLLDGIPVPLYGD------GKNIRDWLHVDDHCRGIALVLQGGQPGG---VYNIGGGTELSNTELTALLLDAVGRDEFITKVADRLGHDRRYSVDIGKISRELGYAPQVDFTGGLAAVVQWYRDN--------- 92112144 -----IVVTGGAGFIGANLVKALNARGEDVLVVDDLSDG-TKFVNLADCTLGDYLDKDDLARVKAELRIEAIFHEGACSDTTE--WDGKFMLENNFEYSKVLLHFCQYKRIP-FLYASSAATYG-GSEVFVEAPEHEKPLNVYGYSKLLFDQYVRVHWESFDAQVVGFRYFNVYGPR------EQHKGKMASVAYHHHSQVKRGENPKLFGAWDGYEAGMQSRDFVYVGDVVDVNLWCLDHPEVSG---IFNLGTGRAEPFKAIAETVIDATGKIDYIDFPEELKGRYQSYRADISRLREA-GYKAEFTVREGVSAYLDW------------- 141340419 ---------------------------------------------------------------------------------------------------VNILEAAERHGTTDVVFLSSCTVYGQPESMPVDESAMQSAESPYGYTKQACERIITDFAASYPLKAALLRYFNPIGAHPSSRIGELPGHPNNLVPYLTQAVAKLRDPLTVFGDDYPTPDGTCIRDYIHVMDLAKAHVAALDWLGKQATCEAFNLGTGQGNSVLEVIQAFERATGVPVPHQMGPRRAGDVTAIFADASKARRELGWSCAFSLEEALRDAWNWQQA---------- 86748651 LKGKRIYVAGHAGMVGGALMRRLEQEDVETLV--------------AARAELDLRDQAAVNGWFASHRPQVVFLAAAVGGIAANDALRGEFIYDNIMIAANVIHAAHRVGVEKLMCLGSSCIFPKFAPQPLREEALLEPTNPYAIAKIAAIKLVEAYRSQFGSDFINVMPTNVYGPG-DNFHPEYSHVVAALIRRFHEAKMADATNVLVWGS------GTPRREFLYVDDLADACVHLMR---NYSDPQFINVGTGTDLTIAELAKVIASVVGYAGEISFDSSRPDGTPRKLLDVSRL-TGLGWRARTSLQDGIRLAYEAFIDRP-------- 78187711 ---KKALITGITGQDGSYLAELLLEKGYEVHGIKRLNTERIDHLYQDPHHYGDLSDSSNLTRLIAEIKPDEVYNLAAQSHVAVSFESPEYTADVDALGTLRLLEAIRMEKTCRFYQASTSELYGLVQEIPQRETTPFYPRSPYAVAKLYAYWITVNYREAYGMYACNGILFNHESPRRGETFV------TRKITRALANISQGLEECLYMGNLDAKRDWGHAKDYVRMQWMMLQQEEPKDYVIATGVSIRWEGEGKEEVGIVEAVSMTESTLRTGSTIVIDPRRPAEVETLLGDPGKAKADLGWIPQIALDEMIAEMVA-------------- 134730981 ---KKVLVTGAAGFIGFSICNKLLENGINVHGLDNLNEYYDPRLKKAPFSKIDLADAEKVKKLFTSYKPTHVINLAAQAGVRYSLENPHAYINSNIVGFLNILENCKNHSVEHLIYASSSSVYGLNKAFPFSENNVDHPASLYAASKKSNEAMAHSYSHIFKLPCTGLRFFTVYGP----------WGRPDMALYIFTKKILAGEPIDVFG------FGKMRRDFTYIDDIVEGIFKLLDKKP------------------------------------------------------------------------------------------ 239944998 --GSRIFVAGHRGLVGSAVARRLAEDGHEV-----LTRGRDL---------LDLRDAARTETYLKEVRPDAVVLAAAVGGIMANSTYPVQFLEDNLRIQLSVIAGAHAAGTERLLFLGSSCIYPRLAPQPIREESELEPTNEAYAAKIAGIVQTQSYRRQYGASYISAMPTNLYGPGDNFDLETSHVLPALIRRFHEARRDGAP-------EVTLWGSGSPRREFLHVDDLAAACVSLLEAYD---GDEPVNIGCGEDLTIRELAETVREVTGYEGSIVWDTSKPDGAPRKLLDVTRL-NALGFTPKIPLRDGIARTYAWWL----------- 310780459 -KNSKIYVAGHRGMVGSAIVRRLEELGYSNIIYKN-------------SKELDLRRQTEVEKFFKDEKPEYVFLAAAVGGIHANNTYPAEFIYDNLMIQSNIIHNAYKYNVTKLLFLGSSCIYPKYTEQPIKEEHHLEPTNEAYATKISGIQLCKFYRQQYGCDFISAMPTNLYGINDNFDLESSHVMPALIRKFHDAKINDHK-------EVLMWGTGKPKREFMYVDDLADGLTHLM---LNYSEDMHVNMGTGEDIEIGELAQIIKEVVGYEGVIVNDLSKPDGTPRKLLDVTRLK-YTGYTHRVELKDGIERVYRWYLEN--------- 254373156 ---KKALITGITGQDGSYLAEFLLEKGYEVHGIKRFNTQRIDHIYQDPYTEGDLTDSTNIIRIIKEIQPDEIYNLAAQSHVAVSFELPEYTADVNGLGTLRILEAIRLEKKTKFYQASTSELYGLVHQIPQSEKTPFHPRSPYAVAKLYAYWITVNYREAYKIYACNGILFNHESPRRGETFV------TRKITRGLANIAQGLEKCLYMGNIDALRDWGHARDYVRMQWMMLQQQQPQDFVIATGKQISVREFIFSGKGLDEVAKVVSIIGDKAPILRIDPRRPAEVETLLGDATKAKLELGWEPEISLQQMCKDMID-------------- 136478457 ---------------------------------------------------------------------------------GESVDNPLKYYENNVGGTLNLLAAMSLKGVKRIVFSSTAAVYAPSEKLSITEDDLTEPLSPYGQTKLLSEKLISAVAKAENLSAISLRYFNVVGALRD----EFADNSKDNLVPKVFAALKAGKNPEIYGSDYPTKDGSCIRDYIHVSDLASAHLVALEKVFAANVDEVYNVGSGTGYSVTEMINQIAESIDNSITPTLSPRRPGDTAQLIASIAKIKRDLGWKPERSLKEMIYSAWQ-------------- 309784500 ---RKILITGGAGFIGSALVRYIINETDAVVVVDKLTYAGNLMSLRFAFEKVDICDRAELARVFTEHQPDCVMHLAAESHVDRSIDGPAAFIETNIVGTYTLLEAARAYWAFRFHHISTDEVYGDLHSTFFTETTPYAPSSPYSASKASSDHLVRAWLRTYGLPTLITNCSNNYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 152974594 --KKKVFITGHTGFKGTWLTLFLHSLGAEITGYSSPPSSQSNAMKKCRSFTGDITDYDSLLQVIKQNKPNIIFHLAAQPIVTASYKNPIDTFKVNVLGTAHVLEAAKHVDSVQVMINVTSDKCYENDGTAFKESDRLGGHDPYSASKACAELVALSYQ-----KSFFEYSPKLASVRAGNVIGGGDWAEDRLLPDIIRSYL--------HGATLNIRNPHAIRPWQHVLDPLHGYILLAEKLWDNDQYIDAWNFGPNNITVHELIQSVIKLWDKPLNILLTTLQPYEAPILTLDSTKAMSELGWSPKLPIEDSISWTVEWYKKY--------- 144138948 LTSMTILVTGGAGYIGSHTVRALQRQGLRVVVLDNLVYGHREQVLQVPLVVGQVGDRPLLDQLLSGEHPAAVLHFAAYAYVGESVADPARYYRNNLGDSLTLLEALLAEGQRRLVFSSTCASYGDPDQIPIAETCLQRPINPYGRSKWMVEQLIADFAAAYGLPSVIFRYFNAAGADPAGDLGENH--------------------------------------------------------------------------------------------------------------------------------------------------- 142537697 ---KKVLVTGAAGFIGFSICNKLLENGINVHGLDNLNEYYDLRLKKAPFSKIDIADPEKVEKLFRTYKPTIVINLAAQAGVRYSLENPHAYIKSNIVGFLNILENCRNLSIEHLIYASSSSVYGLNKVFPFSETNNDHPVSLYAASKKSNEAMAHSYSHIFKLPCTGLRFFTVYGP----------WGRPDMALYIFTKKILAGEPIDVFG------FGKMKRDFTYIDDIVEGVFSLLD--------------------------------------------------------------------------------------------- 170742161 ---KSALITGITGQDGAYLAQFLLSKGYTVYGVTRRSSAQDRCADRVHCIDGDISDLSSLIRVVRSVQPTEIYNLAAQSFVNTSWMQPVLTGMITGLGCANVLEAVRLAAPDRFYQASSSEMFGRIQQPVQCETTPFYPRSPYGAAKLYAHWMTINYRESFGLHASTGILFN------------HESPLRGIEFVTRKVSDGVARIKLGMARDLRLGNIDARRDWGHARDYVRAMWLMLQ----QDAPDDYVVATGRSVTVREMCEIAFDHVGLSDHLVIDPDRPAEVDVLIGDAAKARRVLGWEPTVSLEEMVREMVD-------------- 225849009 MDKEKIVVLGASGLVGGAIVRKLIEKGYENII--GTYKSRKPNFEKIKLIQVDLTNQLETEKFFKDYQPEYVFLAAAVGGILANNTYKADFIYENLSIALNVIHSAYKYGVKKLLNLGSSCIYPKYAPQPMKETDSLEPTNPYAIAKISAIKLCRYYNEQYGTNFISAMPSNLYGPGLPALIRKFHLAKLLKEGDIEGIKKDFKKHTIGFGKITLWGSGEVYREFLYVDDLADACVFLMENIDAKCADYFVNIGTGEDIKIKDLAVMIRDIVGAECDIVYDRTKPDGTPRKLLDVSKINQ-LGWKYKTSLEEGIKRTYEFYIKN--------- 281357364 ----HYLITGGSGFIGGHLTESLLADGRRVTVVDNYSTGSRENLRAVENHEDDITHPEILESLIR--EADVVIHLAAAVGVELVVKDPVRTILTNVHGTENVLR-HTAHYHKRVIVASTSEVYGKSTQKLFTETDDLHCRWSYACSKLLDEFYLKAFHQASGMPGTVVRFFNTVGPRQTGRY--------GMVIPRFVSRALNGEPIQVYGD------GTQSRCFCHVFDVIRALKLLIGNEASHGET--YNIGSQDSITIGDLAREVIRLTGSSSAIELVPYEKADMKRRMPNTAKITALTGWKPELSLERIIAD----------------- 254294159 ---KRALITGVTGQDGSYLAEFLLEKGYEVHGIKRFNTQRVDHIYQDPHHYGDLTDTSNLTRIMKEVEPDEVYNLGAQSHVAVSFEAPEYTADVDAIGTLRLLEAIRFEKKTRFYQASTSELYGEVQEIPQKETTPFHPRSPYAVAKMYAYWIAVNYRESYGMYACNGILFNHESSRRGETFV------TRKITRGLSNIALGLEKCLYMGNIDALRDWGHAKDYVRMQWMMLQQDQAEDFVIATGKQISVREFIGKGLEEKATVTHIEALNEGDVIVQIDPRRPAEVETLLGDPSKAKEKLGWVPEITVEEMCAEMVQ-------------- 134833403 ---------------------------------------------------------------------------------GESEELPLHYYEVNVGGSVALLKCMSAHRCNSIIFSSSATVYGEPQYLPYDEVHPLAPVNVYGRTKYFIEEIIRDWARAEGRRGTLLRYFNPVGAHPSGKIGENPRGPNNLMPFISQVATGVREQLKVFGGDYDTVDGTGVRDYLHVCDLADGHVAALDYLRNCDGVEAFNLGTGNGVSVLELVSAFEEAYGQAIPYQIVERRAGDVATSFADASRASDLMNWSTKRGVAEMCQDAWNW------------- 140687288 ---------------------------------------------------CDIKNFKKVKNIIRYYKINSVIHLAALLDVNESQKNPKKYYQNNVVGTNNVIKACINSNVKNFIFSSTAAIYCDGIFQ-VKENSKIKPKSVYGKCKLKAEKLIKQKLKKNKINYAILRYFNVCGSGKGYKYGQI-NSYDLLFKNLAKSTTKKNPKINIYGNDYPTKDGTCIRDFIHVSDISKIHIKVLKKISQSNKSFIFNCGYGVGRSVLEVVKKFEKISNKKIKINFKSRRKADLSKIISDNSKLKKVLNWKPEHNLDLMVKSCISWEKK---------- 136728735 ------LVTGAAGFIGSHIVERLIADGHRVIGVDNFASGRPENIAHLLDEETDICDLDTMHKAMRGV--DWVFHIAGIGDIVPSIEAPLDYHRANVDGTISCLEAARANGVKRFLYAASSTCYGLAKQYPTPESHLIQTEYPYALTKYMGEELVLHWGQVYGLSVASLRMFNVYGPRSRTT-------------GAYGAVFGVFLAQKLAGEALVVGDGEQTRDFTYVSDVA----------------------------------------------------------------------------------------------------- 142741709 ------LVTGCAGFIGSHMVDYLLNKGHFVYGIDNLKSGHNLKKKKFKFFNRDLKDFKK--ISNKIKKIDYIFHFAGNGELIPSIEKPYLYFKNNAINSVLLIETIRDKKYKIKKFIYASSTCYGLSKIKTSENSKINIQHPYAFSKYIGEQAIMHWGKIYKIPTISIRIFNAYGPRSRT------TNVYGAVIGVFIRQLISDKPLTIVGD------GTQKRDFLYISDVCRAFYM---AAISKYKNEVFNLGSGNPQSIITLAKMIYK------KKIFLPWRPGEPKKTDANINKIKKYLKWSPKINLKKGISKNIDWWKKAP-------- 143177538 ----KIFVTGCAGLLGSNYSRHLLAAGHEVVGIDDLSGGYKAFVPKGEFVKLNLEKRKKVAELFKEHQPDVLVHFAAYAAEGLSPFIRNYNYRNNLIVSANLINECITYE-TKVIFTSSMAVYGD-QTPPFTEDKRPQPIDPYGIAKYAVECDLKLAHEQFGLRYNIVRPHNVLG------VYQNIWDRYRNVIGIFIRKALNGQPILVYGDGEQTRAFS----------DIKYYMEPFDKLLTDHDGETFNIGADKHFSLNEVVQTIAAKYGYHVNIEHGEPR-HEVKHAYCDHTKAKTILNFEDNTNLNELIESMFVWAMKQPN------- 142944238 ---KRIVITGGCGFIGSHIAEYFFKKKSEIIILDKITYAASLENLIDIKEQDDILNFNKVKKITK--KVDLVIHAAAESHVDNSFRLNDDFVMTNVLGTKNVMQACKENNVKKILHISTDEIYGEIFNGSFNENDRFNPSNPYSSSKAAAEMIVNGYIHSYHLPVIIIRANNIFGTR--------QHPEKLISGCCWSFIKNKKFTIHGKGI--------QKRTFLYVEDLCR----ALEILAKKGKYEAYNIGSPFEYKNIDIVKIIANQNNKKNNTKFIKDRPFNDFRYSINYEKIKK-LGWKPLTKVEDKVEEINNWYKANIKRFVKRFE 288920403 --------TGGAGFIGAHLTRALLARGCEVVVVDDLSTGARSNLLSVKLVLGSVTDRELMEE--ACTGAASIVHLAERPSVERSLLDPLATHHVNATGTLTVLDVAQRSETHAVIVS-SSAVYGDRDGRPCAESDPPWPCSPYAASKLAAEAYALGHQASFGLPVLVVRLFNVYGP-----YQSARAAHAAVIPSFIDAALRGRP-------LNVHGDGRQTRDFTYVGAVAELLAEAAWRRMTHPGP--VNLAFGSRTDLLSLVGHLEVVLGRRLEVAHSAPRTGDIRHSRANTDVMRSLFGAVEGQDLRASLAATAHWHRA---------- 142138503 ---------------------------------------HLDPVADDPRLQVDICDADVLDQVLPGADF--VVNFAAETHVDRSIHGPADFILTNVVGAQTLFDACLRHATPRVVHIGTDEVYGSIESGSWTEDSPLLPNSPYSAAKASAELLVRAYHRTFGLHISSTRCSNNYGP--------YQFPEKVIPLFVTNLIRGRRVPL--------YGDGRNVRDWLHVDDHCAGIDIVVQRGEAGA---AYNIGGGEELSNVELTQAICDAMGVAWVVEHVPDRLGHDLRYSVDDSRLRA-LGYSPKRTFAEGLAKTIDWYQANESW------ 302671933 -KNAKIYVAGHRGMVGSAIVRELNKQGYTNII-------------TRTHKELDLTRQDQVEKFFAEEKPEYVFLAAAVGGIMGNSEALADFMYENMILEMNVIHSAWQNGCKKVEFLGSSCIYPRMAPQPMKEDCLLKTNEAYALAKISGLKYCEFLNKQYGTDYISVMPTNLYGPN-----DNYHPTHSHVLPALIRRFHEAKEQNLPYVTCW--GDGSPLREFLYVDDLANLCVFLM---NNYSGNETVNAGTGKELTIKELTEKVAAIVGYTGEIRWDPSKPNGTPRKLLDVSKAEK-LGWKYTTELDDGIRLAYDDFLHNP-------- 39995734 -RNARIYVAGHRGLVGSAIVRKLTAEGYGNLLL--RTSG-----------ELDLRDQAAVAAFFAAEQPDYVFLAAAVGGIVANNTYPAEFIYDNLMIEANVIHSSYRTGVSKLLFLGSTCIYPKMASQPIREEGPLEPTNEAYAAKIAGISLCRSYNRQYGTRFIAAMPTNLYGPNDNFDLEKSHVLPALIRKFHEAKIAGAP-------TVTVWGTGAPLREFIHVDDVADAALYLMRHHE---GNDIVNIGSGEEISIRDLALLVKIVVGFEGELVFDASKPDGTPRKLSDVSRLHS-LGWRHRIGLEDGVRETYEWF------------ 135295564 --------------------------------------------------RIDLSDQKAIEDVFNRYKPCQVVNLAAQAGVRYSIENPLAYIKSNIVGFTHILEGCRNHKVNHLIYASSSSVYGSNTKMPFSEHDSVHPLSLYAASKKSNELMAHTYSYLYKLPTTGLRFFTVYGP----------WGRPDMALFKFTKAIIENKPIDVFNHGKHTRDFTYINDIVNGIINDDWNSNQPDPATSNAPWRIYNIGNNKPVNLMVYIDALEKILEKKAKINFLPLQAGDVPNTNASIENLKEKFNYNPSTSVIDGVSNFVQWYKEYFQN------ 145588491 --SQKIYVAGHRGMVGSAIVRTLKEKGYENII-------------TRTHAELDLINQAAVQAFFETEKPDQVYLAAAVGGIYANNTFPAEFIYQNLMMESNVIHQAFVSGVKKLLFLGSSCIYPKLAPQPMAEDALLSTNEPYAVAKIAGIKLCESYNRQYGA-SHGIDYRSVMPTNLYGPGDNYHPENSHVIPALIRRFHEAKESKLPTVTIWGTG--TPRREFLYVHDMAAASVFVMDDQQTEPMCSHINVGYGADVTIAELANAVGAAVGYQGNIDFDPTKPDGTPRKWMDSSRLNR-LGWNPLVNLNDGLQSAYQEFLQ---------- 111220942 ----RVLVTGASGFIGGVVTDALAADGHAVTAMVRDRTSAPAFAPGVEVVAADLLDPRQLTAAGVDRGFDGVCHLAALTRVRESRMAPLRYFQANLTGTVNLLTAERTGVAPAFVFGSSCAVYGDVDLTGVPESRPPDPSNPYGASKLAAERLLSHQAGTGLVGAVILRSFNVAGA----VEGHYDRDDSRIIPAAIAVASGRRDVFRVNGDGQALREYVHVRDM-------AAAYHAALTAATPGRCSVFNVGSGVGVSIIDVLAAVGRASGRPVRRIHCPPVL-EPKALIGDSRRIRTELGWSPQSSIDQIVADAWRY------------- 134619502 -TKRRVVVTGGAGFIGSHLVDRLVKRDEEVVVLDNFSSGQIEF-LENSIENITLIDIDLLNEDFAGYGAKIVYHLAANPEVQLGITKPEVMQEQNVDVTERVLEAMKLAGCENIVFTSTSTVYGDAEKIPTPETAELKPISAYGTSKLDAEKLIEKYCKENNFRGVCYRFANCVGPRSNHGVTFDFVNKLR----------------KDNNNLEILGDGKQKKSYFHVEDCISGMLNMAPELCEKGEMVALNVGSKDAIDVITLADQVCKAMKLDSVVDGGRGWKGDVKFMRLDIKALMKH-GWTPQYT------------------------ 170784981 --SMRALITGVAGFVGKYLANHLTEQNVEVFGT---SRNNEAKLPNVEMISLDIMDSQRVKKVISDIKPDYIFHLAAKSSVKDSWLNKKGTFSTNVFGTLHVLDAVRDSNDCRILTIGSSEEYGMPEESPVSEENQLRPMSPYGVSKASVGMLARQYVKAYGMDIIHTRTFNHIGPGQS-----LGFVTQDFAKQIVDIEMEKQEPIIKVGNLEAVRDFTDVRDIV-------QAYWLLSQYGKTGDVYNVCSGIGTRIQDVLDLLLAMANVKIDTELNPLQLRPSEVPTLIGSNKRLKDSTGWKPRIPLEKSL------------------- 135099033 --------------------------------------------------RTDLADGEATAAAVQQADPDLVLHLAAESHVDRSIAGPEAFISSNVTGTFQLLQAVRAHWPFRFHHISTDEVFGLGATGRFSETTAYDPRSPYSASKAASDHLVRAWHHTYGLPVVLTNCSNNYGP--------WQFPEKLIPVVILKAAAGEAIPL--------YGDGLNVRDWLYVDDHVEAI---LLAATRGRLGASYCVGGDGERNNRQVVEAICTLMDHARLISLVKDRPGHDRRYAIDASRIRSELGWQPRHSFAAGLESTVRWTLEHRAW------ 197105552 --SKTILITGGAGFIGRHVAQALLARGDRVRILDSLRARPAELAADAELIVGDVRDEAAVLKALSGV--DQVVHLAAEVGVGQSMYAVDRYTSVNDYGTAVLFQQLIDNPVERVVVASSMSIYGNPDGSWDPLDEQGRPMTPVHIGKFVQERLTLTLTQQYGMGGSALRLWNAYGPGQ-----ALSNPYTGVLAIFASRIANGQAPM-------VFEDGQQRRDFVHVRDVARAFLLALDNP--RADGEVFNIGSGVDRTVEEVALLQAKAMGRPDPEIAGKARAGDIRHCIPDLAKARDVLGYEAREDFAEGLAELAEW------------- 143061907 --GMNILITGVAGLLGSRLADWIIENNHNVIGIDNLSGGYIENIPKVKFYKLDLV-RDSITSVFNEYKFDYVFHFAAYAAEGLSPFIRGFNYDNNLKSTARIVNQSIIHNIKRLVFTSTMAVYGHGENKIFDEEQRPCPIDPYGVAKYACEMDIEIAGEQHGLDWCIIRPHNVYG------IKQNIWDKYRNVLGIWMYQHLNNKPMTIFGSGEQTRAFSYIDDSLEP--------LWLSAIEKKASKNIINLGGIKSVSILEANKILKEVIGDGSTVFFEKR--HEVKHAIPTFKKSIDILNFNHKTDLKKGLIQMWDWAKNQP-------- 72162023 ----RALVTGGAGFIGSHLVDFLLTHGHDVVVLDDLSTGSRTNLPRMRFIHGSVLDDRALRT--AMAGRDTVFHLAALVGARVVGVDPVRAIHVNITGTERVLAAALEQGC-RFLFASGGEVYGRCDGEALRENDDQEGRWCTAASKGLGEYLVTRYAREYGMPAVIVRLFDVTGPRQSA--------DQGHVVPTFVEQALHGRPLTVHGDGSQTRCFCSVRE------VVAALLALIEQPLAYGRAVNI--GATRPVSIRDLAVRVRDLTGSTSPIVSIDHRVDLTRHRTPDTTLAAALIDWRATVDVDTIITEMIADYS----------- 193213094 -AGKKVLVTGHSGFKGSWLVIWLKMMGAEVSGYALAPTSNDNFVLSGIGEHGDVRDFDTLFRVFERQQPEIVFHLAAQPLVRYSYENPKETYDVNVGGTVNVFECCRRCDSVRVIINVTTDKCYENREWVWRENDRLGGFDPYSSSKACSELVTEAFRNSFFNPADVARHGSLASARAGNVFGGGDWQVDRILPDCIRHLER--------GEPIVVRNPHAVRPWQHVLEPLSGYLLLAEKLFENPGVYEGAWNFGPELTVGALVDSVVKVWGSGSRENRNPEAVHEAHLLRLDITKAKALLGWKPIWSIDRAVSETVNWYQQYQSG------ 140166834 -----------------------------VYVIDDLSTGNKNLIPENIKLNCNINDEEQISNLLQSESFDILFHFAGFIKVEESVKNPDKYFVNNTYNAIKLFETCYKNGLNNIIFSSTGAAYGNPNNNSIKEDEILKPLNPYGESKIKTEKFLIE--NSNRFNSIILRYFNVAGADPDLRSGLISKKPTHLIKILSEVAVGKRDKIFIYGDDYKTMDGTAIRDYIHISDLADIHIEVAKYLMHKKVSNIFNCGYGKGYSVLEVINAANKITSNKIKFEYDKRRPGDAEKLVSNVNKLHQNINWKPKFD------------------------ 135669327 ----KIVVTGGLGFIGSNLIELLLKKNFYVINIDNCDYSASEKIRNYKFIKLDLNNKSKLLKILKIHKPKGIFHLAASTHVDRSIENPKDFITNNILATYNLLEAIREYKKYKLIHISTDEIYGDLFKGSASEISHINPTSPYAASKASSNHLVTSYVKTFKLKAVVTNASNNYGPR--------QHPEKLIPKLIYNILNGKNLP--------IYGTGHNIREWIYVEDHC---LALLEIFKKGKIGQIYNIGSGKLFSNLHLCKKILNLSQKKNQIIRVKDRAGHDFRYSLNSSKLKTKIKWKTKTSLVNGLIKTIDWYIKNQN------- 225872252 MSDKRWFVTGATGHLGSFLVRLLLQQGHEVAILRNLWRIHDVENVLL-HVYGDISQPEGWRRQFLDFQPEVVFHLAWE-GVSAADRDAAGQMTRNVTAALRLLELSREARVRVFAGTGSQAEYG-PCSHRIDESLQPKPCTPYGIAKYCLSMLMNQFCEAAGMRALWFRIFSVYGPMDNT-----------------FHMLPTLIQSLLEGRPMALTSGEQMWDYLYVEDAVRAFYAAVANPDAHG---IYNVASGQAVRLRSIMENVRDLIAPSISLGFGEARLGDPAHLEGDIEKLRLATGWSPRVELASGLAETVMWHRK---------- 142635048 ----RVLVTGGAGYIGSITSEVLLKRGHDVVVFDNLSQGHRAAVPDAEWVQGDLASLKEIREAIDQHRPDAVMHFAARSLVGESIAEPFAYLRDNVMNGLNLLDACVAGGVERFILSSTANLFGAPTSAVIDEQAPIAPGSPYGESKWALERALDWLSQTKSLRSASLRYFNAAGA--SEARGEHHTPETHLIPIVLQVAAGKRDHITI---------------------------------------------------------------------------------------------------------------------------- 142964976 ------LVTGGAGFIGSHLVDRLVSKGEKVRVIDNLSSGRIDFIEGGDSVEIVIADLLDIDRLDAMEGVTTVHHLAANPDIRLGTKVTDTDLKQGTIATYNVLESMRKNGVGRISFSSSSAVYGEVEAMPTPESGPTLPISLYGASKLASESLISAWAGTFGATAWIHRFANIVGPRGTHGVIYDFIHKLRSDR----------------GVLEVLGNGLQEKSYMDVEDTVNGMLHIIDSSDE--GVALYNLGTDETVSVSRIAEIVVEASGVDIRYTGGDRWPGDVPRTWLDVGRL-DSLGFEAKRTSEEAVRR----------------- 253578770 -KNAKIYVAGHRGMVGSAIVRELQRQGYMNIV-------------TRTHKELDLTRQDAVEKFFATEKPEYVFLAAAVGGIVANQNALADFMYDNMILEMNVIHSAWKNGCRKLEFLGSSCIYPRMAPQPMKESCLLKTNEAYALAKISGLKYCEFLNRQYGTDYISVMPTNLYGPN-----DNYHPTHSHVLPALIRRFHEAKESGAASVTCW--GDGSPLREFLYVDDLANLCVFLM---NNYSGNETVNAGTGKELTIKELTELVAKVVGYNGEIKWDPDKPNGTPRKLLDVSKATN-LGWTYKTELEDGIRLAYEDFLNNP-------- 159884661 -EPKRALVTGGCGFIGSNFINYIFHVWPDVVNCDKLACYVDEEVRSSERYQLDIRNSEVVRRILNENKIDTVVHFAADCTSTRCYGDPIESVENNVIAFIKLLDAVREYGVKRFIHISTDEVYGDSDLSEDKEDSLLLPGNPYAATKAACESYAHMYRQQFGIPIVILRINNIYGPNQ-------------WDVKVVPRFIGIAKNRENF---TIQGSGKQLRSWLYADDASEGIRAAVER---GAVGEIYNLGTYFELNMLDVAREVQVEVDKPVHFVSIPDRPYNDLRYLIDITKAYDELGWSPKIPFNEGIRRVVA-------------- 254416322 -QDRSVFVTGCTGLLGSWMTQELVNRGANVVGLVRDWVPQSRLFTEGLSKKGRVEDIATLERTLSEYEIDTVFHLAAQTIVGVANREPLGTFEANIKGTWNLLEACRRVGVSRIVVASSDKAYGDQEILPYDETTPLQGEHPYDVSKSCADLICRTYFVSYKLPVCVTRCGNFYGGGDLNFNRIVP----------------GTIRSILRGERPIIRSDSYIRDYFYVKDGVLAYLHLAEQMERQEWGEAFNFSNELQITVLELVEKIIGLMDRKDEPLILNQVKNEIIHQYLSAQKARRLLNWEPEYSLDEALKETIDWYRRF--------- 144136044 -------------------------------------------------------DSEDALELIKNSNPDAIVHAAGTSLVGPSKRDPRTYYQNNFVATKTLVEYLIDNNIKHLIFSSSAAIYGEPVLPLCREEDPPLPMSPYGESKLMTEMMLKSYASAYEVNITMFRYFNVCGA--DSKGRHGQAPAATHIIARILEALRDGGDITINGQDYPTPDGTCVRDYVHVEDVAQAHLLAVEKQIN----GVYNLGTGTGYSNLQILNTLAVASKPDYPVACGESRPGDPAVLIGSSEKFNNLTGWSPSYDLDSIIQTAWNWY------------ 136883015 --------------------------------------------------------------------FDAVLNLAARAGVRFSMVNPHVYLSTNAEGTLNLFECMRKQGCQKVVLASTSSLYAGQKMPFIEDLAVNEPLSPYAASKKAGELMAYSYHKLYQLDVSVVRYFTVFGP----------AGRPDMSPYRFIKWIAEEETIQMFGDG------SQSRDFTYVDDIASG---TIAAIQDVGYEIINLGGGRNPVSLNTIISKLEKLLGKKAKIDHKSFHVADLMETWADISKAKSLLGWEPLVSLDEGLEKSVKWYINNQHWLKE--- 163795689 ---KRVLVTGGAGYVGSVLTPKLLDAGYEVVVFDIQYFGDDTLPKQNPKIKGDLRDSAAFAKAVAGC--DAVIHLACISNDPSFILDPNLSKSINYDCFEPMVVNAKQAGVKRFVYASTSSVYGVSEAPDVTEDHPLVPLTDYNKFKGMCEPLLWKHISDDFIGVTIRPAVCGYGPRMRLDL----------SVNILTNHAVNNGKITVFGG-------SQLRPNIHVEDVSDLYVMLLGLPGEKIQGETFNAGYQN-MSISDIALAVKSVVEEEFPIDIVTTPTDDIRSYHVNSDKIRNKIGFQPKRTVEDAVRGLCQAFRKLPNSLTDDK- 139595975 MKNKKVLVTGSAGFIGSALTLRLLDRGDEVIGIDNHNDYYDPSLKEARHIKLDIEDKGAVDKLFQDYKPQRVVNLAAQAGVRYSIENPLAYINTNLVGFGNILEGCRHNNIDHLVYASSSSVYGSNTKMPFSHDNVDHPLSLYAASKKANELMAHTYSHLYELPTTGLRFFTVYGP--------WGRPDMALFKFTKAILSGEKIQVFNYGKH--------RRDFTYIDDIVEGVIRVLDRPANPN--------------------------------------------------------------------------------------- 135965693 -------------------------------------------------YETDFRDFSLLSKIFKSERRSSVIHLGAMAGVRYSIGRAKLYNDVNINGLINILDCCKKYGIDNFVFASTSSVYGDSSSIPFREENCSFPLAPYPATKRAGELIGHSYYVQEGINFTALRFFSVYGPR----------GRPDMMPFMLLDSTVNKRKIPLFGQGKLKRDWTFVEDIV---------QGIISAVHKNEGYNLINLGRGFPVSMLDFIKVIEEITGLEVAVDYVEKPSSEPSETFADISLANELLNYNPSVNIREGLSKMWEWYKD---------- 143094247 --NDKIYIAGHTGMVGSAIVRRLGELGYRNLVL-------------KTRDEVDLSDYEQVSAFFKQEKPEYVFLAAACGGIGDNLAHPVDFLLDNLAIQNNIIQCSHKYKVKKLLFLGSSCIYPKDCPQPMKETGHLEPTNEYSIAKIAGIKLCQAYRKQYECNFITAQPCNVYGPK-----DNFSQTRGHVIGSLLSKFHSSGDSVTCWGTGVA------RREFIYVEDLADACVFLMQ---NYNDGDIINVGSGVDYSIKELADIIKDVVGFEGEVKWDTDKPDGMMKKLLDVSKLEN-LGWKYKTSIEKGLKHTYEYYK----------- 253566792 ----KILVTGGAGFIGSHLCDLLVCNDNQVVALDNLSRGRKENIMHFSFIQEDLLNRSSLRQIFIQEDFDMVYHLAANSDIQKGSQDPTVDYDLTFNTTFNVLQCMKEFKVKKFFFASTSAIYGETSDWLKENYGPLLPVSNYGAAKLASEAFISAFSSMYNIQTWIARFPNVVGERFTHGVIYDFIHKLQKNPNVLT----------------VLGDGEQIKPYLYVKDLIGGILFICKNSHEEIN--IFNLGSTTRTKVKEIAQMVIDEMGLSASIEYTGGWIGDVPEFRYDLTKI-NTLGWSATYNSNDSVRIAIQ-------------- 116623720 ---KKALITGITGQDGSFLAEFLLEKGYEVHGLVRFTTGRLEHLYIDPHHYGDLSDGGGIRRLLEQIQPDEVYNLAAQSHVRVSFDQAEFTADVVGLGALRILEAVRNNNPIRFYQAGSSEMFGAAA-PPQNEATPFYPRSPYAASKVAAHWYAINYRESYGLFIANGILFNHESERRGETFVTRKITRALTRIHLGLQDKLY------------LGNLDARRDWGHAADYVRAMWLMLQ----QDRPDDYVIATGESYSVREFLERAAAHLGMDWQKVVEQDRPTEVDFLKGDPSKAREQLGWKPEVTFDQLVKRMVE-------------- 134810855 ------FITGGAGFIGSNFAHYISDLWDDVIILDKLTYAGDMDNLYYPVKGVDISYENRLEELFKQYKPKNIFHFAAETHVDNSIKNVAPFVDTNVIGTLNLLNLSVKYDVEMFHHISTDEVYGNLTDPPFTEESPYDPQNPYAASKASSDHFVMSYHNTYGLPVLITNCSNNYGPR--------QHREKLIPKTINNILEGKKIPIYSQGE--------NIRDWIYVEDHCAGL---LGVYHVGRVGHKYNIGGECEMRNIDIVKLIIKLMNSENLIEFVEDRPGH---------------------------------------------- 134873151 FRSKNLLITGGAGFIGSNFIKYLFEKYPSVNILDKLTYASFKNYNQYKFIKGNICDKTLLNKIFKNYNIDGVINFAAESHVDNSINNPGLFFETNINGVYCLLQTAYRFWMKRFHQISTDEVYGSIVKGSADENSKYFPNSPYSASKASADMLVRSFHKTYGLNTTISISSNNFG--------ENQHKEKFIPKIINCI--------KNYKEIPVYGSGKNIRNWIYVLDNCKAI---LKIFNEANSNEIFNVGSNKELSNLDLIKTIFDISDFEKKIKFIDDRHGHDFRYSLNSNKLINELNFSYDYDFINSLKNYIN-------------- 138824845 MLYMKVLITGGAGYIGSMVAHFLTDIGYDVYIIDNLSTGSIKLLPPNKFYKLDIGLGDKVTKIIKDISPKVIIHLAASVEVEQSIINPLDYYENNISKSISFLKSSIESNIKNLIFSSTAAVYEIPKSQKIKESHNKNPKSPYGYSKLVLENIIKNASQKYKFKSIILRYFNVAGADIKLRTGQIKEPATHLIKIACEVATNKKNSISIFGNDYNTKDGTCIRDYIHIADLA----------------------------------------------------------------------------------------------------- 158521295 -KDAVIYIAGHRGLAGSALVRQLEAQGYT----DLLTRTHAE---------LDLTCQADTESFFQAHRPAYVFMAAAVGGILANNTFPAEFIYQNLAIQTNIIHAAYRAGVRRLLFLGSSCIYPRECPQPMKEGHPLEPTNPYAVAKIAGIKMCQSYNRQYNTRFVAVMPTNLYGPNDNFDLETSHVLPALIRKFHEAKKSGAKD-VVVWGTGKPRREFLHVDDLAHAGFIMNLPDADFDRLAGGSGAPLINIGTGKDISIAELAGLIKEITGFEGDIRFDATKPDGTFQKLLDVSR-MTALGWQAKTDLRTGIQSTYQWCLDH--------- 134772867 -----ILVTGGAGYIGSHSAVALHQAGFTPLLLDNFDNSSMQCNADIPFFQMDIRNGEKLSRIFDELQIQGILHFAAHKAVGESVHQPSKYASNNVGGLGTLLEVAAAHDVRNIVFSSSCTVYGEPEDVPVAEGHFQDASSPYGWTKQASERVLTDHAATHKHRVALLRYFNPIGAHPSARIGELPLGPNNLVPFLTQTVAGIRNELTVFGGDYPTNDGTCVRDYLHVMDLAEAHVAALQWCLDNEDDAHV---------------------------------------------------------------------------------- 142346736 ----KIYVAGHTGMVGSAIVRRLRELGYRNLVL-------------KTRKEVDLCDYEQVAALFKQEKPDYVFLAAACGGIGDNVAHPVDFLLDNLAIQNNIIKCSHKYKVKKLLFLGSSCIYPKVCPQPMREEGPLEPTNEYSIAKIAGIKLCQAYRKQYDCNFITAQPCNVYGP-----------KDNFSQNTGHVIGSLLNKFHVGGDTVTCWGTGVARREFIYVEDLADACVFLM---MNYDDGDIINVGSGVDYSIKELADIIQGIVGYEGEVKWDTDKPNGMMKKLLDVSKLEN-LGWKPKTSLENGLK------------------ 142975844 ----------------------------------------------------------------------------------------------NVAGTVHLAEGALAAGVQQMVFSSSASVYGNPRTTPIAEDAEIRPENVYAESKATMERVLSWYGETHDLRSVSLRYFNAAGASLDGVIGENWSVTTNLVPLVMKAALGVSGPVQVFGNDYPTPDGTGIRDYIHVEDLARAHVAALDYLAAGGASTTLNLGTGVGSSVIDILDRTANEAGHEVPYEIVERRVGDPAVVFADPSAAERVLGWTSKLTLDDIVQSAHAWH------------ 229014762 -----------------------------------------------------------ITKLFEEYKPNIVVNLAAQAGVRYSIENPDVYIQSNVIGFYNILEACRHFPVDHLVYASSSSVYGANKKVPFEETDFDNPVSLYASTKKSNELMAHTYSHLYKIPATGLRFFTVYGPMGRPDMAYFGFTDKYF----------AGDSIKIFNNGDF--ENDLYRDFTYIDDIVEGIQRLLSNPPKGDEHKVFNIGNNNPEKLMVFIETLEKVLGKAFEKVFEPIKPGDVPATYASTDLLQKAVDFKPETSIEKGLQEFANWYVKYY-------- 138268537 ----NILVTGGAGYIGSHVVMHLCKAGHDVVVIDDLSLGDKKAVSKATFIQGSILNKEDLNRCLN--DIETVIHLAAFKSAGESMKNPQKYSENNILGTLTLLQWMIEHRINNIIFSSTAAVYGYPEYLPLDEKHPLNPINFYGFTKLTIENMLGWYHKLLGMNYVILRYFNAAGYDKSERITSREKNPANLIPAIMEVASGDRDKIDVFGDNY----------------------------------------------------------------------------------------------------------------------- 144113414 ----------------SNLVETLLGIGHTVVCFDNESSDAHDKPYWNNNIRGDIRDYTLMSSAMEGVN--YVFHLAAEARIQPAIENPINAVSVNDLGTATVLQCARENQVKKFMFSSTSAAYGRNDSPNI-ETQSPDPLNPYSVTKLNGENLCRMYTELFGLPTVIFRYFNVYGPRQ-------------PVRGQYAPVLGIFKRQKDAGEPLIVGDGNQRRDFVHVEDVARANVMALADPGQDAYGEVYNIGAGKNFSVNEIAEMFM------HEKTYIAPRPGEARVSLANNQKMRNTFGWTPTHDLE---------------------- 138320030 -------VTGAAGFIGYHLSKRLLHEGWRVIGLDCMSDYYDVSLKQRRHEKVET--PNVLFNVLEQERPNVVIHLAAQAGVRYSIENPRAYLESNINGTFELLEAARAFSPEHMLLASTSSAYGANKDMPYKETIKADNMSFYAATKKSTENMAHSYAHLFDLPITMFRFFTVYGP----------WGRPDMALFKFTRAILNGDPIDVYNNGIMRRDFTYIDDLVENQKFHSSNTDVNDSKSPVAPFRIINIGNSKPVQLLDFISAIEQVLGKKALKNFMPMQAGDVPATWAD--------------------------------------- 217978854 MTGK-ALVTGASGFLGRALVPALLASGASVIAAGRGQSPFAAH-PRLAWRRIDLADPAALREITSGV--DCIYHLAWSTVPSEASLSPAEDARANIVGSLRIIESIAPGAAPRLIFASSGAIYGRLRQAPASEDHPLNPISAYGLSKRTVEAYLDLFADTIGLRPASLRIGNLFGPG--------QNPERLFGAVTQFSKAALTGAPIIL-----FGDGSTVRDYVYIDDAVDAL---IRAAQAQNSSRALNIGSGEGRSLNDIIACLEAQLGRPVKVERRPPRPFDTPLSILDPSRARREIGWSARVSFEDGVARTLK-------------- 282164200 ----KCVVTGGAGFIGSHLTDRLLAMGHDVTVIDNLSGGRLEFIEGHPDDRFNFIDISGDGAIKELKGADIVYHLAANPDVKLGAENTKVHLKQNIIVTYNVLESMRELGVKNIAFTSTSTVYGEASVVPTPENGPLMPISLYGASKLSCEALISSYCHTFGMASWLYRFANIIGDRSTHGVIFDFIQKLKKDPAKLTI----------------LGDGRQSKSYLHVGDCVDAMLFAVEK--EHGPVNIVNIGSEDRIDVTAIARIVIELNGVKLEYTGGTRWAGDVPFMGLSIERMKS-LGWKPEHNSEESVRMCVR-------------- 136872098 ----KILVTGSAGFIGMHVCHALLKSGIKVVGIDNVNNYYSTTLKKFKFIKLNLKNKNKLKKIFISEKFTHVINLAAQAGVSYSLKNPYSYIDSNIVSFLNVLENCKNYKIKHLIYASSSSIYGLNKKVPFNENDNVHPISLYAATKRSNELMAHTYSHLYSLPTTGLRFFTVYG--------EWGRPDMAIYIFTESIINNKSININNYGNMY--------RDFTYISDIVKPIIKLLNTFESSAPFNLFNIGNNNPVKLTKLVEIIEKILNRKAIKHLRGMQKGDVSTTKADTKRLKKI-------------------------------- 220923106 -SGKRVLVTGSTGFLGSWMVRTLRESGALVVGVRDLNAYGDDLAKPNFVVHGRLEDLETLRRAVNEYEVDTVFHLAAQPIVGTALRDPVGTFEANIRGTWNLLDACRYGKVERILVASSDKSYGHSDVLPYTEDMPLIGRAPYDVSKSCTDLLARSYFETYNLPICITRAGNFYGGGDLN----------------FNRLVPGTIRWALRGERPVLRSDTLVRDYIYVRDVVAGYLAIGEAMHEPGAGEAFNLSNETPLSALAFTNEILRACDLEPVILAEARSEIDAQHLSA--EKVRRIVGWTARWSTADALAETVAWYRSY--------- 218296663 ---KRALITGVTGQDGSYLAELLLSKGYEVHGIIRFNTSRIDHLYRDPHEYGDLTDGTGLRRILEKVQPDEIYNLGAQSHVKVSFEQPEYTADVVATGTLRLLEAVRWGRPVRFYQAGSSEMFGAAP-PPQNEKTPFYPRSPYAASKVAAYWYAVNYREAYGLFIVNGILFN-------------HESERRGETFVTRKITRAAGRIKMGLQKKLYGNLEAKRDWGYAPDYVEAMWLMLQ----QPEPDDYVLATGEAHSVREFLEEAFGLLGLDPHVEIDPRRPTEVDFLLGDASKAREKLGWQPRVTFKELVRRMVE-------------- 254432423 ---KTALITGISGQDGSYLAELLLEKGYVVHGIKRFNTQRIDHLYQDPHEYGDLTDSTNLIRIVQQVQPDEIYNLGAQSHVAVSFESPEYTANSDALGTLRILEAVRITEKTRIYQASTSELYGLVQEIPQKESTPFYPRSPYGVAKLYAYWITVNYREAYGMYACNGVLFNHESPRRGETFV------TRKITRGLARINEGLDECLFMGNLDSLRDWGHARDYVEMQWRMLQQESPEDYVIATGRQESVRRGWGPIQWEGKGVNEVGRRDTGEVVVRIDPRRPAEVETLLGDPTKAREKLGWTPTTTLEELVAEMVA-------------- 135012091 -KNKKILITGSDGFVGSHLTEKLIDLGANVTIVVRGTSNHGTSSYHNKCKKIICCDISSTDIIRHIIKPKIIFHLAANAYVPYSFEHPLEVNEANVVGTLNILEAARQIKGERIICTSSSEVYGTALTKKINEHHPLNPTSPYAASKAAADRYCFSYIQTYGLPIVIIRPFNTYGPRHTYDVI-----------PKFIKMAINNEDITIHGDGKQSRDLTYVSDAVHAFLIVAKKKQCLKK--------VINFGTGKDYSINFLAKEIKKISKSKSKIIYISKRKAEVQRLTCDA-KLCISLGWKHKIDINKGLKLNIEWALENWKN------ 260222086 ----------GAGFIGRHLVRAFLAQGYRVQVLDR-NPCPQEFQGAVHWSVGDYHDRTALTQALTGAALAY--HLVSSTVPGDLHVDVARELHDNVVGSLNFAEVCSQAGVARMVFASSASVYGVQTQFPIGEGAATNPISAHGVHKLAVEKFLLIAQRERGLEARILRLANPYGPGQSLQGRQGFVAIAIGCLLNRQALTLRD-------------AGTMVRDFIYVTDLAEA---MLRGGVRDALPSVINLGAGLGHSLREVVDTVQELTGQTLSVVAAPARAVDIPLSVMDIALARQVLDFEPATSLADGIAKTLR-------------- 87301059 MTSPTALITGITGQDGSYLAELLLEKGYRVHGLKRFNTARIDHLYQDPHERGDLTDSSNLIRIIGEVRPDEIYNLGAQSHVAVSFEAPEYTANCDGLGTLRILEAVRLTSTTRFYQASTSELYGLVQETPQRETTPFHPRSPYGVAKLYAYWITVNYREAYGMYACNGILFNHESPRRGETFV------TRKITRGMARIAAGLEPCLFLGNLDSRRDWGHARDYVEMQWRMLQQPTPEDFVIATGRQESVRRGWGGIHWQGQGLEELGRRDDSAVVVRIDPRRPAEVETLLGDPSHAHARLGWAPTTTLEQLVEEMVA-------------- 89900029 MTQQKIYVAGHGGMVGSAIVRTLQQQGQTNIV-------------TRTHAELDLTNQAAVQAFFEEEKPDQVYLAAAVGGIHANNTYPAEFIYQNLMMEAHIIHSAWRNGVQKLLFLGSSCIYPKLAAQPMREDAMLEPTNPYAIAKIAGIKLCESYNRQYG-QSHGVDYRSVMPTNLYGPGDNYHPENSHVIPALIRRFHEAKVSKAPTVTIWGTG--TPRREFLYVDDMAAVHVMNLDPAIYSAHTQHINVGCGSDITIAQLAQAVSQAVGYQGNIDYDPTKPDGSPRKLMDSSRL-NALGWRAKVALEQGLMQAYQDFLQH--------- 140045922 ----RILVTGGAGFIGSAVIRLAIQHGHSVINVDSLTYAGNLANLNYKFEQVDIRNKSDLDEIFKIHQPNAVMHLAAESHVDRSIDAPSNFIETNVYGTFNMLEASRRYWVFRFHHISTDEVFGDDPTDMFHENTPYNPQSPYSASKASSDHLVRAWHGTFGLPTIITNCSNNYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 135443056 ----TVFITGGAGFIGSNFAHHMSE--YDVVILDKLTYAGDVDNLYPPVKGVDLAYENRLEELFNQYKPRFIFNFAAETHVDNSINNVHPFIDSNIIGTVNLLNLSVKYGVERFHHISTDEVYGNYDDPPFTETTPYNPQNPYSASKAASDHFVMSYHNTYGLPVVITNCSNNYGPR--------QHIEKLIPKTICNIMSNKKIP--------VYGKGENIRDWIYVEDHCKGI---LDIFYGGKIGEKYNIGGECEVRNIDLIKMIIKLMGASQ--------------------------------------------------------- 46200722 --TKSFLLTGGAGHLGSLLARRLADQGHRVFSLVR-RGGHALRLEDLGDREADVSDAASLKAAVEAARPDVVFHLSSSVFNP--PPTLATHLSTNVSGAANLIEALEALPRTQIIYASTAAIYGNANRAP--EDQAPAPATWLGASKASASLLFAAHARMTGRPITEFRIYTPFGP-----------------WERITRLIPQIIFSALDGKPIRTTEGRQTRDYLYADDLIDLLELAVDKPRD--GWRAYNAGAGEGVPVRTIVSTVLELMGNPVEGLFGPTRPDEIMEMTADISRAKAEFGWQPTTSLREGLTRTVGWFTTN--------- 27380534 ----NVMVTGGAGYVGSVLVPHLLAAGHKVTVLDLFMYGESRNNPNLRLIKGDIRDQAAINEALRGNN--AVIHLACISNDPSFELDPALGKSINYDCFRPMVRAAKKAGIKRFIYASSSSVYGIKDEAEVTEELSCEPLTDYSKFKAMCETDLAD-EAASGFVACTVRPATVCG--------YAPRQRLDVVVNILTNLAVNTGRIRVFGG-------TQRRPNLHIEDMSAAYLFLLQQDDAKIDGKTYNIGYEN-HSLMKIADIVKSVVGNNVDIAVEP--TDDLRSYHVSSEKIRRELGFAPTHTIEQAVSGLVDAFKRLPNSLND--- 143684582 ----RVVVTGGAGFLGSHLCEALLLDFNEVCVIDNLENCKASAAEMGIPFHIVMKDASNPESFEIALRVDVVYHLAAINGTRWFHERPDLVVRVNHDTLENALN-FATSQGARLIFTSSPEAWGEQTTMPLGIHSHIHQRHSYGASKYIGELLVQHAIRTHSIDARIVRPFNSYGPRLPGD-------SHGQVVSMLLEKCISEEPMEIHGNGTQTRCFTWVEDVVRGIKLVGELEIGIDGTELSGRA--FNLGSIVETSILELVEMCIEVSGSTIQPIKTSAHPGDTSRRLPDISESQQALGWNAEVPLDEGIERTWAWMKN---------- 117924729 --KKRALITGVTGQDGAYLAEFLLQKGYEVHGVDHLYQDPQVASRDFVLHYGDLSDSTNLIRIIQTTQPDEIYNLAAQSHVAVSFETPEYTANVDALGTLRILEAIRIEKKSRFYQASTSELFGKVQEIPQKETTPFYPRSPYAVAKLYAYWITVNYREAYGIFGCNGILFNHESPKRGGTFVTRKITRAMCRIKLGMQDCLY------LGNMNAKRDWGHAKDYVEMQWLMLQQDEPVDYVIATGEQYSVRVGKELEMSIRWQGEGIDEVTSGKTVVRVNPRRPTEVETLLGDPSKARRELGWQPRITFQELVEEMVA-------------- 136767415 ----KVLVSGAAGFIGSNLVLRLLERGDTVIGIDNHNNYYDPAIKEDRHLRIDLSDRKAIEKAFQEHRPQHVVNLAAQAGVRYSIENPLAFINSNIVGFAHILEGCRNHKVKHLVYASSSSVYGANTTMPFSHDNADHPLSLYAASKKSNELMAHAYSHLYGMPTTGLRFFTVYGP--------WGRPDMALFKFTKAILAGEKIPVFNYGKH--------RRDFTYIDDIVEGVIRVLDRP------------------------------------------------------------------------------------------- 136891175 ----HILVTGGAGYIGSHTVVQLLQNDYKVTVIDSLVNANPKSLDRVRFVKVDLVNKDELDKALAANAPDACIHFAGLKAVGESVKLPLLYYHNNLTGTFFLIETMKKYGCQKIVFSSSATVYGTAA-PPLTESSQVGITNPYGRTKFMLEEVFRDVVKSDDMGVVLLRYFNPVGAHPSGKIGESPNGPNNLMPYVQQVAVGRREKLTVFGDDYETHDGTGVRDYIHVQDLAAGHLAAL-KKLDENNTGCFTFNLGTGT-------------------------------------------------------------------------- 119358778 MKKKVALITGVTGQDGSYLAEFLLDRGYEVHGIKRFNTQRVDHIYQDPHEQGDLTDTSNLTRIISEVQPDEVYNLGAQSHVAVSFEAPEYTADVDAIGTLRLLEAIRFTDKTKFYQASTSELYGLVQEIPQKESTPFYPRSPYAVAKMYAYWITVNYRESYGMYACNGILFNHESPRRGETFV------TRKITRGLANISQGLEKCLFMGNLDSLRDWGHARDYVKMQWMMLQQEQPEDFVIATGIQYSVRDFIGTGINEKGIVKNIQALKIGDIIVEIDPRRPAEVETLLGDPSYAKQRLGWVPETKLDDMISEMV--------------- 140630290 ----KVLVTGADGFIGSHLVEMLLSVGHDVRALDSLDPAMKSDL---DVVLGDIRDPLCVREAMR--DCEMVFHLAALIAIPYSYVAPSSYVDTNIHGTLNVVQAARDLGIARVVHTSTSETYGTAQFVPISEDHPLVGQSPYAASKIGADQIALSYWRSFETPVAVLRPFNTYGPRQS---------ARAVIPAIITQIAAGLKQIQL-------GALSPTRDFNFVADTCAAFIKLAGCDMALGK--VVNAASNFEVSIGDTAALIATVMNADVEITTDEQRLSEVNRLFGDNTRLCELTGWQ---------------------------- 140717833 --------------------------------------------------KIDICDKDAFFSVFRKYSFDAVIHFAAYKSVGESVNNPLKYYQNNLIGLMNMLEACETFSVSRFIFSSSCTVYGDPEKQVFEISAKSHPSSPYGFTKWMGEQIIEDLHKSSSFKTICLRYFNPIGAHPSGLIGELPVGPNNLLPYITQTAAGIRPYLTIFGNDYPTPDGTCIRDYVHVCDVADAHVQAL-TIEPSTQLSAFNIGTGKGTSVLDMVKHFNKVSGKNLTYKFGPRRSGDVTEIFA---------------------------------------- 136163372 --------------------------NYEVNVLDDLSNGYLENINTANFINGTILDELALEKALEGV--EAIFHLAAKIRVEEGEAKPDLYKSVNVDGSLKLINKCKQIGIKKFLFASTAAVYGDPTDFPVTEESEVKPVNVYGKTKLEIDEFLVKNSINLGMSSISFRFFNVGGANKDGR-WLKIKHEGATHLIPSILHSSGSTPLSIYGNDWPTKDGTPTRDFVHLNDLVDALVKSLNHLESLG-HQIINLGTANGTTVLEMVLAAEKALNKKINYKFASRRVGDSYALVTSNSKAKQTLNWQPNRNIIDILQDA---------------- 226227375 ---RRVLVTGASGFVGSWLLPALSAEGADVFGLTDVPAGRGVPVMSIQWRSGDLRDDRFVQRVVDEAAPDVVIHLAAISHLPTAAADPAAAWDINVTATARLLHHGRADPVILLAGSAEQYGRDASHGALLSEDARQAPRTVYAATKAAQEVLAFQCWRATGLRTMVARSFNHSGPGQ---------PPRFLLPALVARARGLTDAAP--GTPMPVGNLSPIRDFLHVCDVVAAY---ISLCRQGTPGEAYNVASGTGWSVREVLDRVVARAGIRAVPTEDPSRPVDVPALIGDPRKLQRATGWRATRSLDDIIDD----------------- 296123645 ---KRALITGITGQDGSYLADLLLEKGYEVHGLMRRTSSRIEHLLCGNQPRVDLGDTTSIQRVLKEAQPHEIYHLGAQSHVHHSFTQPLYTADVVALGTLRLLESAIQTQEVRFYNAASSEMFGHATIVPQNEETPFHPRSPYACSKVFGYHQTINHREAYGLYAANGILFNHESPRRG------------EQFVTRKITLGAARIKVGLQKKLALGNLDAQRDWGFAKDYVEAMWLMLQQPVPGDYVVATNETHTVREFLEETFRLLD--LDPAEYVEIDPARPSEVPVLKGDYSKAEKELGWKPATNFKELVRLMVE-------------- 253687706 --KKRVYVAGHRGMVGSAIVRQLQTRNDIEIVV-------------RTRDELDLTSQNAVRDFFAEENIDEVYLAAAVGGIHANNTYPAEFIYENLMIESNIINYAHQSGVNKLLFLGSSCIYPKLVEQPMKETDVLEATNPYAIAKIAGIKLCESYNRQYGRDYRSVMPTNLYGEN-----DNFHPENSHVIPALMRRFHEAKVSNAP--EVVVWGSGKPMREFLFVDDMASASIHVMELDKTVYDSHHINVGTGVDCTIGEMANTMAKVVGYQGNVVFDASKPDGTPRKLMDVSRLKQ-LGWQYKIELEEGLLKTYNWFLANQSSFRK--- 194335705 ---KKIYIAGHRGMVGSAIVRNLLAGG--------LSS---DNLLFRTHAELDLTSQAAVRAFFEGEKPDQVYLAAAVGGIHANNSYPAEFIYQNLMMEANIIHEAWRVGVQKLLFLGSSCIYPKLAPQPMPETGPLEPTNPYAIAKIAGIKLCESYNRQYG-ESDGTDFRSVMPTNLYGPGDNYHPENSHVIPALIRRFHEAMVSGDAVVTLWGTG--TPLREFLYVDDMAAIHVMNLDKATFDANTTHINVGSGKEVTIRELADTIAMVIGFQGGIAFDASKPDGSPRKLMDSSRLNN-LGWQPKVGLEEGLRLAYNDFLLH--------- 88812283 ---KKALVTGVTGQDGSYLAELLLEKGYEVHGIKRFNTQRVDHIYQDPHVEGDLADSSNLTRILQQVQPDEVYNLGAQSHVAVSFEAPEYTADVDAIGTLRLLEAIRLDKSTRFYQASTSELYGLAQETPQTETTPFHPRSPYAIAKLYAYWITVNYREAYGMYACNGILFNHESPRRGETFV------TRKITRGLANIAQGLESCLFIGNMDAQRDWGHAKDYAYAQWLMLQQEQPDDFVIATGIQHSVRDFIGEGVNERAIVAGILKVGDVIVRVDLRYFRPAEVETLLGDPTKAKQKLGWEPEITVQELCVEMVA-------------- 143627435 ---KSI-VTGGAGFIGSNLVDRLVQEGHKVIVLDNFVSGKKRNLSHHSKQNVDITNNKNLNKYFKDVN--YVFHLAGLAEIVPSIKNPRKYFINNTLGTLNILEAAKKVKIKKFIYAASSSCYGLPKKIPTLETEKIDTNHPYAFTKFLGEKIVINYANLFKMPNISCRFFNVYGPRLN------MNGQYGAVFSNFLKQKKNKKPLTIVGNGKQT------RDFIHVDDLTNAFVKLSRSSL---KNKIYNLGSGKETSINQIAYII----------------------------------------------------------------- 136273630 ----KIVVTGSCGFIGYNFINSLNQIS-EIVGIDSLNDAYDNNLKKFKYVQLDFSESSDFEHEYIFENCDALVHLGARAGVRQSFNDPERYLLDNTLGTTNLSLQVKKHNIPKFIIASTSSIYGDTGNKFAVENEDEQPPSIYASTKSFGEILAKNILEKSKVNIQIPRFFTVYGP--------FGRPDMSILRFIHWIYRGEK--------VILYGDGEQRRSFTYIDDVVDGLLKLLKY----NNSGTFNFGSNQTWSLNEVIKMIENNLDRKADIRLEERAYKDVDVVLPDLNSSEEVLNWKPTTTIKDGINKTVNWYLDNKENLKN--- 141719983 -KQKTALVTGAAGFIGFHISRKLLDEGWRIVGLDCMSDYYDISLKEERERILKVETKGILMDLFKGEKPDVVIHLAAQAGVRYSIENPRAYLESNIQGTFELLEAARAYPPGHMLLASTSSVYGANEGIPYNESDKDHPVSFYAATKKSTETMAHSYSHLFNLPITIFRFFTVYGP----------WSRPDMAPFKFTKAIFDNDHIDVYNYGDMSRDFTYIDDLVNGMDIIPVASSTEEGNLSVADSRIVNIGNSKPEKLLDFIEAIEKAIGRKASRNLLPKQPGDV--------------------------------------------- 136831305 -SNSRILVAGSNGLVGSAIVRCLKNRGY-------------KFVIEATRNEVDFTNQVQTESYFGSVEPEYVFVAAAVGGIMANKTMPADFIYKNLMIQSNIINYSYEYGCKKLVFLGSSCIYPKHPQIPITEDQFLEPTNDAYAAKIAGIKMCQAYRQQYGFNAISLQPTNLYG------IKDNFDPESSHVIPGIMRRMHEAKLND-DSEFLCWGDGSPLREFLYIDDMAEACYACM---MDYNDPEIINIGTGYDISIKELTEVIAEVVEYHGDIKWDTSKPNGTPRKVMDVDKVLN-LGWKPRVDIVEGLTKTYNWFKENYDRI----- 136607557 --NKKIFIAGHNGMVGSSIHNLLKSQGYTNI-------------LTAERKELDLTNQRMVQDFFIKNTPDYVFLAAAVGGIHANNSLGGDFIYENIMIQNNVIHHASKNNTKKLLFLGSSCIYPKAPQIPIKEESILEPTNKSYAAKIAGIEMCQSYRKQYGFNAISIMPTNLYGPKDNFSLENSHVLPALLRK-FHDAKLNNDKNVTLWGD------GSPYREFLHVDDMAKATLLCME---NYDDSEIINIGVGEDIQIKELSSMVSEIVGYEGKILWDESKPNGTPRKLLDNSKIIN-LGWKPEITLEEGINRTYSWFVDNLENIKN--- 300313697 -----IFVAGHAGLIGSAILRALQQAGYR-------------KLITRTRAQLELRDKQQVDAFFEAERPDYVMLAAGVGGILENQSFPADFINANLAISLNVLEAAHRCGVKRLVMFGSSCMYPRETAQPMAETAHPEPTSPYAIAKLAGVQLCLAYNRQYGQRFIPLIPNSAYGPN-DNFDPKSGHVLSSLMARFHQAKLDGAEAVTLWGSG------TPRREFIHADDIAAAALLMMREQL-PALTLPLNVGSGSDISIRELAQAIAGVVGYEGRLEWDSSKPDGALRKLLDSSLMHQQ-GWRPQVGFAEGLRDTYAWYVQN--------- 136519788 ----RVFITGGAGFIGSHLADHYVNAGHTVTVLDNFSTGSQSNIAHITIVDGDIRNIELIESLTK--DSDLVLHMAAALGVTTILESPLESISTNITGSEVVLNAAAKHN-KRIIIASTSEIYGKNTKQPLNETDPQKIRWTYSDAKAIEEAMAFALHQEKKLPVTTVRLFNTVGPRQTGRY--------GMVVPRFVQAALKNDPLTIFGD------GTQSRVFCHVDDAVQAIVAMAANDATIGD--VFNVGGTGEVTIKQLAEKVIALTGSKSPIIYDAYPAGDIQRRVPDISKVKSAIGWAPSKNLAQIISD----------------- 222479532 MHDQRVLVTGGAGFIGSNLANRL-AADNDVIAVDDTYLGTPENLDDNEFVEADVIDDDF------PADVDVLFHLAALSSRNMHENDPQRGCRVNVEGFVNAVERARQEGCETVVYASTSSIYG-NRTEPSPVDMDVEARTAYEASKLARERYAEYYGNYHDMAMAGLRFFSVY---QGFGGNEKHKGEYANTVAQFADAIANGEAPELFGD------GSQTRDFTHVSDVARACELAADHEL----TGVYNVGTEEAYSFNEMVAMINDALGTDIDPVYIECPDGYVHDTMADYSTFHEATGWEPEIGFEEGVE------------------ 33861816 MRN---LITGGAGFLGSHLVDYLMNKGEDVICLDNFSTGSKDNILWIGNNRFKLINQNIIYPFF--CEADRIWHLACPASPLNYLNKPIETLNTIFLGTDNILKLSKKINA-RILIASTSEIYGNPKISPQKETNPISKRSCYVEGKRVAETLSFEFKRIHNIDLRLVRIFNTYGPRM--------MKNDGRVVSNFIYQGLNNKPLTIYGNGLQT------RSFCYVDDMIA----GLSRAMNSNYSHPINLGNPEEITIKNLAQKISLNLNKKLNLQYLKLPEDDPIQRKPCIEVAIQELKWQPKISLNNGLDKTIHYFVE---RFKNER- 212693279 -RGKRVLVTGHTGFKGSWLSIWLHELGAEVIGVDNFVLSGIGQRIKAD-IRADIRDGERIKEIFRQYQPEIVFHLAAQPLVRLSYDIPVETYQTNVMGTIHILEAIRFTDSVKVGVMITTDKCYENREQIWRENEPMGGYDPYSSSKGAAEIAIASWRRSFFQPAYEKHGKSIASVRAGNVIGGGDWALDRIIPDCIKALEA--------GKPIEIRSPKAIRPWQHVLEPLSGYMLLAQKMWNEPVKYCEGWNFGSITNVWDVASMVIENYGSGELKDLAPDALHEAKLLMLDISKAKFQLGWEPRMNILQCIALTVDWYKKY--------- 136575145 -EQMKYLITGGAGFIGSHLTDALLDRGDSVVIVDNLETGSAKNLAGVIDHTGSILDADLMDSLVG--RVDHVLHLAAALGVFNIVNKPLESLVTNIRGTEVVLEAVAKHKKPML-LTSTSEIYGKNSAGPLNEESPLKSRWSYSEAKAIDESFAYFSAQENGFPIRIVRLFNTVGPRQVGHY--------GMVVPRFVAAALAGEPLMVYGTGA------QSRCFCHVADAVAGILKVIDSDAAIGE--VFNVGNNKEMTIAQLAQAVIDETGSTSKIEYLEYEKADMERRVPDISKMERVLGWTPKRSLAEII------------------- 134547348 --SKKILLTGGLGYIGSHIANLLKDK---AVIIDNMSNSHKRYLKNAFIIKKDV-NYKNLTNIFKEYEIEGVIHLAGYKSVLNSIREPLKYYYNNFVQTLEMLDAIDKFKIKKLIFSSSATVYGNKNLCPIYENSTLQGINPYANTKIQIEKLLEDYCQANNFKAVSLRYFNPIGCDLASGLTDQPVGEPQNLVPILINSIINKKKLKIFGNDYNTPDGSCIRDYIHVCDLAEAH-------------------------------------------------------------------------------------------------- 139051545 -------------------CWSLLQNDHEVVVIDNESAESNDAFNWAENHMVDIRDFDACRPLFEGV--DYVFHLAAHSRIQVAMENPRECLETNYLGTYNMLECARQVGASRFINSSTSSSYGLLNDPPLHESMETDCLNPYSASKVGAETLCHMYYRLHKLRTITLRYFNVYGPRQ-------------PLKGQYAPVIGLFEEQKKRGEPLIVGDGEQRRDFTHVNDVVRANMCAMMTNYS---GININIGTGKNHSVNEIASYISDNT------VNIPERPGEARETLANIERARTLLDWEPTITLED--------------------- 239907315 MKGKVALITGITGQDGAYLAELLLKKGYEVHGIKRFNTQRIDHLYRDPHHYGDLSDSTNLIRIIQQVRPDEIYNLAAQSHVKVSFESPEYTADVDALGTLRLLEAVRMEKHTRFYQASTSELYGQVVETPQTEKTPFYPRSPYAVAKLYAYWITVNYREAYGMYACNGILFN------------HESPLRGETFVTRKITRAMARIKLGLQDCLYLGNLSALRDWGHAKDYVEMQWLMLQ----QDAPDDFVIATGRQHSVRDFVKMAAAELGISCLVAVDPRRPTEVETLLGDPTKARERLGWVPKITLEEMVTEMVR-------------- 142358537 ----KILVTGAAGFIGHNVVRFLEQQGHEIFGIDNRTNYELEYLFKERTRRGDIRNGNDINQRIGMFNIKTIIHLASFPRQKVVEQNPVLASEVMSTGLINLLEAARTHKVKRFVYISSSMVYGDFEND-VSEDAQCNPIGQYGIMKYMGEQLVKDYARKYGFEYVIIRPSAVYG--------EYDVEDRVVSKFMLSAMRGETLKVKGAGEVL---------DFTYVEDAAMGIAQATTSKNSENKIYNITRSDKTLTTLKDAAHLAIDITGRGN-IEILDRDLSFPKRGRLNIERAINDFEYNPQVNVEEGFRRYYDWFQK---------- 142478889 ----NILLTGGAGYIGSIVGLFLIDKGHEVTVVDNLVTGNQKFIPKKANFNADIADEKKISDLLKNNKFDLVMHFAGLVKVDESFNFPDKYNLYNVDKAKSFFKSCIEAGLNKIIFSSTAGVYGKSVKNEVDEKDPLEPLSPYSRNKIEVEKYLIDLSQKKKINCVILRYFNVAGADYKNRSGMVSKNSKNVIKAVCEFALEKREEFSINGTDYSTKDGTPIRDFIHVSDLADIH-------------------------------------------------------------------------------------------------- 142240819 ME--KILVTGAGGFIGGYLVKELLNKGYSVRAVD------IKPLKEWYQIFNDVLDMSIKDNCFKMAKGVSVLNMACKMGGMGFIENNKTECMLSVLVNTHMLMACKEHKVKKYFFSSSACAYNKPDISGLKESDAYNPEDGYGWEKLFSERMCKHFLEDYGLDVKVARYHNVYGPNGTYDGGKEKAPAALCRKIITANN-------KNLDSIDVWGDGKQTRSFLYIDDCIDATLQFF----NSDHHGPINIGSEEKVSINEMIEKIEKICGKNFKKNYQLDKPKGVRGRTSENTLIRKLLNWEPKYNLFEGLKKTYSW------------- 225619095 ---KKALITGITGQDGSYLTELLLEKGYEVHGIDHLYTDPHINDVRMFLHYGDLSDSSNLSRILEKIQPDEIYNLAAQSHVRVSFDMPEYTADVTGLGTIRLLDAIKETQIKKFYQASTSELYGKVVETPQTEKTPFYPRSPYACAKVYSYWITVNYRESYDMYACNGILFNHESPRRGETFV-------TKKITHAIARILNKEQDKLY-----LGNLDSKRDWGYAKDYVEAMWLMLQ----QEKADDYVIATGETHSVREFLDEAFGLVGLDWYVEIDPRRPAEVDLLLGDPTKAKEKLGWKPKTTFKELVKIMLEYDLK---------- 135549784 ----RFLVTGGVGFIGTNLIKRLLKDGHDIVSLDNYSTGLKKNEQDGAYHNLDISDYKNFDQFI--EGIDLVFHLAALPRIQPSFKNPKTTFKANVQGTINMLDCCKEKKIP-LVYAGSSSTHGGVYK------------NPYTFTKWQGEELCKLYSKLFYVKFSVCRFYNVYGP-------------YQLTEGEYCTVIGIFEKQKNNGEVLITGDGQQRRDFTHVDDIVDGLIKCGIELKTNDNSKANGETFELGRGINYSINEVANMFGGE--KKYIDQKPGEVRDTLCVDLKAKEILKWNPKENLEDYIKK----------------- 136549556 MKNKNVIVTGGLGFIGSNLIDLLLKKNFYVINLDKVSYSSNKNNKNYKFIKCNILDKRKIINILKKEKPKAIFNLAAETHVDRSIDTPYPFIESNILGVFNLLETIRNYYKFKFIHISTDEVYGDILKGRTKENYPYKPSSPYAASKASSDHLVYSYFYTYGLPIIITNCSNNYGP--------KQHPEKLIPKIIYNIINNKDLP--------IYGDGKNSREWIYVTDHCEAL---LKIYKNGKIGEFYNIGSNTNINNLKICSKLIKVFKKINYIKFVKDRPGHDRDMLLIVIRLKIIL------------------------------- 148655987 ----RALITGINGFVGGHLAEHLLSSGWEVAGIARQPALALETLTRVTYVAADLSDREQTLRALASIRPDVIFHLAGQSNVPHAFADPHTTVQMNIGAQLNLFLSVLQLRIDPLIIVASSNEIYGPEDLPVNEQTPLRPVNPYAVSKAAQDLFAYQYHISHRMRTVRLRPFNHIGPRQTEAFV-----VPAFAAQIARIEAGLQPPVLRVGNLAAERDFSDVRDIVRAYELAALHGEV---------GAAYNVGSGQAVGVQRILDILLTFSTHDIQIEPDPMRPSDVPRVVCDASRFHADTGWTPRIPLEQTLFDTLEYWR----------- 229012578 --KKKVFITGHTGFKGSWLTLFLTSLGVEVIGYSSHPPSTPNLLKECITIEGDITDYDSLFHAIKQHKPDMIFHLAAQPIVTTSYKDPIDTFKTNVLGTVHVLEAAKYVESVRLIINVTSDKCYANDNRAFVESDRLGGYDPYSASKACAELVATSYQKSF----FHTNTLSLASVRAGNVIGGGDWAENRLFPDIIRAYLQDRP--------LSIRNKNAIRPWQHVLDPLHGYILLAEKWKHKEYAEAWNFGPINERTVHDVIQSVIKLWNKPLTILSPTNTPYESPILTLDSTKAVNKLDWTPKLSTENAIAWTVEWYEKY--------- 282862478 --GARVFVAGHRGLVGSALARRLTADGHEVV-----TRGRDE---------LDLRDVAATGRFLRDVRPDAVVLAAAVGGIMANSTWPVQFLEDNLRIQLSVIAGAHAAGTPRLLFLGSSCIYPRRAPQPIREDSPLEPTNEAYAAKIAGIVQTQSYRRQYGASYISAMPTNLYGPG-DNFDLETSHVLPALIRRFHEARRERAPEVTLWGS------GSPRREFLHVDDLAAACVRLLEAYD---GDEPVNIGCGVDLTIRELAETVREVTGYQGRIAWDASKPDGTPRKLLDVSRLTS-LGFTPRIPLRDGIARTYAWWLGQP-------- 134291905 -KNTRIFVAGHRGMVGSAVVRNLDARGYVNVV----TRGRSE---------LDLTNQNAVEEFFRTEAIEVVVLAAAVGGILANDTYPADFLYLNLMIEANVIHAAYRSGVQRLVFLGSSCIYPRDCPQPIKEGPLEKTNEPYAIAKIAGIKLCESYNRQYGTRYVSLMPTNLYGPNDNYDLRTSHVLPALLRKAHEAKVEG-RESLTVWGTGRV------RREFLHVDDMADATIFALEVGLESG---LYNVGCGSDVTIEELAREAMQAVGFNGRIEFDTTKPDGTPQKLLDVGLLAQ-LGWRAKIGLREGLASTYQEFLQ---------- 135517706 ----KILITGHKGYIGSHLSKALEVLGHDLVGID-------------------LKDGEDVLHCLPDGDFDFVFHLAACPKVSYSVDHPSYTMKQNVLVTSTLLEWARDHGVKRVIFSSSAAVNGNGDGV---------PTSPYGLHKLVNEMECKLFSNLYGLDTICLRYFNVYSEDQR------YGGSYSTIICAWMEMLKKGKPLRVDGDGY------QSRDFVHVEDVVGANIAAMESLRDFNGNI-YNIGTGTAVSIKYIKNFIDSRYDIEWIC--APERKGDIKHATADISKTKKDLDWEPSISIVEGLKK----------------- 136196374 MNNKKALITGITGQDGSYLAEFLLKKDYEVHGIKRLNTQRIDHLYQDPHHYGDLTDSTNIIKLIQNIEPDEIYNLAAQSHVAVSFESPEYTANCDALGTLRILEAVRRINKTKIYQASTSELYGLIQETPQTEKTPFYPRSPYGVAKLYAYWITVNYREAYGMYACNGILFNHESPRRGETFV------TRKITRGLAGIENGLEKCLYVGNLNALRDWGHAKDYIKMQWLMLQQDYPKDYVIATGKQVSVREGWGGINWQGEGVNEIKRQDNGETVIKVDERRPCEVASLLGDASKAKKELGWEPSISLEEMIEEMI--------------- 294140250 --TKRIYVAGHRGMVGSAIVRQLEAKGDVELVLRTRS-------------ELDLTNQAAVNAFFDTESIDQVYLAAAVGGIMGNNTYPADFIYENLMVQSNVISAAHNANVQDLLFLGSSCIYPRLAQQPMDETGTLEPTNPYAVAKIAGIKLCESYNRQYGRNYRSVMPTNLYGPH-DNFHPENSHVIPALLRRFHEATLNNDSEVIAWGSGKPMREFLYVDDMADASIHVMNLDKSMYDENTEPMLSHINVGTGVDCTIRELVETVAKVVGFKGSISFDSTKPDGAPRKLMNVDRLKS-LGWQYSVELEKGLNLAYQWFVDNQNKF----- 7288226 MNKKVALITGVTGQDGSYLAEFLLEKGYQVHGIKRFNTQRIDHIYQDPHIEGDLTDSSNLTRIIQEVQPDEVYNLGAQSHVAVSFESPEYTADVDAMGTLRLLEAIRLEKKTRFYQASTSELFGLVQEIPQRETTPFYPRSPYAVAKLYAYWITVNYCEAYGLYACNGILFNHESPRRGETFV------TRKITRGLANIAQGLDSCLYMGNIDSLRDWGHAKDYVQLQWLMLQQEEPEDFVIATGEQYSVWAAEDVGMQLRFEGKGVEEVGDVVLRIDPRYFRPAEVDSLLGDASKAREKLGWKPSITVREMCAEMVA-------------- 228909178 --KKKVFITGHTGFKGSWLTLFLSSLGAEVVGFSSHPPSIPNIFEQGNVAKGDITDYHSLFHAMKQHKPDIVFHLAAQPIVTASYKNPIDTFKTNVLGTVHVLEAAKSVENVRAIINVTSDKCYENDDRAFVENDRLGGFDPYSASKACAELVATSYHKSF----FHTNTTSLASVRAGNVIGGGDWAEDRLFPDIIRAYL--------HNHTLCIRNKYAVRPWQHVLDPLHGYILLAEKLWNNAKYEAWNFGPINERTVNDVIQSVTNLWNKRLTIHSPSIKPYESQILTLNSTKAVNKLGWTPKLSTDDAIAWTVDWYKKY--------- 136476553 ----RILVTGAAGFIGSHLCETLLAQKHKVKAFVRYNSGWLENLSHKNLERGDITDFDSVNN--AILNCDYVFNLAASISVPYSFKNPQTFIDTNILGALNIFRASTIKKNKIKKIIQISSSEVYGNDLVLTEKTITVSESPYAASKIAADNLAISMFRATGLPVVVARPFNTFGPRQSLRAVIPTIISQFATISTKNNTIK-------------VGNLKTSRDFVYVRDTVSGLVSLLKPTCKPGE--IYNICTGKSFKINDVIESLKKITGHNPKIIISKFRKAEVYNLRGSNKKIYASNKWKPKYGFEKALKETYEWFKE---------- 142168167 ----RVLVTGAAGFIGAALSEALLAEGVEVVGLDDLNAYYDPRLKGWRFVKASLADRAALEEVFEAARPDWVVNLAAQAGVRHSIDNPHAYGEANLTGFLNLLEACRARPPRHLVYASSSSVYGGNAKTPFAEDGADHPVSLYAATKRANELMAHAYADLYALPATGLRFFTVYGPR--------GRPDMAYWLFTEAIFAGRPINLYNHGR--------MRRDFTYVDDIVE---------------------------------------------------------------------------------------------------- 143003111 --------------------------------------------------KLDLIDKVAVQDVVKSFRPNAVIHLAAESHVDNSIQGPEPFIQSNVVGTFNILEICRQLWMQRFLHVSTDEVYGLDKDGYFTEQTPYAPNSPYSASKAASDFIVRSYFQTYGMNVVTTNCSNNYGP---------HQHDEKLIPTVIRSAMQLK-------EIPVYGKGENIRDWLFVTDHCSAIEQVFE---SGKAGETYNIGGSNELKNIELVHKICDILNKHNLISFVTDRLGHDFRYAIDASKIKNELGWEPNSDFDGMLEETVLWYIDKYKSI----- 218134430 ----KALVIGGGGFVGTYLVNHLHDDGYEVAV--TKTSKENLKMADAQTYNLDVLNKDQIREVLTEVHPDYIIHLAAQSSVAFAWKNPTLTIDVNIKGSVNVLDVVREDYKPRVLLIGSGEEYGHEGETPIVEDNNTRPGNIYAATKACQNMIGKIYADAYEMDVMMVRAFNHIGPNQAPMFV-----VADFCRQVAQIEAGLQKPVIYVGNLSARRDFTDVRDVV---------KAYALLVRLGKRGETYNVGSGRAVSIQEILEEILELSDVDIEVAIDQDRPVDVPIIEADIQKIYNCTGWEPQISLKQTLKETLDYWR----------- 139445087 ----KILVVGGAGYIGSHFVLEASKKGNEITVFDDLSSGFKSNLDNNIFYKGSTLSKKELNSVMLSDNFDVVVHLAAFKAAGESMLNPGKYAKNNIIGGLNLIESCVKNKIKNIIFSSSAAVYGTPQYTPIDEHHPLLPINYYGYSKLIIEQNLKWFSKLKGIRYASLRYFNAAGYNNDCKKFQVESDPQNLIPLVMEVALEKRNHLKVFGDDYATPDGTGIRDY------------------------------------------------------------------------------------------------------------ 135258909 --------------------------------------------KNYKFIKGDICDYKKVLQIMRGCDF--LINFAAQTHVDRSIKDSAEFTRTNVEGVRVLLDAGKRLRVKRVLHVSTDEVYGDIRNGFSKEEDPLLPNSPYAATKAAADLLCRSYFKTYGLPIMITRSSNNFGP----------YQYPEKVMPLFITNAIEDKPLPLYGD------GKNIRDWIYVVDNCSAIDFVLHK---GKQGEVYNIGGGNQLRNIKIAKEILKMLGKDKRLSFVKDRPGHDRRYALDSARIER-LGWEPEYNFKKALRLTIEWYLSNEWW------ 229821569 ----RILLTGGLGFIGSPTRRALVAAGHDIVVLDRADTADRKGRTGRTEIRADVRDLPAVRA--ATTHADAVVHLAAKVGLGVSLADLDDYVSVNDLGTAVVLRAAAEAGVGDVVLASSMVVYGEGEPGLVTEDAALDPRNVYAATKVHDEHLLAVWARETGARATALRFHNVYGPGMPA------DTPYAGVASIFASELAAGRPPRV------TEDGAQRRDFVHVDDVADAVVATLSRPGTPGTLRALNVGSGRVTTVGELAAALADARGGPRPVVTGSYRLGDVRHVAASSERAARELGWRARIALADGVAD----------------- 83859848 -------------MVGSAIVRRLDRE-------------NPAEILTASRSELDLLDQAATRQWLETHRPDVVFLSAAVGGIYANDVYPAEFIYQNLMIASNIIHASYHAGVEKLLFLGSSCIYPKFAEQPIQETGALEPTNEWYAAKIAGIKLCQAYRKQYGVDFNSAMPTNLYGPGDNYHPDNSHVIPALLRKAHLAKHSGA-------SSMEIWGSGTPKREFLHADDCADALVHVMKHYSSD---EHINIGSGEDLSIEELAETIMDVVGFQGELVKDTSKPDGTPRKLMSATKIR-DLGWSPSISLRDGLKDAYDWFLKH--------- 137461398 ----------------------------------------------------DISDQNNLEDAFKKHKPNKVVNLAAQAGVRYSLINPHSYMNSNIVGFMNILECCRHHDVEGLIYASSSSVYGGNKKIPFSESDNVDPISIYASSKKANELMAHSYNHLFGLNSTGLRFFTVYGP----------WGRPDMAMYIFANKIINNKPIQVFNQG------NMKRDFTYV---VDIIDGIISSIKNNYSCEVFNLGNNKSEDLMNVVYLIEKKIGKKAIIEFEPMQPGDVEKTHADIDKAKSKLGFKPKTNIE---------------------- 123443282 ---KRVFVAGHRGMVGSAIVRQLKGRSDIELIL-------------KARAELDLMSQSAVQAFFTAEAIDEVYLAAAVGGIQANNNYPAEFIYENLMIECNIINAAHMAGIHKLLFLGSSCIYPKMADQPMSESTVLEPTNPYAIAKIAGIKLCESYNRQYNRDYRSVMPTNLYGEN-DNFHPDNSHVIPALLRRFHEAKMRNDNEIIVWGSGKPMREFLHVDDMAAASIYVMELADDIYAANTQPMLSHINVGTGIDCTIRELAETIAQVVGFSGKLVFDSSKPDGAPRKLMDVSRLDK-LGWRYQISLEKGLKMTYQWFLDNQNNFRK--- 135838929 --------------------------------LDNFRTVKSD--KFFTFIQGDITNENDIKGVLEKYKPNSIINFAAETHVDRSITSPDAFIKTNIFGVYNLLKQSLKYYTFKFIQISTDEVYGGLNEPSFTEKHPFKPNSPYSASKASGDHLVRSWVKTYNFPAIITNCSNNYGP--------YQYPEKLIPLVINNCLLGKKIP--------VYGDGNQIRDWLYVDD----HCTALEKVLKIGAGETYNIGGQNESTNIELINLICSTLDVKELITFVKDRPGHDYRYSINAKKIESALNWSPSVNLENGVKKTVEWYLRNKNWMKS--- 119384043 ---RKALITGVTGQDGSYLAEFLLKKGYEVHGIKRFNTQRVDHIFQDPHEYGDLTDTSNLTRIMAEVRPDEVYNLGAQSHVAVSFEAPEYTADVDGLGALRLLEAIRFEGVTRFYQASSSELYGLVRETPQTERTPFHPRSPYAAAKLYAYWITVNYREAYGLFACNGILFNHESPRRGETFV------TRKITRGLANIAQGVGSCLYLGNLDALRDWGHARDYVRMQWLMLQCDEPDDYVIATGEQHSVRQFRGSGVDEIAVAASVEGDLDVVMRVDPKYFRPAEVASLLGDAFKAHQRLGWRPETGLREMIAEMVA-------------- 143343453 ---------------------------------------------------VEIQNREKMKDVWKNAHPTHVVHLAAQAGVRHSLVDPYSYVDTNVMGHLVLLELARHEGGIKFVYASTSSVYGSNTNMPFTEDDVTDPMAVYAATKKCDELMSQSYAHLFRLPCTGLRFFSVYGP----------WGRPDMALFIFTKNIFEGKPISVFNQGQMKRDFTYVDDIVEGVISALANPP--EDKKERAPHRIYNLGNGHMEDLMDYISLIEQYVGKKAKIQYEEMQPGDIPASLSDTSRAQKELGFQSKTRIVEGVRHFVEWYKNYY-------- 136692427 ----KFLVTGCAGFLGTNLTNRLLNLGHEVIGIDNLYTGRRSNLKNFLFIEHDINKKIDL-------KIDGIFNLACPASPKAYQKKPFLTLDTCYLGTKNMLNLAKKNNV-RILHTSTSEIYGNPLIHPQSESNTLGPRACYDEGKRISETLLYNYKEIYGLDIKLIRIFNTYGP--------FMAKDDGRVVSNFINQALQNIDITIYGNGKQT------RSFCYVDDLIDGI---LKFYRQDKYFGPVNLGNNKENTMNYLAKRIITITKSKSKIVYKKIPQDDPLKRKPNLKKIKFFTNWSPKVNLDQGLIKTIKYFEK---------- 170722881 -QGKRVLLTGHTGFKGSWLALWLRELGAQVTGF-ALDPGTEPSLFEITDVRGDLRDLGALLEAVAQAQPEIVLHLAAQPLVREAYRDPLGTYSSNVMGTLNLLEAVRQVGGVRACVLVTTDKVYANQEWPWPENEALGGHDPYSSSKACCELLAQSYAASFFPAAEHAEHGVAATARAGNVLGGGDFAAERLVPDVLKAWSA--------GAPVTLRYPQAVRPWQHALEPLAGYLQLAERLYTQGGAWNFGPSEGDMCSVGEVVDRLARQWPQAPGLRVEPSELHEAGLLRLDSSRARQVLGWRTRWSLHECLQHTLDWHLAWQRG------ 295112136 -RNARIYVAGHGGLVGSALVRRLTSAGF-------------RNLLLRSHGELDLLSQQTVQDFFEGERPEYVFMAAAVGGIHANAVYPADFISENLMMETNVIRESWRTGVKKLLFLGSSCIYPKFAPQPIPEDAPLEPTNDCYAAKIAGIRMCQAYNQQYGTNFISVMPTNLYGPGDNFGLENSHVLPAMIRKVHEAKAKGAE-------SVTLWGTGTPRREFLHVDDLADACLFLMERHD---GSRIVNVGTGEDLTICELAEKVCAVVGYAGRVLWDESRPDGTPRKLLDITFLRG-MGWRHTIDLDEGIRRTYQAFLK---------- 289613103 ---KNIMVTGGAGF----------------MVL--------EHEPNFSFYKGDITNPSEVMDCLKRYNIDTIFHFAAQSHVDLSFGDPFGFTHTNVYGTHVLLESARKVGINRFIHVSTDEVYGEDDEDDLLETSILAPTNPYAASKAAAEMLVNSYKKSFKLPVIIVRSNNVYGPH--------QYPEKIIPKFTC--LLARGKPVVLHGDGSPTRRYLYASDAADAFDTI-LHRGQLGEIYNVGSYDEISNLSLCHKLLSEMSPLVAEQEEFYRWVKYTHDRPFNDHRYAVDGTKLRK-LGWEPKKSFEEGLRITVDWYRRFERWWGD--- 89070450 --TRKALITGITGQDGSYLAEFLLEKGYEVHGIKRFNTQRVDHIYEDPHEYGDLTDSSNLTRILSEVQPDEVYNLGAQSHVAVSFEAPEYTADVDAIGTLRLLEAIRFEKKTRFYQASTSELYGLVQEVPQTETTPFHPRSPYAVAKMYAYWICVNYREAYGMYACNGILFNHESPRRGETFV------TRKITRGLANIAMGLEPCLYMGNIDALRDWGHAKDYVRMQWMMLQQERAEDFVIATGKQYSVREFIFSGTGVDEIATVVEVKGERAPMLKIDPRRPAEVETLLGDPSRAKAKLGWEPQITAREMCAEMVA-------------- 310780520 ----NYLVTGGAGFIGANFIDKLTYAGNLGTIKEELSDNRVTFV------KGDICNRELVENIFMKYDIDCVVNFAAESHVDRSIENPGIFLKTNIIGTQTLLDAAKAHWTIKFLQVSTDEVYGIFGENFFTEKTSLDPSSPYATSKAGADMLVRAYWETYHMPVNVTRCSNNYGP--------YHFPEKLIPLIIKNILEGKKLPL--------YGDGKQVRDWLYVED----HCKGIDMVINSGRGEPYNIGGFNETIVKLTIDTIARLMKEQPNYQHVHDRLGHDARYAIDPTKTVRELGFYPETSFVVGIEKTIKWYLDNQEWVKE--- 143476234 ----KYVVTGGAGFIGSNLVDKLIAGGEEVHVLDNFSTGKEECNSRAKYHKIDISDKSKFDEMKECEQVDTVFHCAALARVQPSIENPINYEINNTIGTVNILKACVDSGVRRFVFSGSSSVYGPSEILPSKETHDIYPISPYANQKLYGELCCKMFSQVYGLETISLRYFNVYGERQNL------GGAYATVVGIFINQFANNSPLTINGD------GTQRRDFTYVGDVVNAKHFSI---------------------------------------------------------------------------------------------- 15897116 ------IVTGGAGYIGGHLVDYLISKNLEVIVIDDLSYGKYR-NEKAKFVMFDLR-QNMGELVEKLEKNPIIYHLAANPDVRTSMINVEEHFERDVKVTLNVMELARRVDAEKVIFTSSSTVYGETSKIPTPESEELKPISNYGLFKLLCENIVKYYAEQYGIKSISTRLANITGGRVSHGVVIDFIKKLKDNP----------------NLLEILGNGKQRKSYLYIDDLIEAFVMLEKKVNRIYDVFNI--GNNDSITVDEIAKIVIDEMKLSPRITYKNPWPGDVRLMLLDISKISREVGWSPKMSSREVIRQAVK-------------- 136739088 MEKKKIYIAGHNGMVGSAICRALQKQGYSNLIL-------------KSSKELDLRDQQSVNDFFSQEHPEYVFLAAAVGGILANSTYKADFIYDNLMIQNNIIHNAFKLKVKKLLFLGSSCIYPKMSPQPIKEEGSLEPTNPYAIAKIAGLKLCEFYREQYGCDFKSLMPTNLYGHNDNFDLKSSH-----VLPALLRKFHEAKRNNKPYVELWGSG--SPMREFLFVDDLAEACLFMM---KNQNSPSILNVGTGKDLSILELSELIKSIVGFNGELKWDHSKPDGTPRKLLDVNKINN-LGWVAQTSLIDGIKSTYNWYVKN--------- 142847824 ---KTALITGITGQDGSYLAELLLEKGYDVHGIVRRSSLINTYRIDHIYERGDLTDSTNLVRVIQQVQPDEIYNLGAQSHVKVSYEMPEYTGNVDGLGTLRILEAVRMDKKVRIYQASTSELYGLVQETPQSETTPFYPRSPYGVAKLYGYWIIKNYRESYGLHASSGILFNHESPRRGETFV------TRKITRGLSRISVGQQSVLSLGNLNARRDWGHARDFVEAMWLMLQQDQPDDYVIATGESPYFGMNIVWEGEGNNEVGIDKNTGKVVINVDPKYFRPAEVETLLGDATKAKQKLGWEPKTSFKQLVEDM---------------- 138519779 -SNRRVLVTGGAGFIASHVSEAYLACGDEVWIVDDLSSGKRVNIPDGTFIEMDVRDP-KIRALFEEVRFDLVNHHAAQIDVRSSVSDPINDASINLLGLLNIAEAAVEVGTQKLLFVSSGVVYGEPEQIPTPEIAEKRPLSPYGVTKLCGELYLSYYLHLHGMEYVALRYSNVFGPRQD------PHGEAGVVAIFCKRILNGQE-LKIFGD------GEQTRDYVYVKDVVAANMLASNAAMGQGDSRAFNVGSGIGTSVLQ---------------------------------------------------------------------- 140147075 -----------------NLVDRLVDEGNEVIIFDDLSTGKKENVNEAKLFQFDISNKNVFGHSLMMNGVDVVYHTAAKARVQPSIKDPVTYNDVNVSGLVQMLKASVDAGVKRFVFSSSSSVYGDTDIFPTPESASLNPMSPYALQKLIGEQYCHLFNELYDIETVCLRYFNVYGERQLT------EGAYCCVMGIFANQRLNDNPMTINGD------GEQRRDFTYVGDVVEANYLAGTLETIKG-GEIYNIGNGDNRSVNEMADLIGGEREHGQPVI-------EPKQTLADNSKASKELGWKPSTSLED-------WVIKYKEELN---- 136163329 ITRMKIFVTGCAGLLGANYTRHLLANGHTVVGIDDLSGGYKAFVPQGENFKFNLEKRKKVVELFEEHKPDILLHFAAYAAEGLSPFIRNFNYRNNLVCSANLINECITHN-TKFIFTSSMAVYGE-QEPPFTEDKRPQPIDPYGIAKYAVECDLKLAHEQFGLRYNIVRPHNVLG------VYQNIWDRYRNVIGIFIRKALNGQPILVYGDGEQTRAFSDIR----------YYMEPFDKLLTDYDNEIFNIGADKYFTLNEVAAVVQKKYGYEVPIEHGEAR-HEVKHAYCDHTKAKTVLDFRDETNLEELVDSMFVWAMKQPN------- 135118110 ---KKALVTGITGQDGSYLAELLLNKGYEVHGIKRFNTSRIDHLYQDPHHYGDLTDTSNLVRILEQIRPDEVYNLGAQSHVAVSFEAPEYTADVDAIGTLRLLEGIRFDDTCRFYQASTSELYGLVQEIPQKETTPFYPRSPYAVAKLYAYWITVNYRESYGMYACNGILFNHESERRGETFV------TRKITRSLARIAMGLEECLYLGNLDALRDWGHARDYVEMQWLMLQQQEAQDFVIATGRQESVRDFVNQSAARLDEIGTVASMTNDELRVNVDPRRPAEVETLLGDPSLAKQQLGWEPTTTLAEMIAEMVDY------------- 118469353 ----RVLLTGAAGFIGSRIAVALRDAGHEVIGVDAMLPAAHRTGAQPPCELIDVRDADALAPLLSGV--DVVCHQAAVVGAGVNPGDAPSYASHNVLGTAVLLAQMFEAGCTRLVLASSMVVYGQGRWQLVGEDAPVRPRSLYAASKAAQEHYALAWAVAVGGSVVALRYHNVYGPGM------PRDTPYSGVAAIFRSQLESGDVPRVF------EDGGQMRDFVHVDDVAAANLAAVHAVDGGLDGFHFNVCSGRPISIMDVATQLCEVRGAPGPVVTGQYRSGDVRHIVADPARAHEVLGFRAAIDPRDGLREF---------------- 136180564 ---KKALITGITGQDGAYLAELLLEKGYEVHGIDHLYRDRHESDVRFFLHHGDLTDTSSLIRIIQQTQPDEIYNLAAQSHVAVSFEEPEYTANSDGLGVLRVLEAIRIEKKTRFYQASTSELYGLVQETPQKETTPFYPRSPYAVAKLYGYWIVVNYREAYGLYACNGILFN------------HESPIRGETFVTRKITRALARIKLGLQDCLFLGNLDAKRDWGHAKDYVEMQWLMLQ----QERPEDFVIATGVQYSVRDFVDAAARELGGKAIVRVDPRRPTEVETLLGDASKAREKLGWTPKISFNELVAEMVR-------------- 194433590 MSKKRIYVAGHRGMVGSAICREL-------------SLRDDVELITKTHTELDLTKQIDVQTFFENDNIDQVYLAAAVGGIHANNTYPAEFIYQNLMIESNIIHSAHMAGIQKLLFLGSSCIYPKYAEQPMKESALLQTNEPYAIAKIAGIKLCESYNRQYGRDYRSVMPTNLYGMN-----DNFHPENSHVIPALMRRFHEAKESDA--SEVVVWGSGTPMREFLYVDDMAAIHVMELEDKIYQENTQHINVGTGIDCTIREMAETMAAVVGYKGQVVFDKTKPDGTPRKLMDVTRLKN-LGWSYNYTLHDGLALTYEWYLANLNSF----- 140019672 --------------IGSAIAHKLLENGNDVTIIDNLSTGIKENIPYNVFINGDCKDYKIYESLDEKY--DAIYHMAGQSSGEISFDDPVNDLQTNTQSTLLLLKYAVKVGCKRFLYASSMSVYGDQPEKIITEKFPCKPISFYGISKMASEHYLRLYQE-FGVQSTALRLFNVYGPGQNMENMRQGMVSIFLAMAIKNKHIIVKGDTKRY------------RDFIFIDDVVDAFIKCEKNPTTFNSVYNVATGVKTEIQSL--LKKLTNLFDYDIKIEINKKTPGDQFGIYGDIKSITNDLDWKPKITIEVGLKKMLN-------------- 138717426 -----------------------------------------------------------MKALFSGHDFDGVIHLAAQAGVRYSLENPQAYVDSNLTGFTNILEGVRHQRVRHLVYASSSSVYGGNKKLPFSETDRDNPISLYAATKKANELMAHTYSHLFGIPATGLRFFTVYGP----------WGRPDMAAFIFTKAILAGRPITVFNHGKMERDFTFIDDIVQGVVRALDRPPVSEAFEMSAPYRVLNIGNHNPEPLTEFIAAIEDALGVKAKQDLQPIQPGDVPATYAETSSLRALTGFAPETPLREGIAKFVRWYREYY-------- 228909335 FKNKTFLITGGYGFIGSHLARRLLNLQARIVLFIRTPSNFKDIIKNIETYEIDIRDKKQVQDAIKKVNPDYIFHLAAY-GVNSAHTDYMHAIETNIIGTCNIIQAAKLVNCKKIINFGSSSEYG-NKMEPIHENMLLTPVDIYGSTKAAATILAHQ--------IASENSINLITLRPFGIFGESEEPHKIFSYIILQVLRNKDVNLTL---------CNQLRDYCYIENIIDACILAVENTTVQ--NEIFNIGSGTISPLKHYVELLFKHLKTNSRPNYGPSRTNERWVPEADVQKIKNSLSWEPRINIEEGIIKTVNWYKNN--------- 218886945 MAGGTVLVTGATGFIGSHVLRAL-PPGSEAVGLASSVYPSSRDAVRLVRMTLP---HPDLDELIARLRPAVVVHCAGVASVGLSMTSPGVDFQSGPPVVFQLYDAIRKGTDTRVVQLSSAAVYGNPGVLPVAEHAPLGPISPYGWHKRMCEDLAHEFCGLYGISSAVLRVFSCYGAGLRKQLLWDVGHKLR------------------AGDAVFSGTGEETRDYVHVRDLARFIVHLSKAWPGPGVHVC-NVGSGQETSVRAMVEHMAAGCGLGHVTPEGAARKGDPQNWRADIGRARQ-LGLELTVPLEDGVHEYARWFLEQVKGTARQ-- 140036355 ----TILITGAAGFIGSHVTDQLLAAGQQVIGLDSFNDFYHPSIKKENLYDGSILDQALLANIANKHKLTAIIHLAAYAGVRPSIEYPELYYSTNVDGTKNILDMAKDNGCKRVLVASSSSVYGNNEKVPFAETDPVDPISPYATTKKMTEIMAYNYHHLYDLEIACLRFFTVYGPR--------QRPEMAIHKFCRMILNGETIPVYNHG--------NCLRDYTYVDDIVQGVLAILE--------------------------------------------------------------------------------------------- 136389923 --GKKILVTGGSGFIGSFVVSELLKEDAEVIIFDNLSRGNMKYVPRCSMVRGDLREIDVLNSAMS--KCDYVIHLAAMW-LLHCKDFPRTAFDVNIQGTFNVLEACVKNNIQRLIYSSSASVYGDAVKVPMTEEHPFNNRNFYGASKIACESMCRAFYDRYGLNYVGLRYMNVYGPHQD------QTAAYTGVIPIMLNKIDANEPPIINGD------GTQAYDFIYVEDVARANVLALKASSTD---EFYNVGSGIQTSIAKLCELILKL-------------------------------------------------------------- 142841716 ------LLTGGAGYIGSHAALSLLDAGHNVHIIDNLSTGNESLIPKNAFFNCNINDEKVISELIKSNSFDLLMHFAGFIQVEESVKYPQKYFDNNTENATKLFETCKNNGLNKIVFSSTAAAYGVSENKLIDENTNLNPQNPYAESKIKTENFL--FENKDDYKFIILRYFNVAGADKKLRSGQISKRSTHLIKILSEVVIGKRDHIEIFGNDYNTPDGTAIRDYIHVSDLADIHLEVAKYLLESSESNLFNCGYGKWF-------------------------------------------------------------------------- 254382403 ----RVFVAGHRGLVGSAVVRRLTADGHEV-----LTRGRSE---------LDLRDAAATGAYLRDVRPDAVVLAAAVGGIMANSTYPVQFLEDNLKIQLSVIAGSHEAGVGRLLFLGSSCIYPKLAPQPISEDAPLEPTNEAYAAKIAGIVQVQSYRRQYGASYISAMPTNLYGPGDNFDLESSHVLPALIRRFHEAAEQGRD-------EVTLWGSGTPRREFLHVDDLAAACAVLLNTYD---GDEPVNIGCGEDLTIKALAETVAEVTGFRGRLAWDTSKPDGTPRKLLDVSRLTS-LGWKPGVPLRDGIASTYAWWL----------- 135655391 MKKKIILVTGCSGFIGFHTTEFLLKKGYVVIGIDNMNTYYDTKLKYARFFKIDICNLSKMQKVLKKFRISKIIHLAAQAGIRYSLINPKKYIETNINGFYNILELTKKFKIKHLLFASSSSVYGNTSNLPFKEDQSTDPLQIYATTKKSNELMAHCYSHLHNISITGLRFFTVYGP----------WGRPDMAIYIFTEKILSNKSVKIFNNGNHSRDFTYISDIV----------------------------------------------------------------------------------------------------------- 134519821 -------------------------------------------------FKGELEDKKFLNKIFNKYKPRTVINLAAQAGVRYSIENPSAYIQSNLVGFANILEGCRIYNIEHLIYASSSSVYGGNTKFPFSENDNDHPISLYAATKRSNELMAHTYSHLYNLPTTGLRFFTVYGP----------WGRPDMALFLFTKSIIQGKPINVFNHGKMIRDFTYIDDVIEGIYRLILKSPQKNIKLKDNNNLPFNVGNSNPISLIEYIEAIENCLNLKAIKNYMEMQPGDVSKTFSDTKLLENFIDFKPSTKIEEGVKKFVDWYLN---------- 142803474 ---KKILVTGGAGCIGMPVCNELLNRGIKVVLFDLYEQIHRVKNSINKNVEGSILDDSSLREAIRGC--DGIIHLAAYLGVRRTEINSLRCLDININGTKKVLDAATHSGIKKIIFASSSEVYGEPLKNPISEDDITQGKTVYAISKLAGEELVKAYHREYDLNYTILRYFNTYGP---------YQIAQFVVPKFIRNVLHGKPP-------IIYGDGRQERSYNFSEDTARGTIDSL--ISSKANNLTMNIGNSNSISLEDLGRLVINICNKENEIKIKTDRDREIYQRYCSTEKAKKIIGYEPQVSLAEGIKRIIQAGVSEPKWATSERD 146302959 -------VTGGAGYIGGHLVDALLQQGNQVLVLDDLSSGNY-INSMAKFQRIDLRSQS-----PKLESCDTMYHLAANPDVRTSMENIEEHFERDVKATLNALESARKSDCKFFIFFSSSTVYGEAK-TPTPETAETNPISNYGLFKLMGEEMTRFYSQNYGITALSLRLANITGGRVSHGVVIDFIKKLMKDPT----------------TLEILGNGKQRKSYLHVSDLIQAVLFLKDRHRRGYD--YFNVGNEDWITVDEIASIVEEEMGLRPVHVYRDAWKGDVRLMLLDISKIKS-LGWAPTLSSREVIRRA---------------- 138636980 ---KKYLVTGGAGFIGSHLIKRLLTEGSEVTTIDNLSTGFKKNIPLGNFIKGDCGNP-NIYKKLNKIRFHAIFHVAGQSSGEISFDDPVYDIRANTTSTILLLKYALKSGCKRFIFASTMSVYGSKPNKPIKETDITSPESFYGNSKLASENYLKIYEK-YGIKYTSLRLFNVYGPGQNMSNLRQGMVSIFMSQMLNS------------GKIHVKGSASRYRDFIYIDDVTEYFIRCIKSNKSVGKTINI--GTGKKTFVYQLIKILISLCNK----------------------------------------------------------- 207092612 ------LLTGACGYIGSHTARAFLEKTKEIIIVDDLSTGFLEHLKRVVFIQANLNETHKLDAFLNKQQIEAVLHFGAKISVEESTRLPLEYYTNNTLNTLELVKLCLKHAIKRFIFSSTAVVYGE-SDFSLNEESPLNPINPYGASKMMSERILLDTSKIADFKCVILRYFNVAGACMHNDYTTPYTNATHLIKIACECAVGKRKKMGIFGTNYPTRDGTCIRDYIHVDDLANAHLASYQTLLEKNKSEIYNVGYNQG--------------------------------------------------------------------------- 90021762 MARKIALITGVTGQDGSYLSELLLEKGYEVHGIKRFNTARVDHIYQDKHEEGDLTDSSNLTRILKEVQPDEVYNLGAQSHVAVSFECPEYTADVDAIGALRILEAIRFEKKTRFYQASTSELFGEVKEIPQNESTPFHPRSPYAVAKMYAYWITVNYRESYGMFACNGILFNHESPRRGETFV------TRKITRGIANVAQGVEKCLYLGNMDALRDWGHAKDYVRMQWMMLQQAEPEDFVIATGKQISVREFEFSGEHINEVATVVAPALKVGDQIVKVDPRPAEVETLLGDPAKAKAKLGWVPEISVEEMCAEMVA-------------- 302352640 ----NILITGGAGFIGSNLALSLLKNGHQITVLDILSPQFNSIKNKVKFIHGDVRDKTILMQAL--ENIDIVCHLAAETGTGQSMYDIARYTDVNVQGTAVLLQAIIYGEGSYLCESCGCVVPHTRHPCATKEDSPPDPQSIYAITKYCQELLISRFCNAYSIPYSFLRYQNVYGPGQSLSNPYTGVLSVFTTRLFNNKALEVFEDGKE------------SRDFVFIEDVVRATVKAVE--SDKANNQIINIGSGCATTIFDLANLLASQLNPSIKPVIGKFRAGDIFTCWADISRARELLDYSPQVLVKEGVKRFAEW------------- 141674933 -ANRKILVTGAAGFIGFSLCRELLSKKVDIIGIDNFSDYYNPELKRDRHHELDLLDDKSLRKITKKFKPDVICHLAAQAGVRYSLENPKSYIDNNIVATTNLLEIAKDYNVNNFILASTSSVYGLNTSVPFSEETPIDSISPYAASKRACELLCHTYSHIYGLKFRILRFFTVYGP----------WGRPDMALFKFTKAILSGQPIEVYNDGNMQRDFTFIKD------------------------------------------------------------------------------------------------------------- 138039232 -----------------------------VTIIDNLVTGDKKIIPKAKFFKTDIANRKKIKQIITQNKFDCVIHLAGLVSVEESVLKPKKYLNYNYEKAKIFLDLCFKNNLKKIIFSSTALVYGSSKNKIINENFALKPSNPYAKSKLKLENFL---IRTKDINYIILRYFNVAGAEVKLRTGLISKQSTHLIKKASEISIYNKKRLIVNGNDYNTNDGTAVRDYIHVADLAEIHLKSLIY-LEKSRSNIFNCGYGIGYSVLDVINTYNNILKNKISYIFGPRRKGDIGFLVSNPNKLKKCLTWKPKFNLKLILKTALLWEKK---------- 140980023 -QRRRVLITGGAGFIGAHLVERILRDDAEVICVDNLYSGSRAHLLDHPNFEFIRHDITEVDEIYNLACPASPKHYQA---------DPVQTTKTNVHGAINMLGLAKRLKA-RILQASTSEIYGDPKVHPQSESYWIGVRACYDEGKRAAETLFFDYWRQYGVGIKVARIFNTYGPGMSNNDGR--------VVSNFIVRALQGRDIEIYGDGQQT------RSFCYIDDLV--HGLTLMMASGRDVTGPINLGNPSERTILGLAELVLKLTGSKSKLRFLPLPSDDPRIRKPDISTAQSQIGWTPK-------------------------- 218130872 --GKRVLVTGHTGFKGSWLSIWLHELGAEVIGVDNFVSGIGKRIKAD--IRADICDGERMKAIFREYRPDIVFHLAAQPLVRLSYDIPVETYRTNVMGTINILEAIRVTDSVKVSVMITTDKCYENKEQIWRENEPMGGYDPYSSSKGAAEIAIASWRRSFFNPEEYEKHGSIASVRAGNVIGGGDWALDRIIPDCIRALE--------MGKPIEIRSPQAIRPWQHVLEPLSGYMLLAQKMWDEPTKYCEGWNFGPRAESISTVWGVAKNYGSGELIDIVPNALHEAKLLMLDISKAKFRLGWEPRMNIEQCMKLVVDWYRKYQSG------ 294055946 ---KKALITGVTGQDGSYLAEFLLEKGYEVHGIKRLNTERVDHIYEDPHIEGDLTDTSNLTRIISEVQPDEVYNLGAQSHVAVSFESPEYTADVDAIGTLRLLEAIRFEKKTRFYQASTSELFGLVQEIPQRETTPFYPRSPYAAAKMYAYWITVNYRESYGMYACNGILFNHESPRRG------------ETFVTRKITRALANIAQGLEKCLYLGNMDALRDWGHAKDYVRMQWMMLQ----QDEAEDFVIATGKQISVREFVTMSAREAGIEVVVKVDPRRPAEVETLLGDPTKAKEKLGWVPEITVEEMCAEMVA-------------- 142975906 MKLMNVLLTGGAGYIGSHAALSLLDRGHNVHIIDNLSTGSEILIPEAKFTNCNINDESKISNLIKSDKFDLLMHFAGFIQVEESVQQPEKYFENNTNNAIKLFNTCKNNGLNNIVFSSTAAAYGVSNNELIDENTNLNPQNPYAESKIRTERFL--FDNRDDFKFIILRYFNVAGADKKLRSGQISKKSTHLIKILSEVVVGKRDQIEIYGNDYNTPDGTAIRDFIHVSDLADIHTEIARYLLEN---------------------------------------------------------------------------------------- 145225331 -------VAGHRGLVGSAIVRRLRVAGFDNIV-------------GKTSAELDLKNRDAVFDFMSEIKPRYVVLAAAKVGGILANSNYVDFLSDNIRIQVNVLDAARDCGVERLLFLGSSCIYPKFAEQPIREDSHLEPTNDAYAAKIAGILHVQAVRRQYGLPWISAMPTNLYGPNDNYSPTGSHV-----LPALIRRYDEAVASRAVSVTNWGTG--SPRREFLHSDDMADACLHLLE---NYDGPEQVNVGSGTDVTIREIAESVAAAVGFSGETHWDTTKPDGTPQKLLDVSKLTQA-GWTSKISLQEGIERTVAWYREH--------- 163739514 ---KKALITGVTGQDGSYLAEFLLEKGYEVHGLKRFNTQRVDHIYQDPHHYGDLTDTSNLTRLLSEIEPDEVYNLGAQSHVAVSFEVPEYTADVDGIGTLRLLEAIRFEKKTRFYQASTSELYGLVQETPQRETTPFYPRSPYAVAKLYSYWITVNYREAYGMYACNGILFNHESPRRGETFV------TRKITRGLANIAQGLEECLYMGNIDALRDWGHAKDYVRMQWMMLQQEVPEDFVIATGKQYSVRQFIGEGVEEIATVTAIEKVGDVVLRIDPRYFRPAEVETLLGDPTKAKQKLGWVPEITTEEMCAEMVR-------------- 294055947 ----KIYVAGHRGMVGSAVVRALKAAGFANIVTRTRS-------------ELDLTSQAAVRAFYAEEKPDAVIAAARVGGIHANNTYPAEFMFENLAIAQNTIDEAYRAGVGRLLFLGSTCIYPKFAEQPIKEDSSLEPTNEAYAAKIAGLKLCEYYRRQYGVCYHSAMPTNLYGPG-----DNYHPQNSHVLPALIRRFHEAKQNNAPEVAIWGTG--TPLREFLHADDAAAGILHLLQL---ENPPEWVNLGCGSDVSIGDLARLVMEAVGFEGELTFDTSKPDGTPRKLTDISRIKA-TGWAPKIPIEEGVAMAYQ-------------- 142997875 ---------------------------------------------------------------------DAVFHFAGLIRVDESVKKPEKYLNYNFNKSKIFFNTCYNYGIKKIIFSSTASVYGNSRSLKVSEKDKLKPINPYAKSKLLFEKYLVKMEKTHNIKFIILRYFNVAGADKNLRTGLISKHSTHLVKIACEVAINKRKKLIINGSNYNTNDGTPIRDYIHVSDLAEIHLISLKHLLKNGDSNIFNCGYGKGFSVKQVINVMNKILNRKLPTTIGKRRKGDVEKVVANTNKFKNFFSWKPKFSLKLIIESSLKWEKK---------- 137723897 -----VYITGCCGFIGSYISEYFLKAGFIVRGVDKMTYASDEGVLNMYFEKKDINDLEFL------YDCDFIINCAAESHVDNSIVSSDAFLKSNVNGVHRLLELIRNYEMPTLIHFSTDEVYGDVKKGAATEESILNPSNPYAATKAAADQLILAWNRTYGVPYVIVRPTNNYG--------IGQNPEKLIPKTIKSFSFGKKIPLHQRG--------KPKRNWLHAYDTARAIDFIINDCVTKGKNEIYNISGGFEQSNIDTVKKIAKLVDIKNYCNFDFKREGVDLRYSLNDSKLRKI-GWTPEVSFDE--------------------- 170290248 -----IVVTGGAGFIGSNIALMLLNMGKEVAIIDNFMTGSRDEVLRGRGAKVIEGEASKLVELD---DVEAILHLGIPSSSPMYREDPSL-VSGSLGEFIEIIEYARKRDLSLIYASTSSLYNGI--DPPHREDALIKPMDLYTEARYFMERISSVYQSLYGVRSVGLRLFSVYGPN------ERQKGRYANVASQMIWAAMEGKPFIIFGD------GNQTRDFIHVRDVARAFIIAMEA----GVSGIFNVGTGVETSFRELASIIAE--KLPLRLEFRPNPIKNVYRTCADTSLAEEELGFRAEVGLRRGIEELIEAYKE---------- 283853664 -----VYVAGHRGLVGAAIVRALAARGAEV-----LTRTHAE---------LDLTDQTAVRAFFAAARPAAVFLAAAVGGIHANDTYPADFIRDNLLIQTNVIDAAYQSGAKRLVFLGSSCIYPRLAPQPMREESLLATNQWYAIAKIAGIKMCQAYRRQYGFSAISLMPTNLYGPGDNFTPVNSHVIPGLMRRFHEARLAGAD-------TVTVWGTGNARREFLHVDDMAGAAVACYERYDDE---EIINIGSGEEVTIRELAERMARVTGFSGRIVFDAAKPDGTPRKALDIGRLTS-LGWAPTISLADGLAGTYRWFLDN--------- 53712828 -KDKRVLVTGHTGFKGSWLSIWLHELGAEVIGLDPFSE-RDNYVLSGIDLRVDIRDGERMKAIFREYQPEIIFHLAAQPLVRLSYEIPVDTYATNVMGTINVMEAIRATDSVKVGVMVTTDKCYENREQIWRENEPMGGYDPYSSSKGAAEIAIASWRRSFFNPVDYGMKHHVASVRAGNVIGGGDWALDRIIPDCIKALEA--------GKGIDIRSPKAIRPWQHVLEPLSGYMLLATKMWEEPTKYCEGWNFGPRTPVWDVATDVIKNYGSGKLNDINSNVLHEAKLLMLDISKAKFQLGWEPRMNIHQCIELTVDWYKKYQN------- 136512064 MGKMKAFITGAAGFIGSNFVNRELSNPDQILAFDSLTYAGSWSKINPEMITGDIRNSNQLTESIQ--DSDIIIHFAAESHVDRSINSSQIFMETNVLGSHNVFEAARLNGVKTVIHVSTDEVYGSLESASATEESRLLPNSPYAASKASSDLLARAMFETYDQDIRITRCVNNYGVNQNN--------EKLIPKMIENLNLNKKIP--------IYGDGSNIRTWIHVNDHCEAI---LKVIHNGKAGHVYNIGTDVELSNLNLAKIIINLMGKSHDIEFVTDRLGHDYRYSVSSLKIDTECGFKPTIDFELGIKDLI--------------- 138410203 ----------GAGFLGSHLIDRVINDSQEVICLDNYFTGNIRHLLDNNNFELIRHDVVNPIQL----EVDRIWHLACPASPVHYQSNPIKTSKTSFLGTYNMLGLARRLGA-RILFSSTSEVYGDPEIHPQNEENPIGIRSCYDEGKRVAESLCFDYQRMHNTEIRVARIFNTYGPRMAANDGRV--------VSNFIVQALREEPITIYGNG------SQSRSFCYVDDLVEGLILLM----NSDASGPINIGNPNEFTIKELANLIIEKTNSRSELIYLPIPQDDPLQRKPVIKKAKNQLNWIPKIDLNEGIENTISYFKE---------- 241203190 ----KIYVAGHRGMVGSAIVRRLKAGGYTNIV----TRSHAE---------LDLVNQAAVAEFMKAERPDYIFMAAAVGGIHANNVYRAEFLYQNLMIETNVVHAAWQAGVERMLFLGSSCIYPRDCPQPIREEYLLQTNEAYAIAKIAGVKLCESYNRQYGTRYVSGMPTNLYGPNDNYDLDSSHVMPALIRKVHEAKIRGDR-------QLVVWGSGRPMREFLYVDDMADACVFLMEKEVSEG---LINIGTGEDITIRELAETIMRVVGFTGEIVYDQTKPDGTPRKLMSVDRL-SALGWKATTSLGDGIARAYA-------------- 5305785 -AGRTVVVTGALGFIGSHYAERLAALGARVVGLHRGAEPAAPAAGRSCLVRADLCDEAATRRAFQEWAPDVVIHCAGLDGNAQYKRDHSAVLDANVRGTAHVLNTARDTGAGAVVLLSSTEVYCAPRDSPAREDEEIRRYVPHVLSKIFCEIMAELHGAEFGSRIFRVRPGNVYGPRDGNGGTRTRVIPSMVARA------------AAGETIEIWGDGRQTRSFIHVEDLVNATLRMVEADKYP----VMNIGSPEEVSILDLARTVVSAVGGKGGIRTYPDKPSGPPAQRLDLSRMRDVTGCEPR-PLRVGIEQTIRWYRGHHR------- 94967924 ---KRVLVTGAGGFIGHHLMNALVDLGYWVRGAD-IKSPEFQPSRADEFHLLDLREVQNCEQMTDGV--DMVFALAAMGGMGYISSHHAAILHTNTLINFNTLEAARRSGVRRYLFTSSACVYPEYRQPALREEDAYPPQDAYGWEKLITERLCTHYREDYGMEMRIIRFHNIFGPLGTWEGGREKAPAAMCRKVAIAKLTGNH-------EIEIWGDGKQTRSFCYIDDCVTGIHKLMVSDFA----YPLNLGQDRMVSINELADLVADIAGIRVNKRHVSGPMG-VRGRNSDNTLLRQVLGWTPVISLEDGLRRTYRW------------- 141077287 -----------------------------------------------------------------------------------------KYSENNITGTLSVLETMHENNVKHIIFSSTAAVYGEPEYLPLDEKHPLKPVNFYGYTKLSAENLLEWYKELMGISYISLRYFNAAGYDASGRIKYLEKNPQNLIPIIMEVAAGKREKVDVFGDDYDTPDGTGIRDYIHVSDLVKAHLNALELIQTN-QSAPINLGSDQQYSVMDVIKIAEKISGKDIPYNIVQRRKGDPAKIYASTAYAKKILNWSAEHSLENIIETTWRIYK----------- 142793986 ----KIFITGIAGFLGSHLAKFYINKGHEVVGNDTLVGGYRDNIPKAVFHKIPCEDNSSLKKLFQGVDI--VINTAAYAHEGLSIFSPHTITSNVLGGSVSVFSAAIASKVKRIVHCSSMARYGQIK-PPFKESDIPKPVDPYGIAKLASENILINLCEVHDTEYNIAVPHNIIGPNQ------KYDDPFRNVASIMINLMLQNRSPIIYGDGKQKRSFSDVRDCISCIDVMATDKNVKSQIINIGP------GDENYITINELFEIISNKLKFNKKPDFYPDRPNEVKYSSCSSDKAQKLLGYKTKFSVEESIDTIIKY------------- 302186509 ---QRILITGANGFVGRMLCRKLQQAGHRVIAL--VSPESPGSAHAAESLHCDIRDAAGLEHAVSRSNPTHVVHLAAITHVPTSFDDPLKTWQTNVIGSLNLLQALQRRSPQAFVFVSSSEVYGEAQGIALDENSVCRPMNPYAASKLAAETAFHENFRR-GQRGIVVRPFNHIGAGQSADF-----ATASFARQIALIEAGRQAPQLKVGNLQA------ARDFLDVSDVCDAYIALLKANTQQAHPRCLNICRGEPIRMEAILTQLMALSSSTIEVVNDPMRPSDIPSAFGNNSAIRKSTDWEPAIKLQDTLATLLDWREK---------- 218887781 ----RIYVAGHRGLVGSAIVRALQARGFNDLVL-------------RTHAELDLCDQHAVSAFFAAEKPEYVFLAAAVGGIHANDTYPAQFIRDNLLIQTNIIDAAYRNGCKKLLFLGSSCIYPKLCPQPIKETGPLEPTNEWYAAKIAGIKMCQAYRKQYGFDAISAMPTNLYGPG-DNYHPENSHVIPALIRRFHEAKVAGTDRVKIWGTGAAL------REFLYVDDLARASVFLME---NYSDCEHVNVGCQEEISIMDVAKCIAGVVGFRGEVVNDPTRPDGTPRKLMDSGRLYA-MGWRPSWSLVDGLRKAY--------------- 134339262 -----ILVAGAGGFIGGWLVRSLLEQGFRNVRAVDVKPLNEWFQPSVSAENLDLRRKEACDSVCRGRRI--VFNMAADMGGMGFIENNKALCMLSVLINTHLLDASVNAGVERFFFSSSACVYNNPDIAPLREADAYPPEDGYGWEKLFSERMARHFDEDFGISTSVGRFHNVYGPNGTWDGGREKAPAALCRKVAIAQLSGN-TEIEIWGDGQQTRSFTFIDDAIEGT----------LRLMNSGFSEPINIGSEEMVSINEMVAMLEEISGMALKRTYVLDAPKGVRGRNSDNTLIRDTLGWSPTISLMEGLEKTYRW------------- 143827895 ----KVFVTGCAGLLGANYARHLLQNGHEVIGIDDLSGGYKAFVPKGEFVKLNLERRKKLVDLFEEHKPDLLFHFAAYAAEGLSPFIRNYNYRNNLICSANLINECIKQN-TKVVFTSSMAVYGE-QEPPFTEDKRPQPIDPYGIAKYAVECDLKLAHEQFGLRYNIVRPHNVLG------IYQNIWDRYRNVIGIFIRKTLNGIPILVYGDGEQTRAFS----------DIKYYMEPFDLLHNEFDGEIFNIGADKYFSLNEVAETVQKVYGYEVPIEHGEPR-HEVKHAYCDHTKAKTMLKFQDGTHLEELVETIFVWAMKQPN------- 77404746 --TKTALITGITGQDGSYLAEFLLEKGYEVHGIKRFNTQRIDHIYEDPHARGDLTDSSNLTRILAQIQPDEVYNLGAQSHVAVSFESPEYTADVDAVGALRLLEAIRFEKKTRFYQASTSELYGLVQEIPQRETTPFYPRSPYAVAKLYAYWITVNYRESYGIYACNGILFNHESPRRGETFV------TRKITRGLSHIAQGLEPCLYMGNIDSLRDWGHARDYVRMQWMMLQQEKPDDFVIATGELGITLRFEGQGVEEIGVVEDVCEVTRGDVIVRIDPRRPAEVETLLGDPAKAKQKLGWVPEMTVREMCAEMVA-------------- 254171301 ----------------------------------NVSTGKRELIE--VEYEGYVYDLEVEKSHNFIAGVGNIV--AHNTHVDRSISNPYAFIESNVLGVYTILEAIRKYNPERLVHVGTDEVYGDIIEGSFTEEDRLMPSSPYSASKAAGDMLVLGYARTYNLNASITRCTNNYGP--------YQFPEKLIPKTIIRASMGLKIPIYGTGQ--------NVRDWLYVTDHCEAVELVLEKGEKR---EVYNISAGEEKTNLEVVKTILKLMGKDEDIEFVEDRPGHDLRYSLDSTKIREQLGWKPKHNFKEGIKKTVEWYLSNEWW------ 139921255 --------------------------------LENLSSVSSD--PRYSFVQGDICDGDKLDEVLPGH--DVVINFAAETHVDRSIHGPQDFIVTNVVGTQTLLDACLRHGIPRTVHIGTDEVYGSIDVGSWTENEPLLPNSPYSAAKAAAEMLVRAYSVTYGLNVSSTRCSNNYGP--------YQFPEKVIPLFVTNLIDGAKVPL--------YGDGLNVRDWLHVDDHCRGIAIVCSRGLA---GQAYNIGGGLELNNKELTEQVLAAMGADWMVQPVEDRKGHDRRYSVDDSLIRS-LGYEPQHKFADGLAETVAWYRDNEAW------ 134603619 ------LVTGGAGFIGSNLVDQLIEKGHQVIVIDNYSDAHEQYNDKAEYHNLDIRD-DATRSLYDGV--DYVFHIAAEARIGPSIENPTETVSINSFGTCTVLQFAREAGVKRVVYSSTSSAYGL-QEPPHVEALPDDCLNPYSVSKVNGEKLCKMYTDLFGLETVIFRYFNVYGNRQ--PIKGQYAPVIGIFLRQLENDQ----------ELTIVGDGEQRRDFVNVKDVVQANILAATKELDDSAGQVYNVGCGVNYSVNEIAKMISDFT------VNIDARPGEAKETLAHISRIK---------------------------------- 143688360 -SDSKIFVAGHNGLVGSAIVRHLEKEGFKNIV-------------TASRRQVDLTNLDAVRMFFMLEQPEYVFLCAAKVGGIEMNINHAEFIHDNLMIQLNVIDAAYHNGVKKLLFLGSANSYPNNCNQPIKEEYFLSTTESYAISKIAGIKLCEAYRKQYGCNFISIQPSNVYGG------LKGFNSNTGVIATLLDKFHTAKLNNDPYVVCWGSG--KARREFLYMDDLADACIFLMQNYDS---YDIINVGSGIDYSILDVANLIKKIVNYDGEIKWDDSKPNGIMRKLLDNTKLSN-LGWKSSVSLEDGLKLTYKYYTE---------- 142870669 --NSKIFVAGHNGLVGSAIVRKLRQLGYKNLIM-------------IPSKECDLREKEQVDNLFAIHQPDFVFLAAAVGGIIGNQNHKAEFIYDNLMIQTNVIDAAYYTGAKKLLFLGSSCIYPKHTNVPIKEEQALEPTNDAYAAKIAGIKMCQSYHEQYGFNAISAMPCNLYG-----VKDNFHPENSHVIPGLIRKFHEAKETNQPTVTCW--GDGSPMREFLYVDDLADACVHLMNEYHDPQN--IVNVGTGTDITIKNLAEIVADVVGYTGAIMWDTSKPNGTLRKVMDVTKIKQ-TGWYPQIGIEEGLQRTYDYFLSH--------- 117165066 MRKKT-LITGGAGFIGLHLARRL-SATRDVTLLDDFSRGRSDTALSDLLGHVELVEHDLTTPVLLADDFTEVYHLAAVVGVAESNDNPRRVLRTNLLTTVHLLDWLSGLTGATLCFASSSAAVPTAEDVPLALPDPSVARSSYGFSKIAGEVLCRTYAHAHGFPLRMVRFHNVYGPRMG---------YDHVIPQFVERLLSGADPFEIHG-------ADQTRAFCHVDDAVDAIIA-LTALPTKEPLLVNVGNDEEEIRIRDLARKVFDTLDRHPAVDVHPAPPLSPARRLPDLARLRELTGHRSKVGLDEGLRRTCAWY------------ 134735217 ------------------------------------------------MPRCNINDEENISSILNSNNFDVLMHFAGFIQVEESVKFPEKYFENNTENAIKLFKICKQNGLDKVVFSSTAAAYGVRENKLIDEGTYLNPQNPYAESKIKTENFL--FENKNNFKFVILRYFNVAGADKNLRSGQISKRSTHLIKILSEVAIGKRDHIEIYGNDYNTPDGTAIRDYIHVSDLADIHLAVAKYLIEKLESNLFNCGYGNGFSVLDVVNTANKICQNKINYKFSKRRDGDVEKLIAETSKISKHIQWQPKYDLEEIINSSIKWEEK---------- 143576494 -KDKKILITGINGFIGANLAKSLINKGASVYGLIRNYNPNSKINEKATLINGDITDFNLIKRIFAEEGIQHVFHLAAQVEVGTALKYPYITWESNIRGTYTLLESIREIKKDIKSISDKAYGSYPIDELPYKESYPLKPEYPYDVSKACSDLIAQAYCKPFNFPIIITRFANIYGPGQLNF--------SALVPDCIRSALGHSK-------FIPRTNGDHYRDFLYVDDVVDLYILLAKKLSKDKYGQVFNAGSNKPINIKLLIMRIFQLMKKESYVNFQKKPDGEILFQQMDYKKISKSFKWKPKTKIDEGIRLSIKWYKRY---LDDQQ- 291543443 ------LVIGGGGFVGAYLIAELNAGGHRVHAT---KLPGEEIRGTCTIHDLDILQPEAVSALLEQLKPDWIFHLAAQSSVALSWKRPQLTVDVNVKGTLNLLEAVRRAHQPRVLLIGSGEEYGPVHCCPVGEDTPLHPGNVYAATKACQGMLGEIYAKAYGMDLLCVRAFNHVGPGQAPMFV-----VSDFCRQTAAIELGQQEPVIRIGNL------SAKRDFTDVRDVVRAYVLLAEKGIA---GRVYNVGSGKAVEIRGILDLILAQSGAKIQAETDPARPVDVPVVEADIRRLQEDTGWQRQIPLEQTIRDTLAYWR----------- 138132133 ----KYLVTGGAGYIGSHMVNYLKKNNAEITIIDNLSTGHLYNIKECEFINIDLRDKDRLLKKLDKRKFDGVFHFAGKSIVSDSIKNPDYYYDNNIQGTKNLIDLIIKNDLNNLIFSSSAAVYGLPQKKIIDEKHEKNPINPYGKSKFEAENIIKAASLDYNLNTTSLRYFNACGADP----------------------------------------------------------------------------------------------------------------------------------------------------------- 108760364 MATKRALITGITGQDGSYLAELLLSKGYEVHGMVRRSSEEKFERIQHLHHQGDLLDQFSLAALLNLTKPDEVYNLAAQSFVPTSWNQPVLTGEFTALGVTKMLEAIRHTRPERFYQASSSEMFGKVLEVPQTEDTPFYPRSPYGVAKAYGHHITVNYRESFNLFAVSGILFNHESPRRGLEFVTRKVTYNVARIKLGLQ------------EKLPMGNLDAKRDWGFAGDYVDAMWRMLQ----QPQAEDYVVATNETHTVRELVEIAFARVGLDWHVFIDPARPAEVDLLIGDPAKAKTKLGWEPKVRFKELVEMMVD-------------- 142493634 -----------------------------------------------------IQDGALLAGLFEEHAFDAVVHLAAESHVDRSIENPLAFLETNILGTATLLNAAKTSWTARFYHISTDEVYGLGEDGLFTETTPYDPRSPYSASKASSDHVVRAYHHTYGMPVVISNCSNNYGSH--------QFPEKLIPLMIRNIVEGRELP--IYGEGVNVRDWLWVEDHAAAIDVILNRGWVGDTYNVGGLNEWRNIDLVHKLIELVDAKLGREHGQSKSLIRFVKDRAGHDMRYAIDASHLEARLGWKPSVTFEEGLAGTVDWYLENQDWLEE--- 142188351 LEGKKVWVAGHRGMVGSAIVRRLENMGCE--------------ILTVGRDTADLRRQDEVEAWMAAVKPDAIFLAAAVGGIHANDTRPAEFLYDNLMIEGNVINTAHKVGVEKLMLLGSSCIYPRLATQPMDETGPLEPTNEWYAAKIAGIKLCQAYRKQHGANYISVMPTNLYGPGDNFDLAQSHVIPALLRKAHEAKLNGDP-------ALPVWGSGKPRREFLHVDDMADACVYLMERY---AGHEHVNIGVGDDVTIAELANLVAKTVGYEGEIALDASKPDGTPRKLLDVSQLHS-LGWKHRVELADGLASTYQWFLDHYE------- 27380575 LKGRSVYVAGHRGMVGSALVRRL--AGEDV------------KLVTADRREVDLCNQAAVFDWFAKVRPQVVFLAAAVGGIVANNTLRAEFIYDNIAIAANVIHAAHRNGAEKLMFLGSSCIYPKLAPQPLREESPLEPTNPYAIAKIAGIKIAEAYRSQYGCDFISVMPTNLYGPGDNYHPEMSHVVAALIRRFHEAKVSGAK-------GVVVWGTGTPRREFLYVDDMADACVHLMKTYSGAG---LINIGTGEDITIAEFARVVAEVVGYSGEISFDTSRPDGTPRKLLDVSRL-AGLGWRATTSLEDGLKR----------------- 148976961 ----KYLVTGVAGFIGSAVSERLCAAGHEVIGIDNLNDYYEVSLKHDRFIELDLADREGIAELFAQQKFDRVIHLAAQAGVRYSIDNPMAYADSNLVGHLAILEGCRHNKVEHLVYASSSSVYGLNQKMPFTADSVDHPISLYAATKKSNELMAHTYSHLYDVPTTGLRFFTVYGP--------WSRPDMAMFKFANLIVAGK--------EIDIYNNGDMMRDFTYIDDIVEGIIRVQDRVPAKQPDWTVEQG------------------------------------------------------------------------------- 254512632 --GKSALITGITGQDGSYLAELLLSKGYEVHGIKRFNTQRIDHIYQDPHEYGDLSDTSNLTRIIQEVQPDEVYNLGAQSHVAVSFESPEYTADVDGLGTLRLLEAIRFENKTRFYQASTSELYGLVQETPQRETTPFHPRSPYGVAKLYSYWITVNYREAYGMYACNGILFNHESPRRGETFV------TRKITRGLANIAQGLEECLHMGNLDSLRDWGHARDYVTMQWLMLQQDTPEDFVIATGKQYSVRQFIGDGVDEVARVREVQKAGDVVMRINPRYFRPAEVDTLLGDPSKAKQKLGWEPETTVQEMCAEMVA-------------- 135980526 ----RILVTGAAGFIGAALSKQLLKVGENVYGIDNLNSYYSQKLKKWKFEKCDLTDDIKLNEIFKNFNPDVVVNLAAQAGVRYSIKEPTAYIKSNIVGFNNVLEKCVKFKVRNLIYASSSSVYGINKEESFKEDHPVHPISLYAATKRSNELIAHTYSHIFGLPATGLRFFTVYGP----------WGRPDMAPMIFTKSILEKQPLDIYNFGKMQRDFTFINDITEAIIRCCYKPASVDEDFDFAPHRIFNVGNSNPIKLLDFVKTLENELG------------------------------------------------------------ 119478088 -----------------------------------------------HHFEFCISDYSALDNLFKQHRPDRVVHLAAQAGVRYSITNPHAYVTSNLAGFVNILECCRHHTTEHLVYASSSSVYGANTEQPFSTAHGVHPVSLYPATKKSNELMAHTYSHLYNIPTTGLRFFTVYGP----------WDRPDMAPMIFARKILAGEPVDVFNYGNHRRDFTYIDDIVEGVIRTLGHVATSNPEWDSGKPDPYNIGCNKPVELMRFIELLEQGLGREAKKNLLPMQPGDVPDTYADVEDLVADVGYQPETTIETGVDRFVTWYRHYY-------- 136514635 MTQETWLLTGGAGYIGTHIADEFIRAGKSVVIYDSLYQGRAKHNVEIPFIKADIRDYNELESVIRTHNIDGIVHTAALKAVGESMEKSDEYFQVNLEATQELIAIAKRNNVKKFIFSSTAAVYGSPDSMPCKEDGPKAPISPYGDSKYQAESAVTAFINTPGNHGTSLRFFNVVGAAAPELLDNS----VENLVPIVLGKLNKGEAPTIFGTDYPTPDGTCIRDYVDVRDIARAHLAAANATTKLPDTMNI---------------------------------------------------------------------------------- 142395595 ----KILITGAAGQIGSGLAKRLCDR-HELTLVDNLRNGYAEHLKDDPFHDIDVATSELFAHCGSKFDSDAIIHLAAISSLPDCETNPLETLHCNVAATANVLDFARKMNVPHIVFASTSAIYENNDVDVFTEDLEVNPRLYYSLSKKMCEELIHSYREHYGSKVTILRFFNVFGPDGD------QTRPNPPLLNFVYRELMKGKAPVLSGD------GEQVRDFICIDDVVSMLDLCLEKKPND----VFNVCTGKAVSVNQISKWVAEALGKED-IGLDHKPAGELWDSYPSYQKAKDLLGWEPNTDIE---------------------- 238063742 ----RVVVTGGAGFIGANLVRELLRDGIEIVVVDDLSTGALDNLADLPVRVGSVMDPDLLDEAVPGA--ASVVHLGALGSVPRSIADPLRSHHANATGTLTVLEAARRHGVGQTILASSSSVYGANPVLPRRETLRPMPMSPYAVSKLATEAYANAYAACYGLPVLPFRFFNVFGPRQAA------DHAYAAVVPRFVSAALDGRPLEIFGDGLQT------RDFTYVGSVTAVLVDAILRRVHTPDT--VNLAFGARVSLLDLVAELEAVLGRRLERVHRPPRVGDVRDSQADSARLCELF---PAHPLRAGLEATVGW------------- 16519645 LDGKRIWVAGHKGMVGSAIIRSLASEDCEVIVADR--------------QKLDLTRQEEVEKFLLKEKPHAVIMAAAVGGILANDTMPADFIYQNLIMEANVIEGSFRSGVEKLLFLGSSCIYPKYAAQPIREEAPLEPTNEWYAAKIAGIKLCQAYRKQYGANFISAMPTNLYGPRDKFDLNSSHVVPALIRK-------AHEAKIKDLGCLSIWGSGTPTRDFLYSEDCSDALVFLLKHYS---ETEHINIGSGGEISIIELAHIVCRVVGFKGDIVFDTSKPDGTPRKLLSSERLVS-MGWRPKTSLELGLAKSYESFVSN--------- 142847556 ----KVLLTGSAGFIGMHSIERLLARGDTVVGVDNLNDYYEVSLKEARFHRASVEDKDAMAALFAAEKPDRVIHLAAQAGVRYSLENPHAYIDANLQGFINILEGCRHNGVEHLVYASSSSVYGGNEQMPFSEHHVDHPVSLYAATKKANELMAHTYSHLYGLPTTGLRFFTVYGP----------WGRPDMALFLFTRAMLAGETIDVFNQGQMV------RDFTYIDDIVEGVIRVLDKVAEPNP-------------------------------------------------------------------------------------- 137596930 --KMNILITGGAGFIGSAVVRLAIGKGHSVVNVDALTYASVRDNSKYSFEHADIRDRSKIDAIFKRYKPDRVMHLAAESHVDRSIDDPKSFIDTNINGTYQLLEAARFYWNFRFLHISTDEVFGLGDDGLFTEVSPYDPRSPYSASKACSDHLVRAWHETYKLPVLLSNCSNNYGP--------YHFPEKLIPVVILNAIHNKPIP--------IYGDGKNIRDWLY---------------------------------------------------------------------------------------------------------- 220903689 -----IYVAGHRGLAGSAICRALARDGY-------------ENLLTRTHAELDLCDQAAVRTFFAQYRPAIVVLAAAVGGIHANATYPAEFIYQNLQIQNNVIDSAYRNDCKKLLFLGSSCIYPKMCPQPIKETGPLEPTNDAYAAKIAGIKMCQAYRKQYGFDAISAMPTNLYGPGDNYHPENSHVIPALIRRFHEAKMAGAEK-------VTIWGTGNALREFLYVDDMAEACIFLL---KNYSDFEHVNAGCGSDISIIDTARLIARVVGFEGSIDTDPTKPDGTPRKLMASGKLFG-MGWKPRVEFEEGLRATYR-------------- 255264246 ----RIFLAGHRGMVGGAILRKLEARGNDEIITRTSS-------------ELDLCDQAAVREFMQSESPDAVILAAAVGGIMANNTYPADFIYDNLLIQTNVIGQAHAANVQKLLFLGSSCIYPRDVEQPMSETGVLEPTNPYAIAKIAGIKLCESFNRQHGRDYRSVMPTNLYGPG-DNFHPEKSHVLPAMIRRFHEAKLNGLNSVVIWGTGKPLREFLHVDDMAEASLFVMDLPNETYERETKEMLSHINVGFGTDISIRELAELVREVIGFEGDIEFDKSKPDGTPRKLMNSERLHR-LGWTASIALPEGIESTYSWYQNHIDDFRSK-- 135104638 ----NVFVAGGSGLVGSAVVRELERRGVT-------------QIAAPSSKELNLLDRSQVFSYLRQTTPNLVIDAAAVGGIHANNTYPAQFLSENLQIQVNLMDAAEDAGINRLVFLGSSCIYPKFAPQPMPESSELEPTNSAYAAKIAGIQQVQAHRKQYGRQWISAMPTNIYGPGDNFHPEDSHVVPALLRRIHEAKERGDR-------EVVIWGSGSPLREFLYSDDLARAIVFLAEYYDADD---IINVGSGEEVSIKELAEAVTETVGFEGALTFDSSKPDGTPRKLLDTSRLKA-LGWQPQTSLREGLATTYQWFLSH--------- 135334509 MKS---LVTGGAGFIGSHLVDVLLELGHEVVVLDNFSTGHKGNLKHIKDRECDLSNHGDWSKEF--LNTDWVFHLAALADIVPSIQNPEAYFRANVDSTFNVLQVSDAAKVKRFIYAASSSCYGIPDVYPTPENAEMRPQYPYALTKRLGEELVMHWAQVYKFPALSLRFFNVYGTRSRT------SGTYGAVFGVFLAQKLANQPLTIVGDGKQTRDFTYVTD------------------------------------------------------------------------------------------------------------- 20804183 MSKRKVLITGVTGQDGSYLAELLLEKGYSVHGIKRFNTGRIDHLYHDPHEHGDLTDSSSLTRVIQLVQPDEIYNLAAQSHVAVSFEEPEYTANSDALGALRILEAIRIVKHTRYYQASTSELYGLVRETPQTETTPFYPRSPYAVAKLYAHWITVNYRESYNLYACNGILFN------------HESPARGETFVTRKITRALTRIKLGMQRTLFLGNLNARRDWGHARDYVQMQWLMLQ----QEQPEDFVIASGEQHSVREFVTVAAAELGIDVRWVVDPRRPAEVETLLGDARKAKEKLGWEPKISFIELVREMVR-------------- 136429635 -KESKIYVAGHRGMVGSAIVRKLTELGYTNII-------------TAPKNKLNLLDQSEVDNFFQKQQPEYVFLAAAKVGGIKANNDYKDFIYQNLVIQNNVINCSKEYGVKKLLFLGSSCIYPKMSPQPIKEEYLLTTNDAYAIAKIAGIKMCQSYNQQFGTNFISVMPTNLYGPNDNYDLNNSH-----VLPAMIRKFHEAKVENKESVEIWGTG--TPKREFLYVDDLAD---ACIYLMLNYNDSEIVNIGTGQDVTIAELAVGIKNIVGFEGDIYFNTEMPDGTPRKLLDVSKLK-ELGWSYKTSLKEGIKKA---YMDFTKNI-NTHE 3435178 MNKQRIFIAGHQGMVGSAITRRLKQRDDVELVL-------------RTRDELNLLDSSAVLDFFSSQKIDQVYLAAAVGGILANSSYPADFIYENIMIEANVIHAAHKNNVNKLLFLGSSCIYPKLAHQPIMEDEKLEPTNPYAIAKIAGIKLCESYNRQFGRDYRSVMPTNLYGPN-DNFHPSNSHVIPALLRRFHDAVENNSPNVVVWGSGTPKREFLHVDDMASASIYVMEMPYDIWQKNTKVMLSHINIGTGIDCTICELAETIAKVVGYKGHITFDTTKPDGAPRKLLDVTLLHQ-LGWNHKITLHKGLENTYNWFLENQ-------- 113954112 ---KKALITGITGQDGSYLAELLLEKGYEVHGIKRFNTNRIDHLYQDPHVDGDLTDSSNLIRIIEQVQPDEIYNLGAQSHVAVSFEAPEYTANSDALGTLRILEAVRMTETTRIYQASTSELYGLVQEMPQKESTPFYPRSPYGVAKLYAYWITVNYREAYGMYACNGVLFNHESPRRG------------ETFVTRKITRGLARIDAGLEDCLYMGNLDSLRDWGHARDYVEMQWRMLQ---QEGPPEDFVIATGRQESVRRFIELTALELGWEVVVRIDPRRPAEVETLLGDPAKAKQKLGWTPTTTLEELVAEMVA-------------- 141747101 ----KILITGGAGFIGAHTAVALKERGDDVAIIDDFNDRYDPRLKHPPIFEQDIRDLASLEPIFAEFKPDVVLHLAAWAAVQTSINNPHVYSEVNLDGTVNILELCRQHEVKQLVFASSSSVYGGLTEVPFKENDISRPISPYAATKAGGEILCATWHHLYQLPISVLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 136150962 ----NLLVTGGCGFIGSNFINYMFETNINIINIDAMYYCASETNIKEIFEKGNLCNFDFIKRVLETYNIDTVIHFAAQSHVQNSFTDSLQYSHDNVLGTHTLLEACRYGKINKFIHISTDEVYGEENENKKNEESILCPTNPYAATKAAAELIAKSYYYSFKMPIIITRGNNVYGPNQYP----------EKLIPRFITQLLNNEPVTIQGNGD------NLRAFLHVNDVCSGLKIILEKGV-IGEIYNIGSDDNSEYTVMEIINTIKENDNISKWITYIEDRPFNDKRYYISNQKLKN-LGWEIKTDFDIGLNKLIEYYKN---------- 308175139 ---KNIAIIGGAGFIGSELAALLQTKGYHTIIAD-----QKKPAFDTEYRHTDILDRTSLRESLRGA--DAVVHLAAMVGVDSCRSNEEDVIRVNFEGTKNVAEVCGELGISTLLFSSSSEVFGDSPDFPYTETSRKLPKSAYGKAKLQSEEYLRE-QASDKLHIRVVRYFNVYGP----------KQREDFVINKFFSLAENGSELPLYGDGGQIRCFSYISDIVNGTYLALVH--------EGAVFEDFNIGNDQPITIKELAEKVNVLSGRKDNYLFKKLGEDGVRKRAPSIEKAKRLLGYSPKVSLNEGLEIIKDERQKHKLSLS---- 46578969 MSSFRACVLGASGFLGSHLVHHLLKAGCQVHAFSRDSRRNPELMSSCSIFTGDFFNTQDVERALA--DCDVCFHLVSTTIPKTSNDDPLRDVRENLSGSLTLLECVRRTGVRKVVYASSGGAIYGKHLMPISENHPTDPLCSYGIVKLAVEKYLALYHELYGIDYAALRISNPFGPLQRT-------SAEQGVIGVFLGKILRNEPLHVWGDGSVV------RDYIYVEDVARALVLAARLNT---EHHVFNIGSGAGLSLNDIIDMMRSVTGRDVVVKYDQNRVFDVPYSVLDVSLAERELGWRALFPFKVGVSLAWVWLCEN--------- 143949775 ----KAVVTGGAGFIGSHVVDRLLADGHEVTVIDNYSTGRPENLAHITPRKADIALPGAWIGAF--EQVEWVVHLAALADIVPSISNPDEYFRANVDGTFNVLEASRAAGVSRFVYAASSSCYGVPEIYPTREVAEHKPQYPYALTKMLGEQLVMHWAQVYDLPAISLRFFNVYGPR------------------------------------------------------------------------------------------------------------------------------------------------------------ 158520540 -------------FIGRALAGHLCRTGGPVHVVGR--NPVKNFEGGAVFHQGSMADRSLLESILP--QCDVVIHLASATTPGSSAGRPLAEADTNILPTLKLLDMFRDCGNRWMIFVSSGGTYGNPETVPVNESQPLCPLSYHGAGKIAIEAFLHAFAHDSGKHVTILRPANVYGPGQ-------------PLSQGFGFIRTVLEHARMDTEVKIWGDGSTVRDFLYIEDMIKGIESVMNADPH---TDTYNIGSGEGHSLNNVIKTVEKVCGRPLKVQYSTARQVDVRKIVLDCSKIMEKTGWKPETSLEEGVRLTWQWML----------- 134341632 ---KKALITGITGQDGSYLAEFLLDRGYEVHGIKRFNTQRIDHLYQDPHEYGDLTDTSNLTRILNEVEPDEVYNLGAQSHVAVSFEAPEYTADVDAIGTLRLLEAIRFEKSTRFYQASTSELYGLVQEIPQRESTPFYPRSPYAVAKLYAYWITVNYREAYGMYACNGILFNHESPRRG------------ETFVTRKITRGLANIAQGLEQCLYMGNIDALRDWGHAKDYVRMQWMMLQ----QEHPQDFVIATGQQYSVREFIRWSAEMLGIDAIVQIDPRRPAEVETLLGDPDKAARELGWTPEISTREMCEEMVA-------------- 140437803 FKTQRLLVTGGAGFIGSNLCQKLIELGHKVTVIDNLSTGIESNLKKIKFKKLDISKIHDLDEV-SFQNIDWVFHLAGIADIVPSIKNPNKYFLSNVLGTLNILEKSKIAKVKKFIYAASASCYGIPENLPTKETEKIKPEYPYALTKNIAEQLVMHWAKIYNMPNISLRFFNAYGPNSRT------TGAYGAMFGVFLAQKLNNKPLTIVGDGKQT------RDFIHVSDLVEAVIKIADSKKNN---------------------------------------------------------------------------------------- 218781939 ----RILITGGAGFIGSHLAEAYLKQGDEVYVIDDLSTGSLDNLAHLQFVHVDILNHDILLQMIGTC--DVVFHMAAAVGVQYILDNPLRSIRINIRGTEMVLDLCAKFK-KKVLIASSSEVYGKHLHAPLVETDNYGPSSKFRWSKLMDEFTALAHHRENGLEAIVVRFFNTVGPRQTGTY-------GMVIPRLVSQALTGKD-------LTVYGDGEQSRTFTYVEDVVKAVMLLVKHPEAAGEVFNI--GGVEEISIKDLAYKIVEKVGSSSQVKLIPYEEADMQRRLPSIEKLKNLTGYAPTTDLNAILDKVIEFFRN---------- 136578142 -------------------------------------------------------------------------------------------------------EESLKSNVKNFIFSSSATVYGDPKELPINEKHELAPINPYGQNKVEVEKILEYYWKKYKFSSVSLRYFNPIGAHNTGLLGEFPSEKPNNLLPYICKVANKELSHEVFGDDFQTKDGTGIRDYIHIEDLVNGHLKALEYIKKNEGFIVANLGTGQGYSVFEIIKTFEKVNNVKLRFKVVERRPGDSSEVYADARLAKNLFSWKPKKNLDDMLKDSWKWQVN---------- 91773959 --NSVILITGGAGFIGSHVVDKLINIGNRVIVFDNLSSGNLEYIDQHTFINGDLLDTDKITK--ACHNIDCVYHIAANPDVRLGFSNTKVHFDQNITATYNLLEAMRKNNIRNIVFTSTSTVYGEASIIPTPENGPLVPISLYGASKLSCEALITSYCHTFNMRSWIFRFANIIGERSTHGIIFDFIKKLHNNPD----------------QLEILGDGQQSKSYLHISACIDAILFVVNKSLDDVNIFNISEDTINSTQIGMIVVEEMGLENVEFTYTGGTRWKGDVPRMSLSIDKLES-LGW----------------------------- 135920106 LHNSKILVTGGAGFIGSNLCEALLENGNKVVCLDNFATGRTENIEDFKDIEGDIRRLEDC--LNATKGIDYVLHQAALGSVPRSIKDPITSNEVNVSGFLNMLVAARDNGVKRFVYAASSSTYGDSESMPKVEDIIGKPLSPYAITKYVNELYADIFSITYGLETIGLRYFNVFGRKQDPN-------------------------------------------------------------------------------------------------------------------------------------------------------- 143862570 ---------------------------------------------------------EKLTKLLSSQKFDSVIHLAARKQVGESVEIPERYFLDNIGGMANLLLAMRETNHDSLVFSSSAATYGMPDAKQVKEDDPTSPINPYGQTKLIGEWMVKNAANW-GLRGVNLRYFNVAGTGKAG----MGDTAALNLIPIAIGQLRRGKVPKVFGADYQTPDGSCIRDYVHVSDLAQAHIAAVDYLERSNRPETFNVGTGQGASVFEVLNALRAASGQDFEVEVTKRRAGDPPRLVANVDRIAQTLGFKTKHSLADIVDSAWN-------------- 138773277 ----HVLVTGSAGFIGSTVSHRLLDRGDDVVGLDNYNHYYEVSLKDAREVRASVEDRDALEALFEAHQIDRVVHLAAQAGVRYSLENPHAYVDANLVGFMNILECCRHHQVDHLVYASSSSVYGANESLPFVEDSVDHPVSLYAASKKANELMAHTYSHLFGLPTTGLRFFTVYGP--------WGRPDMALFKFTRAILTG--------GTVQLFNGGHHKRDFTFISDIVDGVIGTLDQIATP---------------------------------------------------------------------------------------- 143720995 -KDKKVLVTGHTGFKGSWLSQSLVSLGSEVTGVSNPNLFSLLDLKDLRDIRLDIRDRSKLAEVFNEVKPEVVFHLAAQPIVRYSYLNPVETYEVNFMGTLNVLEAIKQQEKIKAAVIVTTCYENIGKNEGYKESDPMGGYDPYSSSKGAAELLVSSY--RNSFFSGDSLFANIATARAGNVIGGGDWAQDRLMPDIINFLLKNKD--------IVIRNPKHIRPWQHVLEPTFGYLKLAKALFEKGEDFASGWNFGDAKSVEWISKTMIDKWGSSVKVKFVEDNLHEANYLKLNCSKARENLKWYPKLNVSEALDYIVDWYK----------- 166226992 MSKKKVLITGVTGQDGSYLVEFLLEKGYEVHGIKRFNTQRVDHIYEDPHEYGDLTDTSNLTRIISEVQPDEVYNLGAQSHVAVSFESPEYTADVDAIGTLRLLEAIRFAKKTRFYQASTSELYGLVQEIPQKETTPFYPRSPYAVAKMYAYWITVNYREAYGMYACNGILFNHESPRRGETFV------TRKITRGLANIAQGLSKKLYMGNLDSLRDWGHARDYVKMQWLMLQQEQPEDFVIATGVQYSVRRFEGTGVEEKGIIESIEKVGDVIVEVDPQYFRPAEVETLLGDPTNARVKLGWTPETTLNEMVKEMVE-------------- 137899226 -KNMKIVITGAAGFIGYHLSRRILKENISVIGIDNLNNYYDVTLKEARLQKLNINDKSVIEDIFKQYNPEIVINLAAQAGVRYSMRNPSTYIQTNLVGFSNILESCRNHPVKHLIYASSSSVYGGNTKMPFSESQVDHPVSLYAATKRSNELLAHSYSHIYSIPSTGLRFFTVYGP----------WGRPDMALFLFTKSILKKEPIKVYNHGKMI------RDFTYIDDVVESIIRLLKRPPKSDKT------------------------------------------------------------------------------------- 149912447 --TKRALITGITGQDGSYLAEFLLAKGYEVHGIKRFNTQRIEAIYQDPHEYGDLTDTSNLTRILRAVEPDEVYNLGAQSHVAVSFEAPEYTADVDAIGTLRMLEAIRFETKTRFYQASTSELYGKVAETPQSETTPFHPRSPYGVAKLYAYWITVNYREAYGLYACNGILFNHESPRRGETFV------TRKITRGLANIAQGLEQCLYMGNIDALRDWGHAKDYVRMQWMMLQQDAPEDFVIATGKQYSVREFIGEGVEEIATVTAVEKPGDVIMRIDPKYFRPAEVETLLGDPSRAKAKLGWEPEITAREMCAEMVA-------------- 254443734 ----KIFVCGASGMVGSAVARRLTSLGYT-----NLVTASSS--------ELDLTRQADVEAFFQTHKPDVVVMAAAVGGIHANNTYPADFGYQNLVIASNTIHAAYQAGVSRFLFLGSSCIYPKLAPQPLREDSPLEPTNEAYAAKIAGLKLCEYYRKQYGVMYHSLMPTNLYGPG-----DNYHPDNSHLLPALIRRFHEAKEANAPEVTMWGTG--TPLRELMHADDLADSVVFALQL---QNPPSILNAGTGVEHTIKQIAELVAQTVGYQGKIVNDLTKPDGTPRKLMDVSRLR-DLGWTAKIPLEEGIKKTY--------------- 134463357 ---RTVLVTGAAGFIGAALSQRLLAQGDRVVGLDNLNSYYDPALKQARLRQIELEDGEALMALFAAERPQVVVNLAAQAGVRYSLENPAAYIQSNLVGFGHILEGCRHHAVGNLVYASSSSVYGGNRNLPFHERQPVHPVSLYAASKKANELMAHTYSHLYGLPATGLRFFTVYGP----------WGRPDMAPMLFARAILAGEPIKVFNHGRMQRDFTY---------------------------------------------------------------------------------------------------------------- 119513386 --TKKALITGLTGQDGSYLAEILLTKGYEVFGLVRRSTSNLERISHLSGESGDLLDQCSLMDVITDSQPDEIYNLASQSYVPLSWTQPSLTAEYTALGVSRLLESIRRCKSDRFYQASSSEVFGQPDESPQSERTAFRPRNPYGVAKAYAHWMTVNYRQKYDLYCCC------------GITYTHESPRRGTEFVFRKITHAAAQIKLGLANELKLGNLEARRDWCYAKDAVYAMWLMLQ----QEQPEDYIIASGETHSVKELVECAFNYVGLNWYVVVDPYRPDEPVQLVGSIDKIKTELGWQPQHSFEPMVELMVDYDLK---------- 143494894 -----VLVTGGTGFLGSHLVDLLIEKGLDVVVMDNGSRSSLERCPHGVRIEGDVRSPEAWLAVEEAVGPCGLIHHLGAVNGTARFDREADVIDVAVNGALQAIDAARRWGARLVMASSPEAYGEAPDRNHLDERSTFTPRHSYGASKYLVEVMAQAAVQQ-GLDVRIARPCNAYGPRASG-------GQNGQVVAMMLERAADGRALAVHGDGRQTRSFTWVGDVVAGLERLGRMDEAVDGSGPLAGS-AFNFASQVETSVADLAAHISALTGASVSVGQRG-HPGDPRRRRPEVDTVKQRLGWEANTSLEDGLQRTWR-------------- 136096983 ----KLLVTGGAGYVGSHTVLALLAQGHEVVILDNLSTGHKWALQDCELITIDLRDEINLVRNLKGRGFDGVLHFAAKSLVGESKNQPAMYYQTNVGGTTNLVRAMQAADIQRLVFSSTAAIFGNPVSDLIDEAHPKAPINVYGQTKLVVEQMLEAVTASSGFQCHLSALLQRSSANNAANLGEWHEPETHLFLMHCELQLTQAIP------------------------------------------------------------------------------------------------------------------------------- 117925456 ---KTVMVTGGAGYVGSILLRRLLERNYRVVCVDNLMFGGEALLDIWEHPHFDIRDREAMQALFAQTQFHGVIHLAAIVGDPACARQSELAQQTNWQASIDLLEASKQHGVERFIFASTCSNYGADPGGFVTETSTLAPVSLYAELKVKFEKTL--------LESDPSPDFCPTALRFATVYGISHRMRFDLTVNEFTKELALDKELVVFGEQF-------WRPYCHVADFSRAMLAVLEAPREKVAYDVFNVGDTAENYTKGMINELLKVYPNARIKRIE--KKEDPRDYRVNFSKIAQRLDFK---------------------------- 141941422 ---------------------------------------------------------------------------------------PLKYYENNLSSLIYIQEIDKLNHSFNLIFSSSCTVYGQAINLPITEEEIKKAESPYGNTKQISEEILFDYSKINNLNVISLRYFNPIGAHQSSSIGELPIGPQNLIPFITQTAAGVHEKITVFGDDYNTPDGTCIRDYIHVVDLAKAHTAAIENNKNESNYNVYNIGTGKGTSVLEVIDSFIKSTGVKLNYVIGERRSGDVESAYADNSKAMQKLNWKPKFSIDDAIASAWKWERK---------- 135842310 --KKYILLTGGAGYIGSHIAIELLDLNRDIIIIDNFSNSNIKNIKNFIFFNNDICDINILEHIFNKYKINSVIHLAGYKSVSDSIKYPLNYYRNNINSTLNLLEIMEKYKCFNLIFSSSATIYGNN-SPPLIETSIIGNLNPYARTKYYIEEILKDLTISNNWNIISLRYFNPVGAHESGLIGDDPSKPANLMPIIVNVGIKNPNKLKILGNDYNTKDGTCLRDFIHVVDLAKGH-------------------------------------------------------------------------------------------------- 142621581 ------------------------------------------------------------------------IHFAALKSVPESEEFPEKYWENNLDSTANLLNVMKINNIKELIFSSSASVYGQSAKQPITEESKVEPLSVYAQTKASSEELIKKSTSEEALKAISLRYFNPLGAHSDLVVYENPMTKFNIMPKLIKAFLGMENDFSIFGNDYNTRDGTGERDYLHISDLIEGHFLALSHLKNISNYDVFNLGTGKGVTVLELLNTFEKASGIDIQKNFKNRRLGDVDVCYSDPSKSNKILKWNAQRSLFDMCDDAIKSIKKNINEL----- 291515689 --------------IGSHLCERLLAEGNDIICIDNYFTGHKRHLLSHPNFEVIRHDIV----YPYMAEIEEIYNLACPASPIYYQHDPIKTTQTSVIGSINMLGMAKYNRAKILQ-ASTSEVYGDPLIHPQREDYWLGIRSCYDEGKRCAESLFMSYYREHGIPVKIIRIFNTYGPKMDINDGR--------VVSNFIVQALRGDNITIYGD------GGQSRPFQYIDDLIEVMVRMMDDTPDD-FTGPVNIGNPNEFTIAELAREVISLTGSKSKIVHLPLPADDPQQRQSDISLARNMLGWEPKIQLRDGLLKTIAYFEE---------- 189423852 -QNKKVFVTGHTGFKGSWLTLWLLKLGAEVHGLFNLL-GLNSPSPQLSSQIADIRDSSALATAIQQAQPEIVIHMAAQPLVRDSYLIPVDTYAINIMGTVNMLEAIRHCPSVRAVVNVTTDKCYENREWVWREDEPMGGFDPYSSSKGCSELVTAAYRQSYNSRQPTQHGVALASARAGNVIGGGDWAADRLVPDIVRALLNK--------EPVSIRNPLAVRPWQHVLEPLSGYLCLARHLYEHGEGWNFGPADEDAKPVAWIVDHLCKLWGNSASYTIVSDHPHEAQYLKLDCSKAKMRLGWKPRWNLETALEQVVTWTKAYSK------- 23016867 --SERILITGITGFVGSHLADYVLSLDYQVIGTKRWHLSRMDNVRHIQWIDCDLTDPISTREMMNIAKPDRIFHCAAESFVSPSWKNPQRYMAMNYNATVNLLDWLHQNKSSAFHIPGSGEEYGDIPEDPITPETVLLPVNPYAVTKIAQDLIGFVYHKSYGINVIRTRAFNHEGPRRDKVFGIP-----WYAYQVAMVEAGKMDPLLKVGHIDDRRNFTHVRDMVEAYWIASTKCRPGELYLVGSEAPETIYTFRQALEMLIGMSTVK---GIRHETDPQYVRPTQVPRLIADTKKFRDDTGWEPKISFQTILSETLDYWRE---------- 139013847 ---------------------------------------------------CDIRNFKRLNIIVKQNKIHSIIHLAACLSVGESEKKPKKYFSNNVIGTRNVINCCKKNDIKNLIFSSTCAVYKDKIK-FVKENSKLEPKSVYGKTKLKGENIIKKNLFKNKTNYAILRYFNVAGASESGKIGQISIGDQLF-KNLSICSKKMNPNINVYGSDYKTKDGTCVRDYIHVSDIAKIHIMVLKKINNENKNIILNCGYGNGVSVLDAIYEFQKQLGKKIKVKVKDRRKGDMEEIIANNKKIKRFLKWRPKKNLSKIVKSCIKW------------- 110736741 -------------------------------------------------VEGDINDLSLLKKLFEVVPFTHVMHLAAQAGVRYAMENPGSYVHSNIAGFVNLLEVCKSANPQAIVWASSSSVYGLNTKVPFSEKDRTDPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGP--------WGRPDMAYFFFTRDILKG-----KAISIFEGANHGTVARDFTYIDDIVKGCLGALDTAEKSTGSGVFNLGNTSPVPVTDLVSILERLLKVKAKRNMMKPRNGDVPFTHANISSAQREFGYKPSTDLQTGLKKFVRWYLGYYK------- 138739989 ------IVTGGCGFIGSHLVNRLIDLGHEVIVLDRVH--HHNPNPKATYYLVDLVDYNKYVHLFDSVN--NVFHMAAEVAIKYCVEKPNESMANNMLSTMNVLECCRIHDVDRAIFSSTCAVYGNTLFNPNYETNRVDCLNTYSISKYSGEMLFKMYYELYGVKTVAFRYFNVYGEGQ------HQSGQYAPVMSIFKRQKDNKEPLTIVEPGYQT------RDFVHVSDVVYANILASQRELET-YGEVFNVGTGEGT----EIQTIADLV-SDYQITI-PARPGEVMHSRANIDKIQETLG------------------------------ 5524312 -----VLITGGAGFIGSALSRRLVEAGYDVALMDVLHPGGDRPVELAPSVRGDVTHAPDFDAVLRLFRPTQIVHLAAETGTAQSLSEATRHGSVNVVGTTQLLDALSRSVPEQLVLASSRAVYGWDPQGPAGERTEPRPTNVYAATKLAQEHLLAAWTAAHDTNLSVLRLQNVYGPGQSLTNSYTGIVALFARLARQGQALEVYEDGRIV------------RDFVYIDDVVDALFAAVQRPASPQRRLDV--GSGRATTIQELANTIAAMCEAPEPVVVGKFRDGDVRAASCDIEPATSQLGWHPKWTLEDGLRALLEW------------- 143817611 ------LVTGGAGFIGSHLVDSLVSMGNSVRVLDNFSSGREEFLEHHKDFRADLLDLESVKVAMK--GIETVHHLAANPDIRLGTEVTDTDLKQGTIATYNVLEAMRHNDVKRISFSSSSAVYGEANVMPTPETGPILPISLYGASKLASEALISSWCGTFGGEAWIHRFANIVGPRGTHGVIFDFIHKLKNNP----------------NRLEVLGNGLQEKSYMSAEDCVRAMVHLIEH--DSGNVSLYNLGTGDTCSVRRIAEIVVEESGLQNVIEYGGDRAGDVPKTSLDVERLFS-TGFEPTMHSEDAIRYTAK-------------- 304405553 -----VLVTGANGFIGKNLVEYLGENGIETVDTESL---------------GDITDDECFDKL-DLSNLSCCIHLAAKVFVPDSWKDPSTFYKTNLIGTLNVLELCRRKQIG--LVFVSAYVYGIPEELPISEESQIVANNPYGHSKLLAEQLCEFYYNNFGVKVSIIRPFNVYGVGQN---------EKFLVPHIINQALSH--------EFIEVMDLAPKRDYIYIDDLVKAIVSAMNSDI---KFAIYNAGNGKSYSVKEIIDIVQGIVGSSKEVRSKNSRENEVVNVVADCQKIKTELNWMPEYTLVSGLTKMIE-------------- 256831013 ---KKALITGITGQDGAYLAEFLLAKGYEVHGIKRFNTDRVDHLYQDPHEQGDLTDASNLIRIIQQVRPDEVYNLAAQSHVQVSFETPEYTANVDGLGTLRMLEAIRIEKTTRFYQASTSELYGLVQEVPQTEKTPFYPRSPYACAKLYAYWITVNYREAYGMYACNGILFN------------HESPLRGETFVTRKITRAMARIYLGLQDCMFLGNLNALRDWGHAKDYVEMQWLMLQ----QDAPDDYVIATGEQHSVRDFVQAAARELGMKTVVAVDPRRPTEVETLLGDPSKGKEKLGWKPRITFRELVAEMVR-------------- 135062565 ----RLLVTGGAGFIGSNFVRKTIEEDVVVTVLDALTYAGSLANLRVDFIRGDIMNAKLVEELVS--QTDIVVHFAAESHNDNSLVSPRDFIETNVVGTFNLIKACAENDV-RLHHVSTDEIFGDMDRDLFTENTPYAPSSPYSASKAASDHLVRAWIRSFGLRATISNCSNNYG----------HNQHAEKLIPRTVLLAASGTKPKVYGSGD------NVRDWIHVEDHVDGIWTVIEKGRI---GETYLIGANGERSNLQVVKTILRILEPENFIEFVDDRPGHDRR------------------------------------------- 220935260 -RDARIFVAGHRGMVGAAIVRRLESLGYRNLVTCDRKT-------------VDLTRQADVESFFKDTAVDYVYLAAAVGGIYANNTYPAEFIYENLMIECNVIHSAHQAGVQKLLFLGSSCIYPKLAPQPMAEESSLEPTNPYAVAKIAGIKLCESFNRQYSRDYRSVMPTNLYGPN-----DNFHPDNSHVIPALIRRFHEARESRAP--QVVVWGSGQPMREFLHVDDMAAACVHVMERAHTQPMLSHINVGTGIDCTIRELAETIGRVVGYEGEIVFDSSKPDGAPRKLLDVRRLTS-LDWQAQIGLEDGLRSTYEWFLKNQERY----- 141227612 ----------------------------------------------------------------------------------------IKYYINNTANTANFIKSCTDASVKNIIFSSTAAVYGDSNQEKYSENMETKPVSAYGFSKLFSERMLIDTCKSKNIKYIIFRYFNVAGSDPKKRTGQIVKNATHLIKVACETALGKRDKIQIFGNNYDTKDGTCIRDYIHVWDLVTAHLKAITYLQEGGVSKILNCGYGNGFSVLDVIKNVKKLSNVNFKTEIVPRRLGDPAKIIADNSLILKYLKWNPKYNLEKIVSDSLEWYSNN--------- 143900313 ----KILLTGGAGFIGSHLAEKLLKDGHEVVAIDDLSTGASSNITTFSFVEGSILNSELINEL--AENSDGVIHLGAALGVKRILDFPYTSFIANTQGTENVILAASKFKKRVF-IASTSEIYGKNPEQPLTEESDRVIGSPYSEAKAIDESLAQMFYQSHGLEYVVGRFFNTVGPRQSGMY-------GMVLPRFVSAAIKNE-------TLEVHGDGSQSRTFCHVLDSIDAVSRL--FFSEKAMGQAFNIGGEGEISIKDLADKVIEMTGSKSEIKFVPQGFEEMMRRVPDTSKLRTYTGWSPKRNLDEIIKD----------------- 136267947 ------------------------------------------------FIRLNLEEKENIKTLFKKNNIDIVCNLAAQAGVRYSLINPDKYISSNIIGFFNILECCKQYNIKKLVYASSSSVYGNNQNIPFIEEEKVDPVSLYAATKTSNELLAYSYSSLFSIQTIGLRFFTVYGP----------WGRPDMALFLFTDAILKKKAIKVFNNG------NLLRDFTYIDDIIDGVSKVLLNKKNELKYSIYNIGNSKPVSLLDFIDEIEKQLNIKSIRKYMPMQAGDVKKTYASTKKINEDYNYVPKTSIRSGIKDFLKWYKSYYK------- 256390199 -AGAKVLITGGAGFIGSTVASACLDADLVPVILDNLSTGRSEFTEGRIFYEGDIADAALLDRVFAAQDIAAAVHCAALTNVPESVANPIRYYRENVTKTLELIEGLVRNGCRRMVFSSSASVYAAGSSG------------PYARSKAITEWVLEDVARAGDLQAVALRYFTPIGADPD-FHTGTPSPEALHVLDKVTTAYRSDEPFHIAGTDFPFDSRRDARDYIHVWDLAEAHVAALRNFD----------------------AIVARHASHTVPYEVINLGAGD-GTTVPQPALARQPLGWAPRYSVGTGIRDALTW------------- 134848172 ----RYVITGGCGFIGSHVVQLLKSKGKEVAIIDKITNDQKTLLQNVQHFYMDISDPELMEKALLP--DDIVIHLAAQSHVDVSFKNPVQTTISNVVGIHSLLAACVKKDVKKIVIMSTDEVYGSTEQ--IVDVNLLNPANPYSASKAAADMIVSAYKTMHPITITTLRSNNIAGPGQF----------INNIIPRFSVLGLLKKKFTLHGDG------SAMRRYLWVKDAAEAIWLLAEKATT---SQIYHIGHEDKFSNLEIAEKIGNYLGLKDYISFEKDRLINDTIYPADSSDMLRDFHWQPTRNLDEFLPETIEWYREH--------- 206602712 ----RYLVTGGAGFVGSNIVLRLEKDRHDVTVIDDMSSGTFKNLFRGDFHCGDIASMDLVSLFHNRPPFDAIIHEASITDTTVS--DQRQMIWRNVEGFRNIL-SYAARYSCRIVYASSAAVYGNNAS-PQSEDQSPNPLNVYGFSKMIMDNMARKAAREFSRPVIGLRYFNVFGPG------EGHKGKFASMIYQLYLQMREGKNPRIF------KWGEQGRDHVYVKDVVEANILALSAES----SGIVNVGTGVETSFNDIVETLNRALKLQMTPDYFDNPYDYQNHTRASIDGARVLLGYVPRFTVSEGIMDYVQ-YLE---------- 136041500 MNKQKIYIAGHNGMVGSAIYRKIKSQDYLNILV-------------KSSKELDLRNQQAVNEFFQLERPDYVFLAAAVGGILANNIYKAEFIFDNLAIQNNIIDAAHQSGVKKLLFLGSSCIYPKFADQPILEGELESTNEPYAIAKIAGLKMCQFYNQQYGSNFISLMPTNLYGSNDNFDLENSHV-----LPALIRKFHEAKINSSSYVKLWGTG--TPKREFLHVDDLANACVHFMH---NYNENSPVNIGTGKDIAISDLAYLIKDIVGYSGEIRWDSTKLDGTPRKLLDISKAKEN-GWTYTITLEEGIRMTYDWYVKNEK------- 143177600 MMSKVALITGITGQDGSYLAELLLEKGYEVHGIVRRASLINTHRIDHIYEQGDLTDAMSVTNLIKDIEPDEIYNLGAQSHVKVSFEIPEYTAQVDGLGTLRVLEAVRMEMKTRVYQASTSELYGEVQQTPQTETTPFYPRSPYGVAKLYGYWIVKNYRESYGIHASSGILFNHESPRRGETFV------TRKITRGLSRISVGEQECLYLGNLNAKRDWGHAKDYVEAMWLMLQQDKPDDYVIATGEQYSVKDFVDKAAPFFGFNIEWMGEGELEFGYDWNTKRPAEVESLLGDASKAKRKLGWEPKISFDQLIEDMV--------------- 260428692 LSGKRVWVAGHRGMVGGAVVRRLAQEDCEVI-----TAGRDV---------VDLVDQAAVKAWMAQAKPDVVMAAAKVGGIKANSDYPVDFLYQNLMIEANIAQAAHENDVERFLFLGSSCIYPKFAPQPIPEDSPLEPTNEWYAAKITGIKLCQAYRTQYGRDWISAMPTNLYGPGDNYDLNSSHVLPALLRKFHEAKEAGA-------SSVELWGSGTPLREFLHCDDLADALVFLLKEYS---GYEHVNVGSGTEVTIRELAETIARVVGYEAELTFDATKPDGTPRKLMDSSRL-ADMGWSRARPLEDGIAQTYEW------------- 219848448 ----HVLITGGAGYIGSLLTGVLLQHGWSVTVVDDLLFGGSSLLGYWYHVKGDICDPATLRADLPPARFDAVIHLAAIVGFPACQMGPQVAWRYNFEGTKRVFAAADAAQVERFVFASTYSNYGLSSNGPVTEDSPLNPQSLYAETKIAAEQYLRN---------------DTGGATTTPILFRFATLFGISPRTRFDLIVNQFVLEAMTKRKLIIYQRGYARSFVHVRDVCDAILLGLNAPLSTVNREIFNVGGDTGNYTKDEIVALVQRHVPGTVIEYKDLTFGDMRDIRVSFAKIRERLGFVPRISVEEGIRE----------------- 28170704 --KKRALVTGAGGFIGHHLVSYLRRQGYWVRGAD-LRHPEFRPTEADEFVLADLREPGVAEKVVEGVDEVYSL-AADMGGMGFISANHATIMKNNSLIDLNTLEAARKARVNRFFYASSACVYPAYRQNILREEDAYPPEDGYGWEKLNTEHLCSYYREEYGLPVRVARLHNVYGPYCTYDGGREKSPAALARKAALA---------EPGGRMEIWGDGMQTRSYCYVDDCVEGIH----RLTRSDFPGPVNLGTERLIAINDLARMLLEIAGKPGVTLEHRPGPQGVRGRNSDNALLRAELGWEPSTPLETGMAATYHW------------- 134660605 ----KILVTGAAGFIGSAVCEKLLERGNNVIGIDNLNDYYDPNILNYQHHLLDISNKKTLDDLFRKYKPRKVVNLAAQAGVRYSIENPLAYINSNIVGFANILENCRHHNIEHLVYASTSSVYGANTKMPFSEHDSNHPLSVYAASKKSNELMAHTYSYLYKLPTTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 135605580 -----------------------------------------EKNQNFIFAEGMLEDQKKIEIFANKHQPEIIIHLAAQAGVRYSLENPRAYIETNINGTFNVMEIAKNLKVKHLLMASTSSVYGANTDVPFMENSKADTLTIYAATKKANESMAHSYSYLWGIPITMFRFFTVYGP----------WGRPDMALFKFVSAIFNNQPIDIYNNGKMDRDFTYVDDLVNPNDDLKKKFDNGDSQSPVAPYRIVNVGNSERINLLDFIEAIENILGKKAIRNYMPMQMGDVKSTWANTNLLKKLTGYRPETNYKIGVKKFIEWYREYYK------- 143264826 ----KIIITGSAGFIGMHISQELLDLGHEVWGLDNFNDYYQPELKQNRIIEIDINDIEKLN--LENQNFDICINLAAQAGVRLPISSIDKYVSSNITGFKAVINFCKKNNIKNLVYASSSSVYSGNNKVPFSENDALNPTSFYAYTKIYNEHLAKSAELDFGLKSFGLRFFTVYG--------EWGRPDMAYFNFLRKILCKEEITIFNDGEL--------FRDMTYISDIAIGVKKLVDKIEANSTSEILNLGNDKPISVLRLVNKLETLTKKKALIKSIE-KNDEIKKTHADISKAKKMIEYNPSVEFDEGIVRFYYWFKKHY-------- 302340730 -KNSKIYLAGHRGLVGSAIYRVLQKSGYTNIV-------------TRTHKELDLTRQAETEAFFAEEKPEYVILAAAVGGIGANPAYPAEFIYENSEIALNVIHSSYKFGVKKLLNLGSSCIYPKMAPQPLKEEYLLTTNEAYAVAKIAAIRICKHYNQQYGTNFISAMPTNLYGPGDNYDLETSHVLPALIRKFHEAKMNG--------GPVILWGDGSPKREFLYSEDLAEASLFLLEHIDAEKAGEIVNVGTGEDLSIKELAKLISTIVGYKGEIRWDTTKPNGTPRKLLDVSRIHK-LGWQAKTSLEKGIRKTYQHYVE---------- 15894081 ----HYLITGGAGFIGTNLTLRLLNAGHKVTVLDNFSATLPDRLNKATVIKGSVLDRNLVFSLVN--KCDYIIHLAAVVGVRLAMLKGIEGLKVSCTGTDNMLEAAHLYNKGIFISSSSAIYGKISKKSVDEEDDSKKPSWLYSVGKLTEEHLVLAYHRELGVKVKIGRFFNVIGPYQVGNY--------GMVVPTFINVALEEKPIQVYGNGQQTRTFGYIEDIL----------NGLQLVLNYGEGEIYNIGGTEEIRILDLAKKIKVLTQSNSNINLVPYEKAETLQRVPDISKLKK-LGYTPHYSLDEALKSIIKY------------- 143554799 ----NIFITGIAGFLGSHLAKFYLNKGNKVFGNDTLDGGYEDNIKDAKFYKIPCEDNSKLKQLFK--GIDVVIHTAAFAHEGLSIFSPYTITSNIISGSVSVFSAAISSNVKRIVHCSSMARYGEIK-PPFKETDEPKPVDPYGIAKLASEKILINLCEVHDVEYNIAIPHNIIGPNQ------KYDDPFRNVASIMINLILQNRSPIIYGDGNQKRSFSDVRDCIDCIDSMVTHEDIKSQIINIGPGEE------NYITINRLFEIISNKLQFNKKPEFYPYRTNEVKYPLCSSKKAEKLLGYKTKYSVEQSIDTIIQY------------- 238795477 ---KKALITGITGQDGSYLAEFLLSKGYEVHGVDHIYQDRHETNPRFFLHYGDLTDSSNLIRLIKEIQPDEIYNLGAQSHVAVSFESPEYTADVDAMGTLRLLEAIRINKKTRFYQASTSELYGLVQEIPQKETTPFYPRSPYAVAKLYAYWITVNYRESYGIYACNGILFNHESPRRGETFV------TRKITRAIANIAQGLEHCLYLGNIDSLRDWGHAKDYVRLQWMMLQQDQPEDFVIATGKQITVREFVCSGVGINE-IATVKSLSGGDIIVRIDPRRPAEVETLLGDPSKAKCKLGWVPEITVEEMCAEMVA-------------- 57753921 MTNKKALITGITGQDGSYLAEFLLEKGYEVHGIKRFNTDRVDHIYQDPHHYGDLSDTSNLTRLISEIQPDEVYNLGAMSHVAVSFESPEYTADVDAIGTLRLLEAIRFEKKTRFYQASTSELYGLVQEIPQKETTPFYPRSPYAVAKLYAYWITVNYRESYGMYACNGILFNHESPRRGETFV------TRKITRGLSNIAQGLEKCLYLGNMDSLRDWGHAKDYVKMQWLMLQQEQPEDYVIATGVQYSVRQFVDFGIKLRDEVGIVESVSGEDIIVAVDPRRPAEVETLLGDPSKAHDKLGWKPETTLQEMVVEMVR-------------- 138257806 --------------IGSHTVVKLISNGYEVVIIDNLSNSEHFILIRPVFYKKNLTDFDATNEIFKRHKINGVIHFAAYKSVSDSVKNPMKYYNNNLNSLINVLRSMKENRVSNFVFSSSCTVYGMPDKLPVSESAFKNAESPYARTKQFSENIIKDFTNENKISSISLRYFNPVGAHDSGLIGELPKGPDNLIPYITQTAAGIRDELSVFGDNYNTNDGTAVRDYIHVEDLAQAHIKALRFLFDSEKENFYDFGTGIGYSVLDVINSFEKV-------------------------------------------------------------- 310783810 --SKVALITGITGQDGAYLAEFLLGKGYEVHGIKRLNTGRIDHLYEDPHEYGDLTDATNLIRIVQEVRPDEIYNLAAQSHVQVSFETPEYTANSDALGTLRLLEALRIEKTTRFYQASTSELFGKVQETPQRETTPFCPRSPYAAAKLYAYWITVNYREAYGVFASNGILFNHESP------LRGETFVTRKITRAAARIRLGLQKRAYLGNLDAKRDWGHARDYVEAMWLVLQHHRPDDFVIATGRTHTVWEGSGVEERGIDGAGEVRVLVDPRYF------RPTEVDLLLGDPTKAREELGWTPRVEFEELVRTMVE-------------- 135226348 LKYKKIFIAGHRGMVGSALVRYFKSQG-------------AKKLILVSRKKLDLLNQDKVHKFIKYKKPDAIINCAGVGGILANSKYPVEFLNINIMIQLNLISAAYQNKIRNFINLGSSCIYPKKAKQPIKEDSLEKTNEAYALAKIIGLKACEYYNLQYGTSYLTLMPCNLYGPNDNFNLKNSHFVPALIKKIVNSSRRKKSK-------IEIWGTGKAKREAMYVDDLASAIFFILEKKILKDNNPVINLGSGKDFSIKQFAKMICKLSQKKDNLKYNKKYPDGTMRKILDN-RIIKSLGWKPKISLEEGLSKTIKWYKENY-------- 144072978 ---KRALITGITGMDGSHMADFLLEKGYKVFGMERRSTKHLHNNENFQFVNGDLTDQNSIFRVLRDTKPDEVYNLGSQSFVGESWNTPEQTGDVTGLGALRVLEAIREYGESVKFYQASTSEMFGKMEQFANEDTLFYPRSPYGVAKLYAHWITINYKESYDM-------FNV-----SGILFNHESERRGHEFVSRKITDGIARIHLGLQDKIYLGNLDTKRDWGYAPDYVEAMWLMLQ----QDKPDDFVIATGEVRSLGEFLDSAFQHIGVNDWSKYVGMRPADVFYLAGDSSKAKQELGWKPKTSFDDMVSRMVK-------------- 218681702 ------MVTGGAGFIGSALVRHLVSEGAEVLNVDALTYAGNESAPNYQFLHADICDRARMQEAFASFRPDIVMHLAAESHVDRSISGAADFIQTNIVGTFSLLDAARHYWAFRFLHVSTDEVYGLDDQGLFEETTPYDPSSPYSASKAASDHLAIAWHRTYGLPVVVSNCSNNYGP--------FHFPEKLIPLMILNALEGKPLP--------VYGNGANVRDWLYVEDHAR---------------------------------------------------------------------------------------------------- 223940544 --SKKVLITGGAGFIGSHLTDELLEQGYDVRILDNLAPQVHGPQPQRPSYVGDVRDAGKLRHAL--EDIDAVYHLAAMVGVGQSMYEVAEYTSVNNEGTAVLLQALIEKPVEKLVVASSMSLYGEGVPVPTPETKLPCLASVYALSKFDQEQMCLILGKAYNIPTVALRFFNVFGTRQ-----ALSNPYTGVLAIFASRLMNNNSPC-------IFEDGLQQRDFVSVYDIARACRLAMETSAAAG--GVFNLGSGRHYTIKEIAGGVAKVMGKEYLKITGKYRVGDIRNCFADISLARSVLGYDP--------------------------- 141149264 MSMSKVLVTGCAGLIGSHFTRHLLRRGHQVIGVDNLSGGYAEHVPEGQFHRIDVTHREGLDSLFRQALPDYVYHFSAYAAVGLSPFIRCYNYTNNVVGSANVVNACVNNGVKKLVFTSSMDVYGS-QDSPFDEGMRPTPEDPYGIAKYAVEQDIAAASRLFGLRYSIVRPHNVFGT-------------YQNIWDKYRNVLGIWIRQTLSGQPLVYGDGLQVRSFSD----VKYYMEPFERLMTTGDGETYN--------------------------------------------------------------------------------- 142157224 ----KILVLGSRGMVGSAICRRLKKENIQVVA--------------HTRVDADLLHQEFARDYISKTNPDAIILAAAKVGGILANRDYYDFIAQNLIMQSNVIDTAVTLGVKKFIFLGSSCIYPKRAPQPLKEEDTLEPTNPYAIAKIAGVKMCQAANRQFGLNYISLMPTNMYGPNDNFDLESSHVLPAMIRKY------LEANENDTSVQLWGTG--TSRREFLHVDDLADAVCFSLSNY-DAFDHDLLNVGTGTDITIQDLSNSIASLTGFEGETYWDSTKPDGTPVKLLDVSLMSKA-GWNASIGLEEGIRSTIEWYQKHHQN------ 139710195 ----------------------------------------------------DIADRNVMTTLFAKQSFDVVVNLAAQAGVRYSLENPNTYVDSNLVGFVNILEGCRHSNVGHLVYASSSSVYGMNIKEPFSTGDQVDPVSLYAATKKANELMAHTYSHLFSIPTTGLRFFTVYGP--------YGRPDMAYYKFTKAILNGT--------PIDVYNDGKMKRDFTYVDDIVVGLVRVIDRIPEASSPQSYNIGNNNPVELGSFINAIEEACGRRAIRTNLPMQPGDVPVTYADIDSLAEATGFSPSTPIREGIEKFVRWYQ----------- 251771322 ----RYLVTGGAGFIGSNLVRRLEADGHDVTVIDDLSSGHFKNLFRGDFYPEELSRMDLISVFSGRPAFDAIFHQASITDTT--VMDQRFMMERNVEGFRRVIDYALRHTLPV-VYASSAGVYGANPS-PQTETQTPAPMNVYGFSKAVLDNIAAQAAKGYSRTIVGLRYFNVFGPGED------FKGAAASMIYQLYRQMKAGKAPRIF------KWGEQGRDFVYVGDVVEANLRAL----SSRRSGSFNVGSGSFTTFNTLVDTLRESLKLDVKTEYFDNPYDYQEHTHADIQAAKEAFGYSPQTTVVEGIREYVR-------------- 141252730 ----KILVTGAAGFIGMHCAQILLDRGDQVIGVDNLNDYYDVGLKEARLARLTIEDRDALATVFKHEKPERVIHLAAQAGVRYSMTNPHVYIDANITAFMNILEECRHNGISHLVYASSSSVYGGNTSMPFSERHNIHPISLYAATKKANELMAHTYSHLYGVPTTGLRFFTVYGP----------WGRPDMALFLFAKAILKGKAIPVFNNGQMVRDFTYIDD------------------------------------------------------------------------------------------------------------- 141431385 ---KTILVSGGSGFIGSHTCLLLLQNRFRIYVIDSFINSSAKSLDKVHLFNADIKNSKKIEEIFHKESIEAVIHFAGLKSVPESISAPLKYWENNVNGTINLLKIMKKYNCKTIVFSSSASVYKEKSDKLLKEGDICEPVNPYGYTKLTIEKILGDLYKPFTWRIASLRYFNPVGAHHSGYIGEDPSMPNNIYPQITKVGIGKLDEIKIFGSDWPTKDGTGVRDYIHVMDIAEGHFPH----------------------------------------------------------------------------------------------- 291566909 -RDRSVFLTGCTGLLGGWMVTELVQRGAKVTGLVRDSVPQSRLYTDNWSDKINIVDLPTIERAIAEYQVDTVFHLGAQTLVGVANQNPLGTFEANIKGTWNILEACRQVGVSRILIASSDKAYGDQEILPYNETAPLQGQHPYDVSKSCADLISRTYYVSYGLPVCVTRCGNFYGGGDLNFNRIVPDTIRSALREQPVTLRSDG---------------SYIRDYFYVKDGVLAYLHLAEQMERKEWGEAFNFSNELQITVLELVQKILAVMNKNYQPVILNQAKNEIKHQYLSAKKARKMLSWQPQYSLESGLKETIDWYQKF--------- 135152841 -----------------------------------------------------------LSAAIGESQVSCVMHMAAQAGVRWSIDHPKAYIDSNLAGFGNVLEACRHGGVKHLVFASSSSVYGANTNTPFVGDNVDHPVSLYAATKKANELMAHAYSHLFGLPTTGLRFFTVYGP--------WGRPDMAYWKFTEAILAG--TPIEVFGGGVLQRDFTYIDDVVEPQGDPAWSGDHPDPSSSSGPWRIYNIGNHTPVSVNEMIAVLERLCGRAAIRVEKPRQPGDVEITYADVEPLWKDFGFEPRTPLNDGLAAFVEWYRSWSR------- 139062375 ------------------------------------------------------------KKLFTHFNFDGVIHLAAESHVDQSIINPLNFAQTNVMGTLTLLEISRSAWNKRFHHISTDEVYGLGYSGKFTETTAYNPRSPYSASKAASDHFVKAYFHTYGLPVVLSNCSNNYGP----------DQHPEKLIPLFIQNIVQEKPLPVYGDGQNVRDWLYVEDHVEAIDLIFQKGKLGETYNIGGSSQIKNIDIVHKLITISDQYLGRKEGHSKKLIQFVTDRLGHDFRYAIDASKIKNDLNWEPKTSFENGIDKTVLWYLRYFKAL----- 238027511 ----NILITGGSGFIGSHYVDAFVDRGDRVTIFDKNPQVHE---FRGRHIRGNLQDRTMLDQYVRESDF--VIHAGGVLGTHETVGYAADTARENILGSLNVLDSVRQYG-NRLVNISKPNVW----------------LNPYSITKDCVEKFCFMYVREFQLKVAIIKPFNVYGPRQKYSRVQKAIP-------TWIVHALKGEPVEIFGSGSATMDLVHVKDLCNGTMAVVDHFDRCAIGDSDDIAVDVWIGSGREISVKSTVSLLQEIIGKKLDVRHLAMRRGEVDRLCSNNARLSELTGYCPQTPLDDGLQETIDYYREHMVKIDN--- 251794439 MENSKIFVAGHRGLVGSAILRALEEKGYTNLV-------------YRTHAELDLLDRDRVLSFFEEEGIEYVFLAAAVGGIVANNEYPADFIRDNIMIQTNVIDAAYRNKVKKLLFLGSTCIYPKFAPQPMKETGVLEPTNPYAIAKIAGITMCQSYNRQYGTKYISVMPTNMYGPNDNFDLKTSHVLPALIRKFHEAKVNHSP-------EVEVWGTGTPRREFLHSDDLADACLFLMNTYE---ENEIVNIGVGEDISIKELAYLIKDVVGYEGEVVFNTSAPDGTPRKLVDVTKI-NGLGWKATIPLEEGINAVYE-------------- 268609613 ----KALIIGAAGFVGGYLIKELASAGWEVHV-SCLPSEKTEG--ECTVHTLDILDGDGVKAIFDEIQPDIVYHLAAQSSVALSWKKPQLTAEVNVIGTINVLEAARDKKDMRIVLIGSGEEYGYIRQDPLSEDEVLRPGNVYAATKACQGMLGEIYARAYKMDIVMVRAFNHSGPAQAEIFVI-----SDFCKQAAEIEKGMREPVISVGNLEA------MRDFTDVRDVVRAYRLLGEKGRS---GQVYNVGRGRAVKIQYILDTIISYAETNITVRRDEMRASDIPIIEPDVSKIYADTGWKAEITVEDTIKDTLDYWR----------- 310764155 -----ILVIGASGFIGANLLRQLLHQRNDVVGTTSGDSWRLSGVQTTNLIFMNLQDVDSMRMVLDRVNPKTIFDCSSFGAYSF-EQDKARIHATNYLSFIQLMELVLARELTAFVHAGSSSEYGLNSAGP-REDAALIPNSDYAVSKAATTQAITYYGKVKGVPVVNLRLYSVYGPYEDS-----------------SRLIPALCHNAENGELPVFAGAEISRDFVHVDDVVLAFANAALAMRQEIAGESFNIGTGVETTLKALANTATEILSAKPRFNVAAGRAWDVDNWFADISKARQKLGWEPSVPLREGLRRTRNWWQEYLQS------ 148658026 MARKRALITGITGQDGSYLAEFLLEQGYDVIGMIRRSSTVNFERIRHIQDRVDLLDEVSLIHMLREHRPTEVYNLAAQSFVQTSFSQPVFTGEVTALGVTRLLDAIRIVDPDRFYQASSSEMFGKVVETPQRETTPFYPRSPYGVAKVYGHWITVNYRESYGMHASSGILFNHESPRRGLEF------------VTRKISHGVARIALGLDRELRLGNLDAQRDWGYAGDYVRAMWLMLQ--QDQPGDYVVATGQTHSVRRFCELAFAYAGLDYRDYVVVDERRPAEVDLLVGDPSRAREKLGWKPEVSFEQLVEMMVE-------------- 84502179 ----NVLVTGGCGFIGRHVAAELIGAGHGVRLYDAMAGPSADIPPEAAVIRGDMRDAPRLAEALQ--DCDAVIHLAAEVGVGQSMYEIARYVGANDLGTAVLLEAIAAQPVQRLVVASSMSIYGEGEAVPTDETKRPDLASIYALTKYQQEQAALIFGAAYDLPTVALRLFNVFGAGQAL------SNPYTGVLANFASRLANGERPTIF------EDGQQQRDFVHVRDVARAFRLAMEAEPGAVSGETFNIGSGRSYTIERIASLLAEAMDRADPEVLGRFRAGDIRNCFADIGKARDRLGFAPEHRLEDSLGPFVDWVRRSP-------- 138463495 LEGDRIMVTGGAGFIGSNLVDRLIDDGHEVMVIDDLSTGKKENIKLAKFYHKDLTEMRRESDFSIFEGVDIVFHMACLARVQPSIENPHLYHDKNVNGIVNVLEGCRKYGISRLVFSSSSSVYGDAEEVPTSENCKLNPISPYALHKLIGEQYCKLYSELYGIDTVSLRYFNVYGDRQPT------EGAYCLVMGIFAGQRLNNQPMTIRGD------GEQRRDFTHVDDVVD---------------------------------------------------------------------------------------------------- 260798556 -QRKVALITGVSGQDGSYLAEFLINKGYVVHGIIRFNTGRVEHLYKNPMAHGDMTDSTCLVKIISEVQPDEIYNLAAQSHVKVSFDLAEYTADVDGVGVLRMLDAIRTTSKVKFYQASTSEMFGLVQEVPQKETTPFYPRSPYGTAKLYAYWVVVNYREAYNIFACNGILFNHESPRRGETFV------TRKITRGVAKILLGQQEDIVLGNLDSQRDWGHAKDYVRAMWMIMQHEVPDDFVVATGTVHSVREFKHIGVDIMWEGKGLEEVGKDKTTGTVRVRRPTEVDFLQGDSTKAKKILGWEPSVSFEEMVKEMVESMKEHPRA------ 290996822 -----VMITGGAGFIGSHIAEHFIKNEQRIVIYDNLTNSNLEMLKKIVFVKASIMDRQKLRETLQAYQIRFIYHLAALISVPESMSNPKIYFDINTITDIVLDEARATGHVKKVVLSSSAAIYGFDPTVPKMENMNPSCESPYAQSKYDGEFLCKFHSQQALENSKDGEPMVAIALRYFNVFGERQDPNSQYIPRFIDRACKQNEAIEVFGDGRQT------RDFVYVKDVVWANVYASFYI---GEFGVFNVGYGSYITINQLADLVESECKPAKKRIYKPKRAGDVSASMASVRRLTNN-GWTPKYNFKESAIQTIQYF--YQQGKQN--- 136590893 ----NVLVVGGAGYIGSHCVRQAAAAGHVVAVLDDLSYGHAESVPAGVKYRADMGDQAAVRAALRETRTEVVIDFAAFTNVGESVQQPERYHENNVVRTEGLLQAMLAEGVKKLVFSSTCATYGVPERMPMTEDLPQQPINPYGATKLAVEKRLRELAGSHGLSFAAFRYFNAAGASPDGAIGEAHSPETHLIP------------------------------------------------------------------------------------------------------------------------------------------- 256371805 ------IVTGGSGFIGTAVARALRARGVRVAIVDRNAPQHRELDVFVKGAIEHERTWLALATELAGEHVRALYHFAARTSVLQSVNDPHDVFVSNLVGYENALEFARTHEVASVLFASTNAVVGAGDSGTISERSPLAPLTPYGATKAAGEMLGSAYAASYGIHVASVRLTNVYGPGM--------WHKDSIVPRLLRHVVG-------LSEATIYGDGEQVRDFVYIGDVVDAFVRLEEL----GFVGAVSFGSGTSVSVNELVDLVGDVTGHELRLRHVPAKAGEMPGVSVDLSLARS-LGLKADVELAEGLQFAW--------------- 136033425 MNKRSILITGGAGFIGSHLVRHMVSQNTQFINLDALTYAGNKSKLKDNFVNGDITDKALAKKLFTQFNFDGVIHLAAESHVDQSIKNPLNFAQTNVMGTLTLLEISRSAWNKRFHHISTDEVYGLGYSGKFTETTAYNPRSPYSASKAASDHFVRAYFNTYGLPVVLSNCSNNYGP--------DQYPEKLIPLFIQNIILEKPLP--------VYGDGQNVRDWLYVEDHVEAIDLIFQK---GKLGETYNIGGSNQIKNIDIVHKL----------------------------------------------------------------- 138070081 --NKKILITGGAGFIGSALIRHIINTDHSIVNVDKLTYAGNEKNSRYVFEQLDICDANKIKNVFVKHNPDIVMHLAAESHVDRSIDGPGEFIQTNIIGTYVLLEEARIYWSFRFHHISTDEVYGDKNDNPFTEDTPYAPSSPYSASKASSDHLVRAWQRTFNFPAIVTNCSNNYGP--------FQHPEKLIPLIISNALEGKNIP--------IYGNGRQIRDWLYVEDHA----------------------------------------------------------------------------------------------------- 88601751 ------IVTGGAGFIGSHLVDYLVGAGDDVIVIDSLISGDLNSIKNHLDERVTLVSADLLSDSWQEYGADRVYHLAADPDVRESSVAPEKQLNNTIIATHRVLEAMRKACVPEIVFTSTSTVYGEASVIPTPESTPMEPVSVYGASKLACEALISAYSHSFSMRSWIFRFANIIGARSGHGVITDFIRKLKENPH----------ELEILGDGNQTKSYLEVRECIRAIMYVVSH--------TRDPVNTYNIGSEDWISVTEIADILCSEMGLSPQYHYTGGWIGDVPKMQLDISRLKN-LGYVPDITSGESVRIAVR-------------- 62318959 ------------------------------------------------------------------------------------------------------------HGVKTLIYSSTCATYGEPDIMPITEETPQVPINPYGKAKKMAEDIILDFSKNSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRCFDAARGIMPGLQIKGTDYKTADGTCVRDYIDVTDLVDAHVKALQKAKPR-KVGIYNVGTGKGSSVKEFVEACKKATGVEIKIDYLPRRAGDYAEVYSDPSKIRKELNWTAKHTLKESLETAWRWQKLHRNGYG---- 260949070 ------LVTGGAGFMGSHMVDLLLQENHKVVCIDKLSYANIERALRNPNFRFDLADYELLHKLWESSRITTVLNFAAETCVDRSFDAPLYFTTNNIVGLQNLLECLRHLFERRFVHVSTDEVYGEQEPWESSEESALKPTSPYAATKAACDLIIGAYVKSFQVAATIVRPNNVYGPRQFPEKLVSVCLTQLQKVRSSSDALALESRIPLHGSGEYTRMYLHVFDFVRAVNVILKKTHSLE-----GELPVFNIGTADEISNIRFVQMIIDAYDYSKYIRFVKDRNYNDKRYAIDSSKVKA-LGWRQQVSLEEGITQLVQ-------------- 158429617 ---KKVFITGICGQIGSHIAELLLERGDKVVGIDNFATGRREHLKDHPFVEGSIADHALVNQLIGDLQPDAVVHTAASYKDPDDWYNDTLT---NCVGGSNVVQAAKKNNVGRFVYFQTALCYGVPIQQPVRLDHPRNPNSSYAISKSANE----DYLEYSGLDFVTFRLANVVGPR-----------NVSGPLPIFFQRLSEGKKCFV---------TKARRDFVFVKDLARATV----RAVDGVGHGAYHFSSGTDVAIKELYDAVVEAMALPSYPEPEIREPDDAPSILLDPSRTIQDFGKIEFTPLKETVAAAVAYFREY--------- 255083633 ------LVTGAAGFIGSHLVQRLLSTGAKVIAVDNIDDRGPYPPAWKEANLEVLADRASGSRLVVDEDDDDVIHLAARSGVAGATADPEGATDANVASTAVLLDLASAHECVSFTLASSGSVYGEGEPVASREDDSTHPTSPYAASKRAAELMAHAYAPSAPMRVTVARIFTVYGPR----------GRPDMAVYRFVAALLKREKIRRFGDGQST-----WRDYLHVDDVVSGLLAAARRGEGAEVGADVNLASGSPTRLGELIDAVASAVGADEFVTQAPGRPGDVGGTFADVSAAKSLIGWEPTIGLRDGVASTAGWY------------ 141050137 ----NILVTGGAGYIGSHIVQKLLKLKKKVFIIDNLSTGYKRLIKKAKFYNCDINNFKKVNKIIRDENINSVIHLAALLDVNESQKNPKKYYKNNVLGTDNVIKACIKSNVKNFIFSSTAAVYRDGI-FKVKESSQIKPKSIYGKCKFKAEKLIKQKLKKNKINYAILRYFNVCGSGQGYKY-GQINTYDLLFKNLAKSIIKKKPEINIYGKNYPTKDGTCIRDFIHISDITNIHIKILKKISQSNKSYIFNC-------------------------------------------------------------------------------- 141825015 --------------LGSAVVRHLINDDHTVVNLDKLTYGNLESLTRYSFEQVDICDAEEVKRVFNTHQPDIIMHLAAESHVDRSIDGPGEFIQTNVVGTYTLLEQARAYWGFKFHHISTDEVYGDLPHPLFTETTPYAPSSPYSASKASSDQLVRSWLRTYKLPTLVTNCSNNYGP--------YHFPEKLIPLVILNALAGKPLP--------VYGKGNQIRDWLYVEDHARALVVVA---LNGEIGETYNIGGHNEKQNIEVVQTICSILDYAEQITYVQDRPGHDMRYAIDASK------------------------------------ 136517479 ----KIYVAGHRGMVGSAIVRALRAAGHTAVV-------------TRTSAELDLRDGAATRAFLAAEKPAAVIMAAAVGGIKANSTEPYDFLYDNLAMAANVIDGSRRAGVRKLLFLGSSCIYPKMAPQPIREESLLTTNEAYAVAKIAGIKLCDHARAQYGSDFISAMPCNLYGTGDNFSLENSHVLPAMIRKMHEAKLRGEA-------SLRLWGTGTPLREFLHADDLAEACLLLLERYSQAG---HVNVGSGEELSIRQLAEMVAQAVGFTGRIEFDPSMPDGTPRKLMDVSRIRA-LGWKHRITMVEGIRGAYAWYLAH--------- 143914376 ----KILITGIAGFIGFSIANFLIKNNKNIIGLDNLDNYYSNKNKNFKFIKIDITNKKKLFSFFNKNDFDVVMHFAAQAGVRYSLKNPKKYTKINKNGFENLLNAIIKNKPKKIIYASSSSVYGNSKKLPTAENQKLKPENIYAKTKIQNEIFALKYKRKYNLNICGLRFFTVYG--------EWGRPDMFLFKLLKAYKTNRKFYLNNFGNH--------QRDFTYIGDIIKILNNLIKLKLYNFDVINIC--ASKPVNIKKVLDKFSKDFKFKNFY-LVDKNIADVLNTHGDNKLLKKKINFKNFTEFNTGLKKTIKWYIAN--------- 269926816 ---KTALITGITGQDGSYLAEFLLEKGYRVVGMVRRTSGRIKHIQDDIIVTGDLLDQLSLIDIIKEYKPNEVYNLAAQSFVPTSWTQPVLTGEFTALGVTRMLEAIRVDPSIRFYQASSSEMFGKVRETPQKETTPFYPRSPYGVAKVYGHYITVNYRESYGIFACSGICFNHESPRRGLEFVTRKVSYGVARIKHGLQKELR------------LGNLDAKRDWGYTGDYVRAMWLMLQ----QDTPDDYVIATGETHSVRELVELAFSHVGLDYYVVVDPKRAAEVDLLVGDSTKARQKLGWQPTVKFEDLVRMMVE-------------- 228909336 --GKTILITGHTGFKGSWLSLWLNELGATVIGYDNFNITNLQNNI--IDIRGDIRDFNKLNKVFTDYKPEIVFHLAAQPLVKYSYEYPRETYEVNVVGTMNVLEAIRLHESAKIGIMVTSDKCYENKEWPWREIDPMGGHDIYSSSKGCCELLISSYRNSYSEENFSHHKKIIASVRAGNVIGGGDWSIDRIIPDCIRALESNKK--------IIIRNPTAIRPWQHVLEPLSGYLLLTEKIINNGILYSGAWNFGPSLSNIVPVELVTSLLDIWGCGDWTAENIGTINFLNLDISKAKFNLDWQPKWSLQQTLENTIEWY-KHYNSYTS--- 136246208 MMTKKVIVTGCAGFIGFHTCKKLIKEGYSVLGLDNINDYYDKDLKLNRLENLDIEHEYLIKEKFNSFKPDIVINLAAQAGVRYSIKNPKSFIKSNLVGFANILEACRDIKVKNLLYASSSSVYGGNLKTPFKETDITHPISLYAATKSSNELMAQSYSHLYKIPCTGLRFFTVYGP----------WGRPDMAPMIFANAILNKKPIKLFNYGNMKRDFTFIDDIVE---------------------------------------------------------------------------------------------------------- 134783106 ------------------------------------------------FYNVDCTDSHAVNSVFKIEKDIGLIHFAAFKSVEESIRLPEKYFKNNIGSLEVILKQMKNNFIDNIIFSSSCTVYGSPDILPVNEDSPFKPESPYAETKQLCEGIL----KKSDVKSISLRYFNPIGSHPSALIGDCSDKPANLVPIICEVARGIRKELIINGNDYNTYDGTCVRDYIHVLDLAKSHVLALRYLLKKQKKDIFNVGTGKGTSVLECVNTFQKSNNVNLNFSFGKRRSGDIAKIYSDNSKILKFLKWKSEIKIDIAMRDAWNWELK---------- 167648875 -STERVLVTGAAGFIGYHLAKRLADDGHHVVCADNMIRGEDDEAYRALCERIDLTDQAAVRGL--PDDIDRVFHLAAMNGTQNFYERPFEVMRCCTLPTIFLLEKYGPLGLKRFVYTGTSEAYPTGEDVPLSIDDPSNVRWSYGASKMHGEVATQCAAKFFDLRFTVIRYHNVYGPRMG----DKHVVPDFLIRARDGVLSLYGHEDT--------------RAFLYIDDAVEATLRVAQMDACEGETINI--GAEQEMTIRDLGEAMKRIAGLEGEITLHPSPKGSVKRRAPKVEKLKHLTNFHETWSLEDGLRETAKYYL----------- 254424664 -QPKRALITGITGQDGSYLAELLLSKGYEVHGIIRFNTDRIDHIYEDPHKEGDLNDGTMLRRILEQVQPNEVYNLGAQSHVRVSFDSPEYTVDTVAMGALRLLEAIRDYQQRRFYQAGSSEMYGKVQHVPQSEETPFYPRSPYSCAKVYAHWQTINYRESYDMFATNGILFNHESPRRGETFV------TRKITRAIARIVTGQQKKLYLGNLDAKRDWGYAKDYVKAMWLMLQHDQPDDFVIATGETYSIRQFLDIAFQHVN--------LDWTDFVAFDERRPAEVDLLIGDPSKAKRILGWQPEVSFEELVKLMVD-------------- 225849012 -KNKRVLITGHTGFKGSWMALWLKEMGAHIIGLDPPTNPSLFETLEIIHIVGDVRDEKKLKETFKKYQPEIVIHMAAQPLVRYSYINPKETYETNVIGTLNVFEAVRETDSVKVIINVTSDKCYQNKEWVYRENDPMGGYDPYSSSKGCAELLTTAYRNSFFNPEGYGKTHSVASVRAGNVIGGGDWAADRLIPDCIRSLSKKEKIY--------IRNPQAIRPWQYILEPLSGYLWALLWINPTKYSEGWNFGPDDELTVEDIVKKVIHLWGEGEYEVILDKKFYESKLLKLDTSKARFYLKWRPVYNIEIALKETVNWYKEYFNNPEN--- 143653320 ----KVLVTGSTGMIGGHFLTACEKRGWETHGL-SRSTSHSRQNPFQNHHECDILDRGSLISMLRKLKPDLIIHMAAQAFNGNSWESEDYTHQANFNGTLNLLNASLHECPDKLLLACSSAEYGDPEDCPLIEDRLLRPITPYGVSKASCESLGYQYFVNYGMQVFLPRLFIHVGTG-----HPPATAIQNFARQVAAIKLGKLEPIMRVGRLDT------ARDFIDVRDGVEGMLLLLEKGQA---GQAVNICNQKAHTIQEVLDIFIEESGVDVNVEQDPNRPSDEPLLLGDNSRLRA-LGWKRRFSFRETLRSVYDW------------- 135350442 ----RVYVAGNLGMVGGAICRQLQRD-------------PSVQIITSGRHEVDLTDQAQVKNFFSATYIDQVYLAAAVGGIHANNNYPAEFIYQNLMIEANVIHSAFEAGVNRLLFLGSSCIYPRDAEQPMSEHAKLEPTNPYAIAKIAGVKLCESYNRQYGTNYQTIMPTNLYGPH-----DNFHPELSHVLPALLRRFHEAKLNDSASVTVWGTG--TSLREFLHVDDLADAAIFLMGLSENRAKSPQVNVGSGKEISIADLAKLIASIVGFEGAINWDHSKPDGTPRKLMDSSKMRS-LGWTPKVGLRSGVESTYAWYLEN--------- 135267304 LSGKTILITGGAGFLGNYFIDFLLEKPCKVISVDNFITGVSYKVPEGPNFKANVKDPLKVDD-----DIDYLLHLAGIASPKFYRKYKIETIDVGTLGTKNMLELAREKNVKSMLFFSSSEVYGDPDPEFVPEDSCIGPRANYDESKRLGETFCIAYFETHKVPVKMIRPFNIYGPGMRL--------DDYRVIPNFVAKAFNNEPIPVYGDGKNTRT------FCYVSDAMTGFFKVLLVDNMNGEPFNV-GNEDNEISMEDLAKTLAEVFDNKVKIERDAYTKGDPKRRCPNLAKIKK-LGYSNKVDLKSGMKRFIKW------------- 137629012 ---KKILITGGCGFIGSHLVEHLTAKGFSVTAFDRYNSNNNWGFLENSKFKGDIRDFDSVKKAIKGNNI--VIHLAALIGIPYSYISPLAYIKTNVEGTYNVLEASKDLKIKKTIITSTSEVYGSALYLPIDEKHPLQPQSPYSASKIAADNLSMSYFNSYNLPITIIRPFNTYGPRQS---------------------------------------------------------------------------------------------------------------------------------------------------------- 138387341 ---KKVIVTGGLGFIGSNLIKILLKKKYYVINLDKITYSSNFYNINYKFIKVDINNKKKILQILKKFKPNAIFNLAAETHVDRSIDKPESFIKSNILGVFNLLEAFKMYKKIKLIHISTDEVYGDILSGRSKEDDSYKPSSPYAASKASSDHLVYSYIRTFKIPAIITNCSNNYGP--------KQHPEKLIPKLIYNIINNKNLP--------IYGKGLNSREWIYVDDHCEALIKIFEKGII---GNFYNIGSNLNVNNLKISKLLIKVIGKNVKIKFVKDRPGHDMRYALNSSKLIK--------------------------------- 137733550 ---RKAFVTGGSGYLGSHLCKLLKKNGWYVALYDIKNPTHTYYDLSFGRY--DVCDRIALKESLVMVKPDVVFHLAGRIEVGESVKHPTEFYAVNTGGTINLLTAMQALGINNLIYSSTAGVYEPSEEKLSEFHPVDWQNNPYAGSKLAAEQAI----KQSGIGYCIFRYFNLAGADPEGELGENHVPETHLIPKIFENL----NNFTINGDSYDTLDGTCIRDYVHVCDVADMHLQMAEKMLGQKPAHNMNLGNGTGYSIKEILNLAGITIGQKIPYTVGPKR------------------------------------------------- 134395210 ----KVLITGVAGFIGMHVALRMLSRGDDVVGVDNLNDYYDVRLKDSRLELIDLVDGDAVDTLFRIEKFDWVIHLAAQPGVRYSLKNPQAYIDSNIQGFMSVLISCQRYEIKHFVYASSSSVYGYNNSFPYKEDNVDHPISLYAATKKTNELIAHTYSHLYGIPTTGLRFFTVYGP----------WGRPDMSPFLFVNAILKGEPINIFNKGNMARDFTYIDDIVE---------------------------------------------------------------------------------------------------------- 288797813 ----RFMVTGGAGFIGSNLAFALKQQGHDVVVVDDFSSGHYKNLIGGEVVTMDMARIEELAEVESLLPLDGIFHQAAITDTT--VMDQKRMMKVNNDAFRHLLEWAVEKEIPV-VYASSAGVYG-NSPAPNRVDQGLLPENIYGFSKYAMDMTARSFMERHPERIVGLRYFNVYGPGES------HKGHAASMVYQLYHQILAGKRPRLF------KHGEQKRDFIYVTDIVQAN---LRAMFSEGDSGVYNVGTGTARTFNDMIAIICRELATENTVEYIDNPAFYQNHTEADISATQGRLGYRPEYTFEAGIAA----YLK---------- 142910336 MPSMRILVTGGAGFIGSYLCEELVSMGHRVVAIDSMFRGSEDNLLSIMGNDGDVRDVDDLDAVDALGGLDLVYHLAAINGTKWFHEAAHSVIDVNINGTLRTLELAMAHDA-KYVFASSPEAFGEPQTQPLTDGDAMIFTDPRKHSKYLGE-VACQHAARDGLAVQIIRPFNAYGPRLLGD-------EYGQVVAMFFQQLIDSGVINIHGDGEQTRSFTWIGDVVAGFIGAG--------MRNLPSGEVFNIGSTEEISIAELANRI-AHFGDDVKLQYTDGYHGDVKRRLPNIANSVELLDWHAKVSLDEGL------------------- 134595289 MTQETWLLTGGAGYIGSHIADEFIRAGKSVVIYDSLYQGRIKHNIDIPLIKADIRDYNEIEGVIRKYNINGIVHTAALKAVGESMEKPDEYFEVNLTATNELIDIARRNNVKKFIFSSTAAVYGSPDSMPCLEDGPKSPISPYGDSKYQAEAKVTAFINTPGNHGTSLRFFNVVGTAAPELIDNS----VENLVPIVLGKLNKNQPPEIFGTDYPTPDGTCIRDYVDVRD------------------------------------------------------------------------------------------------------- 238895622 --KKRVFVAGYNGMVGSAIVRQLKNRDDIELVLRS-------------RQELNLLNAQDVNHFFANERINEVYLAAAVGGIVANNTYPADFIYENILIEANIIHAAHVNDVNKIMFLGSSCIYPKFAKQPISESELLQTNEPYAIAKIAGIKLCESYNRQHNRDYRSVMPTNLYGENDNFHPSNSHVIPALLRRFHEAKLEGLP-------QVVVWGSGTPMREFLHVDDMAAASIYVMDQEQTQPMLSHINVGTGVDCSIRELAETISKVVGYRGEVVFDSTKPDGTPRKLLDVSRL-EGLGWKYQIKLEAGLTRTYEWFLKNQQSFRS--- 149925732 --TKVALITGVTGQDGSYLAEFLLSKGYEVHGIKRFNTQRVDHIYEDPHVEGDLTDSSNLTRIIQQVQPDEVYNLGAQSHVAVSFESPEYTADVDAMGTLRLLEAIRFEKKTRFYQASTSELYGLVQEIPQKETTPFYPRSPYAVAKMYAYWITVNYRESYGMYACNGILFNHESPRRGETFV------TRKITRGMSNIAVGLEQCLYMGNMDALRDWGHAKDYVEMQWLMLQQETPDDFVIATGVQYSVRQWEGSGVDEKGIVVKVQALKEGDVVVQVDPRRPAEVETLLGDPSKAKNKLGWTPKITVHEMVKEMVQ-------------- 136306374 MKKRSALITGGAGFIGFHLAKNLSDDGLDVTILDNFSRGREDELISRKNVQFIESDITEKSSFKNLPEFDYVYHLAAINGTENFYNIPDQVLRVGVIGTLNVLDWFVNCEKGKILFSSSSETYPTPEKVPLVIDEPSNVRWSYGASKIIGEVAFHSYSAANGMNFSIVRYHNIYGPRMGFEHVIPQFIERIERGDNPFNIMGG----------------TETRSFCYVDDAVKATRLVMESEESNGKVINIGRSDG-EISILELANKIFAVSDVNPEIEILPAPEGSVKRRCPNVEEL-SALGFIPNVSLEVGLGRIYEWYHDYYRN------ 135301975 ----KIFLTGITGFLGQRIAETLTSHGHDVATGDRASNTRGEAIKGVKYYYGDLTDYLVISDALRDFQPDVIIHLAAQTSVAYSFTHMKEVFDVNFIGVFNMAEAARREVPNRFIWSGSAEEYGIPEENYPTKEDQLHAASPYGVAKIAAENFLKYLYQAYGFPAIIFRNANSFG---------RKFNHQFVIESIIFQMVEGKSPIKL-------GDPEPVRDFIFEEDLLNAYVLAAESESKEILGEAVNITTSEPISIRDLAEKIRNITGYTGEIQWNPKRALEIPKLNMDNSKVKRLLNWSPKFTIDEGLKITASYYMK---------- 228969739 -----ILVTGGAGYIGSHTCVELLNSGYEVIVVDNLSNSSVESIKQFKFYKEDVLNREALDAIFEENVIEAVIHFAGFKAVGESVAIPLTYYHNNITSTLVLCEVMQKHNVKKMIFSSSATVYGIPETSPITEEFPLSATNPYGQTKLMIEQIMRDVAFADAEWVSHYFAISI---------------------------------------------------------------------------------------------------------------------------------------------------------------- 136820391 -----------CGFIGSALVRRLVQAGHKADIVDDMSAGDLVKVSDMPIRQGDFSHPLVLNRI-AQGMYDVVFHLAADPRVEYSVQDPAGTTENNLMKTVTLMSACV-DNVRRFVFSSSAAVYG-NQSGLISEDSQPKPESPYALQKLTIDNLLPMFYKFHGLDSVSLRYFNVYGPGHVGTGAYSTAVAAWCNALQTCTSLRSD------------GDGTQTRDLIYVDDVADANITAALHDDDL-KGRTFNICTGNAISNNEILQVIWKAWG-PFKREDSPPRPGDIKHSQGDPSLAKDILGFECTVPFTAGMDLTLGW------------- 143068038 ----RVLVTGGCGFIGSAVVRHLIQETHEVINIDLMTYAATENDERYRHLAIDIRDPEAVDAAFLDHQPEAVMHLAAESHVDRSIDGPEEFVFTNIVGTMQLLQASRRLMAFRFLHVSTDEVFGDHDTEPFDEATPYNPRSPYSASKAAADHLVRAWGETYGVPVLITNCSNNYGP--------FHFPEKLIPLITLKAIAGEPLP--------VYGTGENVRDWLFVDDHAAALTQVL---TGGTVGETYVIGGAAERSNLQVVETICDLVDERLGVRTEGPR------------------------------------------------- 257469357 -KGKRVLVTGHTGFKGSWLSIWLRELGAEVIGYDNFVLSHLS--EKMVDIRGDIRDRKHLKEVFDKYKPEIVFHLAAQPLVRLSYDIPVETYETNLMGTINILEEIRNCENTKIGIMITTDKCYENKEQIWRENEAFGGYDPYSSSKGACEIAIQSWRNFFNPKDYEKHGKSIASVRAGNVIGGGDWAKDRIVPDCIRALEEDRD--------IKIRSPKSIRPWEHVLEPLSGYQKMMEDPIKYCEGWNFGPNLDAIVNVWEVAEKIVKDYRKGNLKDIDPNALHEAKLLLLDITKSRFELGWKPTLTIDKSIELTAEWYKRYKN------- 140797108 ---QKILVTGAAGFIGYHLCKYLLKKNKILYGIDNLNTYYDIKLKKSRFQKIDLGDKNSLKEIFKKFKPNIVINLAAQAGVRYSIENPHAYVESNISGFLNILEECKNHNVEHLIYASSSSVYGMNKRFPFSENQNVHPVSLYAASKKSNELFSHSYSHIYKLPCTGLRFFTVYGP----------WGRPDMALYKFTKNILADKPIDVYGHGKMKRDFTYIDDIVE---------------------------------------------------------------------------------------------------------- 143153947 -----ILVTGGSGFIGSAITKYLVKDGNQVIVFDNNSRGKSSRLKDIHFIKGDIRNKKQLLSI--NHNIDTVIHLAYVNGTKFFYKKPFEILDIAVNGLINILEFCRKKRVKNFYLASSSEVYQNPIKIPTDERDIHNPRYSYGGGKIISELYSLYFAKKFLKKFIIFRPHNVYG-------NDMGNEHVIPEFINRFKKIGNKKQFLIYGTGQEIRSFIYIDDFISGFDKIYKKGKNLE---------IYNIGTNEKVKISNLAILIAKLLNKDIKFKKTKILKGSPSKRCPDITKIKK-LGFKQKIPLKHGIQRLLK-------------- 136447402 ----RALVTGISGQTGSYLAELLLQEGYEVHGVIRRSSLIKTDRIDHIFDRGDLTDGMNLMHLVQKIQPDEIYNMAAQSHVQVSFETPEYTANADALGTLRFLEIIRTVNPKIKFYQASTSELYGSTHPPQDEESKFQPVSPYGTAKLYAYWLTRNYRDGYGLFSVNGILFNHEGPR-----RGETFVTRKVTRWVAQYKLGLTDKPLQIGNVHAVRDWTDARDMVRGIYLIMCQDIPKDYVLGTGVGRTICIGVKLVWDDEKEQGIDRATSKVVVEVNPKYKRPLEVNSIIANPSKANTELGWTPQISFKQMIKEMVE-------------- 218884406 ----RILVTGGGGFIGRFLVSDLVKKGYDAIVVDRGPSPFVEH-QRIKYYVGDVTNVIQINNIMAKHKPDVVIHLAALLADT-CEIEPLQATKVNIEATQNLIELSITHGIKRFVFMSSASVYHPDTPEPVREEDAGKPVSYYGVTKYAGELIGSWYYRKGLIDFRALRPTVVFGPG--------------RFRGPSAEYSSMIIERALNNEKVIVKNPNDKVNYIYVRDVVSVLILLAEAEKVK---YRAYNAAGFVSRVIEFVEMVKKYIPTLQYEV----QPHETVRYAADDSRIREELGWRPQYTYEKAIEDYIE-------------- 111021237 MMGTRVLLTGAAGFIGGHVHAALIEAGHDVVAVDALSAHGADPEPPDGVRRADVRDRPALIELLRGV--DVVCHQAAVVGAGVDVRDAPAYASHNDLGTATLLAAMHESGCETLILASSMVVYGEGEWALVEEHSPLRPRSLYAAGKVAQENYALAWALATGGSVTALRYHNVYGDRM------PRNTPYSGVAAMFRSALENGDPPHVF------EDGRQTRDFVHVHDVAAANVAAVEAAL--PGFAAFNVCSGHPITIGEVAATLARSHGGPEPVVTGEYRPGDVRHIVADPWLARERLGFRAQIRPADGIAAF---------------- 220935261 ---KKALITGITGQDGSYLAEFLLEKGYEVHGIKRFNTERIDHLYQDPHERGDLTDSSNLIRIIQQVRPDEVYNLGAQSHVAVSFESPEYTADVDALGTLRLLEAIRIEKETRFYQASTSELYGLVQEVPQKETTPFYPRSPYAAAKLYAYWITVNYREAYGMYACNGILFNHESPRRG------------ETFVTRKITRGLSNIAQGIEQCLYLGNMGALRDWGHAKDYVRMQWLMLQ----QDQAEDFVIATGVQYSVRDFVRMSAEELGIDIIVAVDPRRPTEVETLLGDPTRARERLGWVPEISTEEMVREMVAYDLDQAR------- 135345827 MKQKKALITGITGQDGSYLAEHLLSLGYEVHGIVRRVA--LEDPTHHLWRLLPISDFPALYKIIRDVKPDECYHLASQSFVSISFEDEFSTMQTNINGTHYLLAAIKDTSPKRFYFAGSSEMFGKAEETPQRETTRFHPRSVYGITKVAGFELTRNYREAYDLFTCTGILFNHESPR-----RGYEFVTRKISSTAARIKLGLEKELRL-------GNIDAKRDWGFSGEYVQMMHTMLQ----QDNPDDYVIGTGETHSVREFMQIVFEELKYEDYLVIDPRRPAEIEILVADPSKARKKLGWEPKVTFEE--------------------- 229031056 --KKKVFITGHTGFKGSWLTLFLTSLGAEVVGYSSHPPSQGNVAKECITIKGDITDYDSLLHALKHHNPDILFHLAAQPIVTTSYKDPIETFKTNVLGTVHVLEAAKQIESIRSIINVTSDKCYENDNRAFVESDRLGGFDPYSASKACAELVATSYQNSF----FRTNTQNLASVRAGNVIGGGDWAEDRLFPDVIRAYLQDA--------TLNIRNKHAIRPWQHVLDPLHGYTLLAEKLWKDAEYWNFGPMNEPNRTVHDVIQFIMKLWNQPLTISPHTNTPYESPILTLDSTKAVNKLGWTPKLSTDHSIAWTVDWYKKY--------- 141414590 -----------------------------------------------DFIKGDIRDVKTLNKIFFHAEIESVIHFAGLKSVKDSISSPIQYWDVNVKGSINLIQVMKQFNCNKIVFSSSATIYGLNDGNLIKETSHINPINPYGVTKLTVENLLNDIFQQDQWRIASLRYFNPIGAHPSGKIGENPIGKSNIFPTLMNVAAREINSLKVYGSDWDTPDGTGIRDYIHVMDVAEAHVASLNFNKNSPQYSLFNIGTGIGTSVLELINTFQEVNKVNINHNFCSRRKGDLAKVIADNNLAISKLDWYPK-------------------------- 139328442 -------------------------------------------------IEADLEDQKAVEAAFSEHTPQKVVNLAAQAGVRYSIENPAAYIQANLVGFGHILEGCRHHGIEHLVYASSSSVYGGNTRMPFSEQHVDHPVSLYAASKKANELMAHTYSHLYGLPATGLRFFTVYGP----------WGRPDMALFLFTKAMLEGKPIEVFNNGQMVRDFTYIDDIIESLMRLLEKPATADPAFDWAPHRVFNIGNSNPTPLMEYIEAVEQALGVTAEKQLMPMQPGDVPATAADTSALEAWVQFKPNTPVQEGVANFVDWF------------ 116671230 ----TVLVTGGAGFIGCAISDALVNEFDRVVVVDNLHTGQRPEQLNAAAELVDVTEAKTWDTVLQDVTPDVVIHLAAETGTGQSLEESTRHAHVNVVGTSQLLDAWKDAHGRVFYPGQRTSETLDKAQWDFPDETFPAPVSVYGATKLAQENVLQAWAKSYGVETVILRLQNVYGPGQSLI------NPYTGIMSLFCRMAMGGKSIPLYEDGEV------RRDFILIDDVASAIVAGAVSTTVQAEPMDI--GSGEFQTIGTAAKLIAEHYKAPASHVTGQYRQGDVRHAWADITAAEKVLGWTPKYNLAQGIERLATW------------- 135021975 LRNKNILLTGGAGYIGSHAALSLLDRGHKVHIIDNLSTGNKILIPKARFTNCNINNEEVISNLIQSNNFDLLMHFAGFIQVEESVKQPEKYFKNNTNNAIKLFNICKNNGLTKIVFSSTAAAYGVGENKLIDENTNLNPQNPYAESKIKTENFLLD--NKDSFQFIILRYFNVAGADKELRSGQISKKSTHLIKKISEVVVGKRDQIEIFGNDYKTPDGTAVRDYIHVSDLADIHVEVAKYLLKNLESNLFNCG------------------------------------------------------------------------------- 148259608 -RGRRVLVTGHTGFKGSWLSMWLARLGADVIALDDTSPSHWDLLLGIDSRRLDLRNAAALREAVREVRPEVVFHLAAQSLVRRSYRDPLGTWNTNVMGTANLLEACRDVGGLRAVVIATSDKCYQNNEWCWRETDPLGGNDPYSASKAATELLAASYRRSF---FAGGEAALIATARAGNVIGGGDWSEDRLVPDIVRAIAA--------GAEVQIRSPRATRPWQHVLEPLSGYLLLGARLLRGDDMFATAWNFGPGLEVATFLEAFAALWPDLRWRAEDMQGPHEAGQLNLDSARARTELGWRPVWEIGEAIERTVAWYRHH--------- 137097622 ------------------------------------------------FIHGDICDKKSVSDAINAFNPDAIVNFAAESHVDRSIDAPNDFINTNIVGTVNYWQQKKGNKFFRFLHISTDEVFGSLNNGFFNESTPYNPSSPYSASKASADHIVRAWHRTYGLPILITNCSNNYGP--------YQFPEKLIPLMIINCIKERKLPLYGDGQ--------NIRDWLFVKDHCKAIMSVL---KNGIIGESYNIGGNNEIKNIDIVKRICSVLDHEELIQYVDDRPGHDFRYAIDTSKIKNKLSWIANETFESGILKTINWYLDN--------- 141087960 --KKKILIVGGAGYIGSHIVLVALEEGYDVIVFDDLSTGSRENIQRVKFVEGSTLSKSDLTKVFENQKFDGVIHLGASKAAGESMISPIKYAENNIVGSLNLVGACLKYNVKKFVFSSSAAVYGDPKYNPIDEKHPSSPTNYYGYTKLSIEQNLKWFSNLKGIRYAVLRYFNAAGYDSKKRVNGIERNPQNLNPNC----------------------------------------------------------------------------------------------------------------------------------------- 88810758 --------------------ELLVREGYEVKALSQYNSGWLEDVNCLDRVEVDVRDPHYCKKITK--DVDLVFHLAALIAIPYSYVAPDSYVDTNIKGTLNICQAAMENGVKRVIHTSTSEVYGTAQYVPIDEKHPLQPQSPYSASKIGADAMAMSFYNAFGLPITIARPFNTYGPRQS---------ARAVIPTIITQIASGNTQIKL-------GDVSPTRDFNYVEDTCRGFLALASCESADGKAVNISSNH--EISVEDTLAIIRELMSSDVEFITEDQRLSEVFRLWGDNTLIRELTGFQPEHDICTGLQKTIDWFTQ-PQNLAK--- 140513738 ----------------------------------------------------------------------------------------------------------------------------------LREDMPTAPVHPYAQTKVAVEQMLAALCRSGSWQVACLRYFNPVGAHPSGRIGEDPLGPNNLFPFITQVAAGRRERLRIFGNDYPTPDGTGIRDYLHVMDLAEAHGSALDHLIQRKDPLILNIGTGRGLSVLDVVHGFEQATGLAIPYEVVERRPGDVPRLEACPKSAKTVLGWSAQRSLEDMCRDGWAWQQANPSGYRN--- 303325464 -----IYVAGHRGLVGGGICRALRRAGY-------------SNLLIRTHAELDLCDQAAVRAFFAKCRPDYVILAAAVGGIYANATYPAEFIYQNLQIQNNVIDSAYRNGAKKLLFLGSSCIYPKLCPQPIKETGPLEPTNDAYAAKISGIKMCQAYRKQYGFDAISAMPTNLYGPGDNYHPENSHVIPALIRRFHEAKLAGAEK-------VIIWGTGTALREFLHVDDMAEACVFLLEKYS---DFEHVNVGCQKECAIMDVARLIAKVVGFEGAIDTDPSKPDGTPRKLMDSSKLFG-MGWKPQHTLAEGLAESYQ-------------- 294011101 ----KILVTGGAGFIGRTVCRELLARGHAVRVLDSMVHGDIERPADLDPERADVRNGHAVDRALQGV--DAVIHLAAEVGVGQSMYEVERYTSVNDVGTAVLFERLIDRPVRRVVTASSMSIYGDNQKVWDPVDRQGRPLTPYALNKYVQERTTHIMTAPYGMEGVCLRLFNVYGPGQ-----ALSNPYTGVLAIFASRLLNGQKPM-------IFEDGGQRRDFVHVGDVARAFADALELPQAAGGTFNIGSGQDRSVTEVAQSLALAMGRNDGEPEIVGKARTGDIRHCFCDTGKAEEVLGFRARQDFQQGLAVLAEW------------- 140155668 ME--KVLITGVAGFIGSNLASGLLEKGYYVVGVDNMSQGDKLNLAKFEIHYIDILDESALKAVSDGCKIM--VHLAAYKIPR--YTDALDTLMINSIGSENVVKAALEQG-SKVVAASTSDVYGKNPNVPFNEESHLVMGNPHVISKMFEEQMLFAYNQRFGIDVVPIRFFGGYGPNQNLTWWGGPQSVFINKALDNE-------------EIEVHGDGLQTRSFTYISDHVNGIIKTIENPA--ANNKVFNIGNTFEISILDLAKLIWKLIDEPAKIKLIPYKYEDVMRRIPDITRARSLLGFEPEVD------------------------ 220925447 ---KTVLVTGAGGFIGHHLVNYLVGRGYWVRAVD-LKYPQFQESRAHEFIRCDLRLHDACQSVTQGM--EEVYHLAAMGGIGFISGSHAEITLNNTLISAHMAKAARDSKVGRFLFSSSACIYPTPDVTPLREDMAWPPEEGYGLEKIYMEKLCQYMTEDWNIPTRVVRFHNVYGPLGTYEGGREKAPAAICRKVALC---------PDGGEIEVWGDGLQTRSFMYIDDCVEGLFRLMQ----SDYGAPLNLGTDEMISINDLVEIAAEIAGKQVAKRYDRSKPQGVRGRNSDNALIRQVLHWEPRTSIREGLVPTYRWELARPRESARE-- 140811748 MSHQRVLVTGAAGFIGAALCQRLLERGDRVIGLDNLNSYYDPALKRARLAEVELEDADALMALFAAERPAVVVNLAAQAGVRYSLENPAAYIQSNLVGFGHILEGCRHHSVENLVYASSSSVYGGNRNLPFHEQQPVHPVSLYAASKKANELMAHTYSHLYGLPATGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 143690603 ---KKILVTGGAGFIGRNLISSLIKE-YKVICVDNFLTSNIEEFNKSSNFELIETDIIDLKHI----DCDFIFNLACAASPVWYSKFHKETIKTCTEGVFNILKIAKDNKAKVF-HASTSEVYGDPTISPQSEDNSFGPRSCYDEGKRCAEAIL--YSFRNDVDFFMGRIFNTYGP--------YMQKDDGRVISNFINQAIRNEDITVYGDGLQT------RSFCYIDDMVRLLKSLITH--KGKYQLPFNIGNPVEYTMIETANEIIKLSKSDSKIKFFDLPKNDPLQRKPDITSVKNFFKWSPETTFNEGASKTIQYFEK---------- 136695878 MNPMKILVTGGCGFIGSNFIIQILNTENQILNIDKLTYAGNNELLNYQFVEGDICDEDLVSKNLFDFQPDAIVHFAAESHVDRSIDGPMAFVETNIIGTKNYLEARIQCNKFRFLNVSTDEVYGLGAEGFFKETTPYDPSSPYSASKASSDHLARAWHRTYDFPAIITNCSNNYGP---------FQFPEKLIPLMIVNCLN-EKPLPVFGQGL------NVRDWLFVEDHCDAIYSVLQ---NGVIGETYNIGGNNEIKNIDIVNTICSTLDELRPINYVVDRPG----------------------------------------------- 142909681 -DSRNILVTGGCGFIASNFLNIMKSKYPYLVNIDSLNYCSNKNNVRATFIKGNICDIDLVQRILKEYKIDTVFHFAAQSHVDNSFTDPLHFTMQNSYGTHALIEACRRVCPGVFVHFSTDEVYGESDTPFKEETGVLKPTNPYSASKAAAEMILRSYIESFDMNIKIIRCNNVYGPN--------QYPEKLIPKFVRLIAEGKKC------TIHGTKSAEIRRAFMHVNDVVDAVNIVWQYGCM---GEIYNIASDDEISVMDIIRTLLNTTDYDKWITYIDDRPFNDSRYHISADKLKSI-GWSPKKTREDLV------------------- 283780650 MTRQ-VLVTGGAGFIGSYLTEALLAEGCHVTVIDDESTGQFDNLAKVKSHRGSVADAKIVADVIPS--IDEVYHLAAAVGVALIASQPIQTIERNIFPTHQLLDLHRAGRYIKLFLASTSEVYGKNPKPVWNEEDDLKPRWSYGVSKAVDEFLALAHYKTSRLPIVIGRFFNVVGPRQTGAYG------MVLPRFVDAALMGHPLQVHDDG--------KQTRCFAHVRDVVSAVLRLMKTHEATGE--IFNIGSDQPVSILELAKRVIALARSESQVEFVSYQQADIRRRVPDLSKLKRTIAYQAAYDLDSIIQDCIE-------------- 226941714 FSGAKVLIVGGAGFVGSNLVYQILDQGPEIIVVDNLLSSDIANIPDDPRVRGSVTDDKILANLPDDLDFA--FHLACYHGNQSSIANPLADHDNNTLTSLKLFDSLKKVVYAAAACAVAEKTYDTPTATTEEQPVTLYHDSPYSISKIIGELYGNYYFQQHRLPFVKARFSNVYGPRE---ILGAGQWRGTVHTVWRNVTPTFVWRSLNGEALPLDNGGNTSRDFIFVEDMARGLMACALKGFA---GSVYNLATGKETSILELATLINEYTGNTTPLDLRPARDWDRSKRFASTEKAARELGFEAQVDIREGVRRTVEWTKAN--------- 225851514 -EGKRVLITGHTGFKGSWITLWLKHLGAEVIGY-SLEPPTEPSLFEIIHIIGDIRDENKLKEVFNKYQPDIVIHMAAQPLVRYSYINPKETYETNVIGTLNVFEAVKETDSVRVVINVTSDKCYENKEWVYRENDPMGGYDPYSSSKGCAELLTSAYRKFNPKDYGKTHHVALASVRAGNVIGGGDWAEDRLIPDCIRSLSK--------GETIHIRNPKATRPWQHVLEPLSGYLWMWEEPVKYSEGWNFGPNDEDILTVEEIVKDVIKIWGDGDYTVNPDNKFHEARLLKLDISKAHSYLKWKPVYNARKALLETINWYK----------- 83941629 ---KRALITGITGQDGSYLAELLLDKGYEVHGIKRLNTQRIDHIYQDPHEDGDLTDSSNLTRIMREVQPDEVYNLGAQSHVAVSFETPEYTTEVNANGALRLLEAIRFEKKTRFYQASTSELYGLVQETPQTEETPFHPRSPYAVAKMYAYWITVNYREAYGIYACNGILFNHESARRGETFV------TRKITRGLANIAQGLEGCLYMGNIDALRDWGHAKDYVRMQWMMLQQDVAEDFVIATGVQYSVRQFITWGITLRDECAIVDSVIGEDMRIDPQYFRPAEVETLLGSPAKAKKKLGWEPQITAQEMCAEMVA-------------- 135079515 ---------------------------------------------------------------------DGVIHFAGFKHAGVSVDKPLLTYEENVVGMMRLVEAMERNGVGSIVFSSSSAVYGDVSEPVLFEDAPKRPASPYGESKLIGEWILRNQGIATGIKHCSLRYFNVVGSAVPGIYDTSPYS----LLSMVFTALHEGETPRMFGTDYDTPDGTCIRDFVHVGPLARAHIDVAEKLFSGDALEPYNLGSGNGSSVKQVMDEVRRVTGIDFTPQADPRRPGDPQKVVASGDLAARDFGWKMEQSVADMVSSAWREYQA---------- 163757905 LSGKRIYVAGHNGMVGSAIARRLARENCAV--------------LTATRSELDLVNQSAVEAWFADNKPDAVFLAAAVGGILANDTLPANFLYENLMIEANIIQAAHHNKVEKLLFLGSSCIYPKFANQPIAEDQPLEPTNEWYAAKIAGIKLCQAYRKQHGADFISAMPTNLYGTGDNYDLATSHVLPALIRKVYEAKAAAAP-------SITLWGSGTPLREFMHADDCADALVFLMQHYS---GHDHVNVGSGQEVTIRDLALMIARASGYVGSIDLDPSKPDGTPRKLMDSTRLGA-MGWQPAIALEVGIARTVAEFRN---------- 135489699 ----NILLSGGAGYIGSHAALSLLDAGHSVHVIDDLSTGNKSLIPKNAYFKCNVNDEEVISKLTKSNNFDILMHFAGFIQVEESVKYPQKYFDNNTQNAINLFKVCQKNGLNKIVFSSTASAYGVSGDKLIDENTILNPQNPYAKSKIKTEDFLFANRDKY--KFIILRYFNVAGADKKLRSGQISKRSTHLIKILSEVVAGKRDHIEIFGSDYNTPDGTAIRDYIHVSDLADIHLEVAKYLLKGSESNLFNCGYGKGLSVLDVINA------------------------------------------------------------------ 226225995 ------LVTGGAGFLGANFVRYWQHADDHLVVLDALTYAGDRTRLADEFVVGDICDEVLVRDLLVQHRIDTIVHFAAESHVDRSIVDGGRFVRTNVLGTQVWQERGTWRSGVRFHHVSTDEVYGPLAEGAFNERSPYNPSSPYSASKAASDHLVRAAGVTHGLPYSISHCANNYGP----------FQHAEKLIPFMLRQALHGLPLTIYGDGL------QRREWLAVHDHCVALDAILGADVA---GETFCIGGGTELTNLELVHRLCGMLDARFAIIHVTDRLGHDRRYALDSSKLSVLTGATPGVVFDEALERVVA-------------- 136187240 ----RVLITGGLGFIGTNLALRCLNLGWETVIVDNGASKYHTFRKNLIYNTGNPKIYENLKSFLKFKKFDVIFHLAAQPSVLYSMEQPYKSFVNNVDDTTLLLITETRNPAARIVFASSAAVYGNVTSLPINESDTKLPVSPYGLHKYFGEQLLSLHARIHNLDCISLRYFNVFGP------YQFATGAYATAVSAWLNSIKNNLPLRKDGT------GEQTRDMVYVKDIVEANILAATA-KDKFNGEAVNIATGREISNNQILDMLSKRF--KFEITEAPFRAGDVFKIFANVSAAKQLLDFESQYTFEQALEETIQ-------------- 135274289 ----RILVTGGAGYIGSHTVSVLLQSGHDVVVFDNLCNSSAVCLERVHFVRGDIRDLEALNMVFEEHSIDAVIHFAGLKAVGESVKKPAVYYDVNVGGTTKLLLAMLRAGVSKIVFSSSATVYGSEASIPYIENIGRGIVNPYGTSKAIIEKMLEDAVAFSDWSIALLRYFNPIGAHKSGLIGEDPLGPNNLMPFMAQVAVGRRD-------------------------------------------------------------------------------------------------------------------------------- 137176933 ----------------------------------------------------------------AMRGIRSVVHLAALKAAGDSMLEPERYALHNLNGTVNLLHAAGTEGVRHFVFSSSAAVYGEPQYLPLDENHPTEPANFYGQTKLQIEMLLSWFSRLRDMRYAGLRYFNAAGYDPDGEVRGLEKEPNNLLPLVLETLLGWRENLEVYGTDYDTEDGSCIRDYIHVSDLADAHLRALNFLEEHDEDLVVNLGTSRGISVLEILDAARKVSGVDLPVIHSDRRQGDPAVVLASAEKAERLLEWSPAFSVETILKTM---------------- 284040522 MEKARIYVAGHRGMVGSALVRKLQSEGFTNIITRTSS-------------ELDLRNQAAVSDFFQREQPEYVFLAAAVGGIMANNIYRAEFLYDNLMIEANIIHSAYQTAVKKLLFLGSSCIYPKLAPQPLKEEYLLETNEPYAIAKITGIKLCESYRSQYGCNFISAMPTNLYGPNDNYDLQGSHVLPALIRKFHEAKVNGQP-------TVEVWGTGSPKREFLHADDLAAACFFLME---NYNDAMFVNVGTGEDVTIREVAELIKETVGFTGELRWNTDKPDGTPRKLMDVSRLH-DMGWKHTTELKDGLARTYQDFLTN--------- 149244550 --DKKVLVTGGAGFIGTCLLQHFLSKYPHIVCVDKLNYASNKSFKNFRFCHLDLSDLQEVINLVRDFGITDIINLAAESSVDRSFLDPVLFTKNNVIATQNLLECLRLLLPQINYFLHMSTDEVYGETQVATEESALNPTNPYSASKALADLLIQAYKQSFQLPITIIRPNNVFGPN--------QFPEKLIPLVMQCGQTGKKVPIHGTG--------KNKRLFLYISDLLDAIEMLFFEHRVESVGEIYNVGHSEAIENREVVHQINEIFGFSVDIEYVRDRKYNDKFYSMNTSKIYS-LGWTPKVSLRRGLQ------------------ 142343361 MTMKKILVTGGSGFIGNRLCRKLLSEGNYVICLDNNFTGSMENIKNFEFIRHDII-------VPIYLEVDEIYHLACPASPKAYQYNAIKTIKTNIIGTINALGIAKRTGA-RILLTSTSEIYGDPTESPQKEEYWIGIRSCYDEGKRVAETLMMEYHRNHNIEIRIARIFNTYGPNMD--------------PYDGRVVSNFITQCINDQDITIYGDGSQTRSFCYVDDMVEGLIKFMKTPNITGP---INLGNPDERTIENLAHKIKALFETKSEIIYKNLPQDDPLQRKPDISKARELLQW----------------------------- 254456297 ----RILVTGAAGFIGSHLCETLLTQKHKVKALDNLSHKNLEVV------RGDITDFDSVNNAL--LNCDYVFNLAASISVPYSFKNPQTFIDTNILGALNIFRASTIKKNKIKKIIQISSSEVYGNDLVLTEKTITVSESPYAASKIAADNLAISMFKATGLPVVVARPFNTFGPRQSLRAVIPTIISQFATISKKNNTIK-------------VGNLKTSRDFVYVRDTVSGLISLLKPSCKPGE--IYNICTGKSFKIYDVIESLKKITGHNPKIIISKKRKAEVYNLRGSNKKIYTSNKWKPKYGFKKALKETYEWFKE---------- 142763207 ----KVLVTGADGFIGSHLTEMLYAEGHSVRALSQYNSFNNDCLNNIDVVSGDIRDKNFCNEI--SEDIEVIFHLAALIGIPYSYIAPDSYIETNIVGTSNICYAAMQKGVKQVIHTSTSEVYGSANYVPIDEKHPLQPQSPYSASKISADSMALSFFNSFDLPLTIIRPFNTYGPRQSS---------RAVIPNIISQIASGKKQIKL-------GDLSPTRDFNYVEDTCRGFISVLDKKETFGET--YNIGSNFEISIKETFLLIKKIMESD---------------------------------------------------------- 139863082 ----RCLVTGGAGFIGSHCADRLIELGHDVVVVDNECAPENDNFFWRDNHKISIMDYDQLLPLFANV--DYVFHFAAESRIQPSIIDPRYAINVNVSGTANVCQAARESGVKRVMYSGTSASYGLANTPPLTEDMPTDCLNPYSVGKVGGEEVCKMYTRLFGLETVRFRYFNVYGDR------SPTKGQYAPVIGLFFQQLMRKEPMTVVGDGSRRRDYTHVSDIVE---------------------------------------------------------------------------------------------------------- 141596081 ---------------------------------------------------------------------------AGLKAVGESVQKPLDYYSNNVQGTISLLQAMQTKRLKNLVFSSSCTVYGRAKYLPMDEKHPTSPINPYGRSKLQIEEILKDAAQDENRRIVCLRYFNPIGANSSGLIGENPNGPNTLIPFIAQVADGQRPKIYIYGGDYPTPDQTCIRDYIHVTDVAEGHAAALSFLKKHRGWHAINLGSGRGYSVLEIINAFEKASKKKIHFDVTDRRVGDAPECNALPKKAEQLLNWRAKRTLEDMCQ------------------ 29367187 -KHSKIYVAGHTGMVGSSLVRLLQKEGYTNII-------------TRSSKELDLTNQQEVTAFFEMETPEYVFLAAAVGGIHANNSFGGDFIRDNLMIQTNVIHASKTFNVKKLVFLGSSCIYPKESPNPIKETGFLEPTNPYAIAKIAGIEMCDAYRKQFGCNFVSVMPTNLSGPNDRYDLQNGHVFPVLIRK-FYEAMINKVPSVKLWGTGIA------RREFLHVDDLARGLLIVMEKYNEPGP---INIGYGSDVSISELAELVRDIVGYKGAIIYDTYMPDGTLKKLIDSTKIKS-LGWEPKISLIDNIKLCVEDFTKNYIKYTN--- 15678401 METQRILVTGGAGFIGTNLVNELRNRGHEVLAVDLMHTEREDYM------RADVREYRQVERIFEEDKFDYVYHLAAEYGRWNGEDYYENLWKTNVIGTKHMLR-MQEKLGFRMIFFSSAEVYGDYSGLMSEDVMVKNPISDTYITKWAGELMCMNSAEMFGTETVRVRPVNCYGPH------EKYSPYKGFIPIFIYHALHRKPY---------TVYKGHKRIIDYVEDSVRTFANIVDNFI-PGEVYNVGGRTEWEHDIKEYSDMVLEAVGIDDSIVYRESEPFTTKVKTMDFSKAIRDLKHDPQVPPEEGIRRTVEWMKWYYR------- 83951705 ---KRALITGITGQDGSYLAEFLLEKGYEVHGIKRFNTDRIDHIFEDPHHYGDLSDTSNLTRLIAEIKPDEVYNLGAQSHVAVSFESPEYTADVDGMGTLRLLEAIRMEKTCRFYQASTSELYGLVQETPQRETTPFYPRSPYAVAKLYSYWITVNYRESYGMYACNGILFNHESPRRGETFV------TRKITRGLANIAQGLEPCLYMGNIDALRDWGHAKDYVRMQWMMLQQDAPEDFVIATGKQYSVRDFIRWSAAELEEVATVAAVTSDKAPLRIDPRRPAEVETLLGDPAKAKEKLGWVPQITAQEMCAEMVE-------------- 143718165 ---KKILITGSSGFIGFHISKFLIKRKYIVIGIDSHNNYYSKNIKKKRFNKIDINNKQKLKKVFQKFLPNAVIHLAGQPGVLYSFKNPKSYYVNNTNATKTLCKISKDFKIKKFIFGSSSSVYGDQKNFPILENAKLNPKNVYAKTKLKSEGIVNKTFLRSRTNFIIFRFFTVFGP--------YGRPDMFIHKFLNHIKLNKLINIHNNGL--------NFRDFTFVDDVVKILEKSLKKEIT---NTIINLCRSKPILTKKLIRIILKHYPAKKNLLKKTMFVGEMYKTHGSNTKLKKIFG-KIKTDLENGLKKTINNYIKN--------- 142432583 ---KRVLITGGAGFIAHHLIKYLVNTNWDIISLDRLDYSGNLNRLDNILSEFQDKDKSRVKVVFHDGDIDVILHLAAGSHVDRSIDYPMEFVLDNVVGTANILEYARFINDNRFIYFSTDEVFGAPNGIDYKENDRYNSTNPYSATKAGGEELAVAYENTYSLPVYITHTMNVFGER--------QHPEKFIPMCIKKIRDGE----TITIHSDKTKTIPGTRHYIHAEDVAEAIYFLLEIDFGGAKCPKFNIVGPEEINNLELAQLIADSQGKEEMVDFHSSRPGHDLRYSLSGEKMKK-LGWTPSIKLSQRIKQVVEWSLKNENWIE---- 134676703 ----KYIVTGGAGFIGSTLTDKLISDGHEVIVIDNLCTGVDTNINRATFFNADISDKLYDRAVNMFTGIDTVFHLAARARVQPSIIDPHYYNLVNITGTLNILDLAKQCNVRRLVFSSSSSIYGNESNLPTAEDSPVNPLSPYGLQKLCGEQYCKLYSTIHDIETVCLRYFSVYGLRQPT------SGAYSLVMGIFANQRLNGLPLKIFGD------GEQRRDFTYVDDVVAAN-INASRSLNVGAGEVINIGNGDNRSVNDIAGYIGGEREYLDPVI-------EPRETLANNALARK--------------------------------- 229148665 MRKNNYLIVGGNSFIGINLALGLLKQGQNVKVFRHINNFPQNIVSEVEFIKGDLKNVEDIYKAL--VNIDIIIYLAATSNVATSIEDV--FGDINSLFFLNFMESVKDFRIKKIILASSGTVYGEPEYLPIDENHPLRPLSPYGITKVSLENYLYFYKRKYGIDYVVCRYSNPYGKYQNPLKKVGAINCFLYQHLSNERIHIYGNPEEII------------RDYIYIDDLVEITIQLAQ--LNQLKSCVYNIGSGKGLSLKRIIVELEKITERKVDFICYKQKQENVQKTILNIDKIKQELNWEPKIDFKHGIR------------------ 144115165 ---RTVLVTGAAGFIGAALSQRLLQQGDRVVGLDNLNDYYDPALKQARLRQIELEDGDALMALFAAEKPTVVVNLAAQAGVRYSLENPAAYIQSNLVGFGHLLEGCRHHGTENLVYASSSSVYGGNRNLPFHEQQPVHPVSLYAASKKANELIAHTYSHLYGLPATGLRFFTVYGP----------WGRPDMAPMLFAKAILAGNPIRVFNHGQMQRDFTYVDDIVE---------------------------------------------------------------------------------------------------------- 223936576 ---KKALITGITGQDGSYLAELLLSKGYEVNGIIRFNTGRLESIYADPHHYGDLSDASALARLIGKIQPEEIYNLAAQSHVRVSFDSPEYTTDITATGTVRLLEAIRETGIPRFYQASSSEMYGLVQEVPQTEKTPFYPRSPYGCAKVYSYWVTVNYRESYGLHASNGILFNHESPRRGETFV-------TRKITRAVAHIKAGLQNKLF-----LGNLDAKRDWGYAKEYVEAMWLMLQ--QEKADDYVIATNETHSVREFLEVAFDCVKLDWKNHVEIDPRRPAEVDLLIGDFSKARRVLGWEPKTRFADLVKLMVD-------------- 136300440 ----KILVIGGAGYIGSHVVYELCDNGYEVTVLDNLSTGFLKNIDSRANFVED--SLINITETFLSE-FDCVIHLAALKAAGESMQNPIRYSKDNITNSIELINKCITAKIEKFIFSSTAAVYGFPDYLPIDENHSLNPVNYYGFTKLVIENHLNWISKIHGLKIAFLRYFNAAGYDINNRVMCKEINPQNLLPIVMEVASGQRKSMDVFGN------------------------------------------------------------------------------------------------------------------------- 140159159 ------MVTGGAGFIGSHLVDALLLENHEVIVIDDLSTGRLDNLARAKSHIIDITEKERISEYF--HDIHWVFHLAGLADIVPSISNPENYFRVNSLGTMNVLELSRGNGVKRFVYAASSSCYGIPKLYPTPESSDIQVEYPYALTKYLGEECVLHWGKIYDLPVISLRLFNVYGPRSRT---------TGTYGAVFGVFLAQKINNKPL---TVVGDGTQLRDFTFVSDVVNAFIQAAKSQVRQ---EIFNVGSGSPQSVNRLVELLEC-----KEIEYIPKRP------------------------------------------------ 134935667 ------------------------------------------------FQRLALEDGEALQKLFAEEQPRIVVNLAAQAGVRYSLENPAAYIQSNLVGFGHILEGCRHHGVENLVYASSSSVYGGNRNLPFHERQPVHPVSLYAASKKANELMAHTYSHLYGLPATGLRFFTVYGP----------WGRPDMAPMLFAKAILAGDSIKVFNYGKMQRDFTYIDDIVECCDNPDFDPLQPDPATAAAPHRVFNIGNSQPTELLRFIEVMEQALGKEAIKDFQPMQPGDVMATAADTKALEEWVGFRPSTPIEDGVDRFACWYRMFY-------- 220916296 ----RVLVVGGAGFIGANLAHRLLSSGTRVRLLDSLARSGVERNLAWEVITGDVRDPGAVARAVEGA--SAVFHLAAQVAVTTSLVDPVQDFEVNARGTLNVLEAVRRQDPPPFVFTSTNKVYGALEDVLISEARNLAFHSPYGCSKGAAEQYVLDWARTYGVPATVFRMSCIYGPHQFGT-------EDQGWVAHFLIQAMARRPITLYGD------GKQVRDILFVEDLLDAFLAARERPREAGRAFNLGGGPDNTVSLIELLELIEALEGARPRVTLEPWRLADQRWYVSDPSTFAVLAGWAPRTGVQEGVRRLHAW------------- 140217927 --KKIILVTGAAGFIGFHICKKLIKEGHYVVGIDNVNSYYSTKLKHWKFFKEDITNKDNFYRIFEEISPEVVIHLAAQAGVRHSMIDPESYISSNLVGFNNLLENCKTFKVKNLLFASSSSVYSGNLKTPFSEDSTNHPISLYAATKKCNELIAHSYSHLYKIPCTSLRLFTVYGP----------WGRPDMAPMIFAKAIFTKKPIKVFNGGDMYRSFTY---------------------------------------------------------------------------------------------------------------- 142410923 ------LVTGAAGFVGSRLSEMLLKDNRKVIALDNLYSREAKIARWERKIEFDLRDFSALSR----YSIDSVINQAAMPGLIADWANFAPYYDSNISGLNRLLEFTKTLNLKSFIQASTSSVYGKLA--LGSEDQDLNPTSPYGVSKLAAEKLLLAYNDWFGTPVKILRYFSIYGPNQRP----------DMAYAKIIKALYDEGEFTVFGDGEQRRSNTFIDDII---------SATLLAEELAPPRSIINVCGDDTVSLNQAISIIERHSGKKLRRLNAAGRTGDQRDTSGANSKAKELLSWSAKVGIEEGLLRQVSAYKE---------- 136274330 ----KILVTGSAGFIGYHLCDLLLKEGHEVFGIDAYTPYYDVALKQHDCEKLRLEDQEGLSRVFNSFKPNVVIHLAAQAGVRYSIEKPEVYVSSNLVGTSNLLEQVKGREIQHFMFASTSSVYGGLDKMPFCEDPTDNPMSFYAATKKAGEVLSHSYAHLFNIPTTCFRFFTVYGP----------WGRPDMALFKFTQAILDSKPIDVFNHGEMYRDFTYVEDLVH---------------------------------------------------------------------------------------------------------- 33864958 ---KTALITGITGQDGSYLAELLLEKGYLVHGIDHLYQDPHESDPRLVLHYGDLTDSTNLIRIIQQEQPDEIYNLGAQSHVAVSFEAPEYTANSDALGTLRILEAVRMIGKTRIYQASTSELYGLVQEVPQKESTPFYPRSPYGVAKLYAYWITVNYREAYGMYACNGILFNHESPRRG------------ETFVTRKITRGLARIDAGLEQCLFMGNLDSLRDWGHARDYVEMQWRMLQ---QEGPPEDFVIATGRQESVRRFIELAASELGWDVVVRIDPRRPAEVETLLGDPTRAKEKLGWIPTTTLEELVAEMVA-------------- 136833640 MQRKKSIVTGGSGFIGSHLCQKLVSLGHEVVVIDNFSTGKINNLKKIKIIKGDIRDYDTIKKLFK--KVDNVFHLAALADIVPSIENPDDYFSTNVQGTFNVLKASKENNVRRFVYSASSSCYGIPKKYPTPETAEISTQYPYALTKRIGEELVVHFSKIYKLNANSLRFFNVYGPRART--------------------------------------------------------------------------------------------------------------------------------------------------------- 139991946 -KKQNILVTGGAGYIGSHIVEQLIKNKKKVIIIDNLVTGHKELIKKAKFFKLDVKNKLKVSKLIKENNITSIIHLAAYLNVSEAEKNKKKYYQNNIIGTKNLLDACEKSKVESIIFSSSCSIYGD-LKGAVSENRAPNPQGYYAYTKYKGEELVKKLSLKYKFKYGILRYFNVAGASLSGKIGEIEKSHGHLIKNLATQSLKKRPKVRIYGNDYKTKDGTCIRDYVHVSDLADIHIN------------------------------------------------------------------------------------------------ 254294158 ----KVFVAGHRGMVGSAIVRQLESKGYNNIVTRTRS-------------ELNLLSQADVHAFFESEGIGEVYLAAAVGGIHANNEYPADFIYENLMIEANIIHAAHKNNVQKLLFLGSSCIYPKLAEQPMREDATLEPTNPYAIAKIAGIKLCESYNRQYQRDYRCVMPTNLYGPH-DNFHPENSHVIPALLRRFHEAVEQGVDTVTIWGSGKPMREFLHVDDMAAASIHVMNLDRDTYDANTQPMLSHINVGTGVDCTIRELAETIVKVTGFQGKLEFDATKPDGTPRKLMDVSRLK-DLGWSYSISLEDGLKDAYHWFVEN--------- 143073797 --------------------------------------------------------------------------------------------------------------CKDFVFSSTCATYGDQDNVVLDENSEQHPINAYGASKRAVENMLEDYAQAFGLKYVIFRYFNVAGADPDGEVGEFHQPETHLIPLILNAIDDRREALTIYGADYDTPDGTCIRDYVHVCDLVDAHLLGLKWLQAGKPSRAFNLGTGGGFSVREVVDYAKLVTNRPVPVIQGERRLGDSTKLVSGSTRAVEDLGWSANRSLKQMITDAWRWHQN---------- 143636571 --------------IGGALIARLLKYTDSKINLDYMGYASDESSKRHILLKTDLSDKKSVYNAIKESKPDIVFHLAAESHVDRSIDNPENFIKSNIIGTYNLLEATIDFWNFKFHHVSTDEVFGLSKSGKFDESTPYDPRSPYSASKASSDHLVKAWHHTYGLPIILTNCSNNYGP----------YQFPEKLIPLIIQKLSSRKPIPVYGDGQ------NVRDWLFVEDHIDGI---LLSCSKGMVGQNYCIGGYGEYKNIDIVSKICDIFDEKYNITYVNDRPGHDRRYSINSNFINTQLNWSPNFSLEKGLNITIDWYLDNQKW------ 220920477 -----ILITGGAGFIGANLADALAAEGRDVLIYDALLRPGVEANLDWLKRRADVRDTAA--LAAAAGDAAAVFHFAAQVAVTTSLVEPATDFDVNLGATLQLLEALRRRPDPALIFASTNKVYGDLADLAFREDRPLDFHTPYGCSKGGADQYVLDYARSFGVPTCVMRMSCIYGPRQMGT-------EDQGWVAHFLIRALAEQPIAIYGDGY------QVRDILHVADAVAAYRAALARIGQSGRAYNLGGGPANAVSLRQVLGAIRDLVAQPLDLSFHDWRAGDQRYYVSDTRRAAADLGLDAPIAWRTGLRDLARW------------- 141740194 ---KRVLLTGGLGYIGSHTAVVLVEAGFDVVLYDNLSNSKRSVLMRLPFVEGDVRDTALLEETLRSNSIEAVIHFAGLKAVGESVEQPLAYYENNVVGTLSLARAMQNVGVETLVFSSSATVYGDPQILPIDEAHPTSATNPYGRTKLDIEEMLLDLAKSDPWRIVCLRYFNPVGAHESGLIGEDPDG------------------------------------------------------------------------------------------------------------------------------------------------- 141806359 ---KKVLVTGAAGFIGFSICNKLLENGINVHGLDNLNEYYDPRLKKAPFSKIDLADANEIKKLFNSYKPTIVINLAAQAGVRYSLENPHAYINSNIVGFLNILENCRNLSIEHLIYASSSSVYGLNKVFPFSETNNDHPVSLYAASKKSNESMAHSYSHIFKLPCTGLRFFTVYGP----------WGRPDMALYIFTKKILAGEPIDVFGFGKMRRDFTYIDD------------------------------------------------------------------------------------------------------------- 134831256 ------------------------------------------------FEKTDICDAKSIRLIFNKYKPDIVMHLAAETHVDKSINGPNDFLQTNVFGTYVMLEESKSYWSFRFHHISTDEVYGDNSDNIFDETSPYVPSSPYSATKASSNHLVNAWHRTFQLPTLITNCSNNYG--------LFQFPEKLIPLIILNAIEGKSLP--------IYGDGKQIRDWLYVDDHAKAL---LKVALNGKLGETYNIGGNNQLQNIEVVKTICDILDELMPITFVTDRAGHDFKYAVNTSKIKNELNWSPDETFQTGIRKTIKWYLDNQEW------ 114778096 ---KKALITGVTGQDGSYLAELLLSKGYEVHGIKRFNTQRVDHIYQDPHVEGDLSDSSNLTRILQEVQPDEVYNLGAQSHVAVSFESPEYTADVDGLGTLRLLEAIRMEKKVRFYQASTSELFGEVQEIPQKETTPFYPRSPYAVAKMYAYWIVVNYRESYGMYACNGILFNHESPRRG------------ETFVTRKITRGLSNVAQGLENCLYMGNMDALRDWGHARDYVRMQWMMLQ----QAQPEDFVIATGVQYSVRQFIEWSAAELGIDRIVAVDPRRPCEVETLLGDPTKAKEKLGWTPETTVQQMCAEMVR-------------- 307132714 --KKVALISGVTGQDGSYLAEFLLEKGYEVHGIKRFNTQRVDHLYQDPHEEGDLTDTSNLTRIIAEVQPDEIYNLGAQSHVAVSFESPEYTADVDAIGTLRLLESIRFANKTRFYQASTSELYGLVQEIPQKETTPFYPRSPYAVAKMYAYWITVNYRESYGMYACNGILFNHESPRRGETFV------TRKITRGLSNIAQGLEKCIYMGNLDSLRDWGHARDYVKMQWMMLQQEKPEDFVIATGVQYSVRDFIGTGVNEKAVVSKILKVGDVIVAVDPRYFRPAEVDTLLGDPSKAKNVLGWVPETTLEEMIDEMVAYDLEKAKQFA---- 140545450 --------------IGAHVASELLNDGYSVRIYDDFSNGLHRRVKFRDIVEGDILDREKL--IQAMHGVDAVIHLAAKKAVEESVKNPLKYYENNVGGTLNLLAAMSVKGVKKIVFSSSAAVYSPNDKDAIEETDSTVPLSPYGATKLLSEELISSVGGAEQISHISLRYFNVVG----SAIPEFGDNSKDNLVPKVFLALKNGKRPEIYGTDYPTPDGTCIRDYIHVQDLAKSHVAALKKTETGYVSAIYNVGSGNGYSVKEMMDQISKTLGRDINPQESP--------------------------------------------------- 225619094 ---KKVYIAGHKGLVGSAIDRVLTKNGYNNI-------------LRKTHSELDLRNREEVFNFFEQERPQWVFLSAAVGGIYANNTYPVDFLLYNLQIQNNIIEASHKYNVEKLMFLGSSCIYPKECPQPIKEEGYLESTNPYALAKIAGIELCDAYNRQYNTDYIAVMPCNLYGINDNYHPENAHVIPMLIRRFHEAKINNLKETT-------IWGSGTPLREFMFSDDLAEACLYLMENKSHKDIGKFINIGSGKEVTIKELAELIKKVIGFEGNIILDSSKPDGTMRKLLDVSKINS-LGWKYRIELEEGLKIAYNDFLKNYN------- 123968932 IKNQKVLITGITGQDGSYLAEFLLNKGYIVHGIKRLNTERIDHIYQDPHHYGDLTDSTNLIRIIKYVKPDEIYNLGAQSHVSVSFETPEYTANCDALGTLRILEAIRLEKITKFYQASTSELYGLVQETPQTEKTPFYPRSPYGVAKLYSYWITVNYRESYGIFACNGILFNHESPRRGETFV------TRKITRGLSRIDQGLENCIYLGNLDALRDWGHAVDYVEMQWKMLQQKDPKDYVISTGRQESVRRFIHGWKTNAENNAIVWEGSGLEIIIRIDPKRPSEVETLLGDSSKAYEELNWKPKISLEELIKEMIN-------------- 229492303 -----VYVAGHRGLVGSAIWRRLEADGFNRLV-------------GRTSAELDLRDRGAVFEFFAAERPNVVLAAAKVGGILANSTLPVDFISDNLRIQVNVMDAAAEFDVDRLLFLGSSCIYPKFAPQPIREEGHLEPTNDAYAAKIAGILQVQAVRKQFGRPWISAMPTNLYGPGDNFSAKGSHVLPALIRRFDDARRDGAA-------SVVNWGTGTPRREFLHVDDMADACLHLLDHYD---GAEHVNVGTGEDQSIAEISAIVADEIGFDGEIEWDHSKPDGTPRKLLDIGKLR-DLGWQPKIDLRAGIASTVEWYRQN--------- 149196370 -KDSKVYVAGHRGMVGSAIIRQLKAIGYNNII-------------TRSRHELDLCSQGAVAEFFQKEKPDVVYLAAAVGGIHANNSFPAQFIYENLMIESNIIHQAYLNGVQKLLFLGSSCIYPKMATQPMVENGYLEPTNPYAIAKIAGIKLCESYNRQYGVDYRSAMPTNLYGTN-DNFHPENSHVIPAMMRRFHEAVENGSSEVIIWGSGKPMREFLHVDDMAAASIYICHLERKVYDEFTDERCSHINVGTGIDCTIKELAETLARVTNFQGELSFDTSKPDGTPRKLMQVDRLKK-LGWTAGIQLEEGLKQTYEWFKEN--------- 138916618 -----ILVTGGAGYIGSHTAQLLHELGRDVVVLDTLELGYRDAVRDIDLVVGDIADARLVGKTCRKYDVDSVIHFAAYKKVEESMSEPLRYYQNNVASSIALVEALLDHNVKRIVFSSSAAVYGTPDASPVNEEALSLPQSPYGQTKADTEKFLAA-CNSLGMRSVSLRYFNAAGAHDSGDMGEDW--------------------------------------------------------------------------------------------------------------------------------------------------- 135958961 LKKSKVLITGAAGFIGFHLIARLLKNGWSVLGIDNLNDYYDQSLKYARFFKLDLQDRQSLEELFDKNNFDVVVNLAAQAGVRYSLDNPHVYADSNLTGFLNLLECCRHNKPKHFLFASSSSVYGLNQKIPFSTSDKTHPISLYAATKKSNELMAHAYAHLYKFPTTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 139040405 --SRSVLVTGGAGYVGSHCCKFLAKNGYVPVVIDR--NLRGKSVEFGPSFEIDLINIQALDDIIVRYNIDSCIHFAGSASVAESVADPSGYYKNNVIATIALLDKLREHGIKTFVYSSSAATYGDPGIRMAKETDTAKPINPYGATKLMMEQILHDYYIAYGMNSVGLRYFNAAGADPEAEIGELRDKETHIIP------------------------------------------------------------------------------------------------------------------------------------------- 137929661 ----------------------------------------------------------------------------------------------------------------RFILSSTANLFGTSTTALIDEQEPIVPGSPYGESKWALERALDWVSQTKGLHFASLRYFNAAGASEER--GEHHKLETHLIPLVLQVAAGHRDYITIFGDDYDTPDGTCVRDYVHVLDLAEAHVLAMQELAQ--DNCTYNLGSGQGYSVRQVIESARAVTGKSIPAQIGSRRAGDPARLVASSDRIRKELGWKPRFSLEQIIDSAWRWHQRHPDGYSD--- 139180013 MKNKSIIITGGAGFIGSHVVRLFVNKEYRIINVDVLTYAGNESAPNYVFERVDIVDAAAVDALFDKYQPEGVIHLAAESHVDRSIKDPLAFVRTNILGTVNLLNSFKRIHGKRFYHISTDEVYGTGKEGLFEETTPYDPNSPYSAAKASSDHFVRAYGETYGIPYVVTNCSNNYG----------QNQFPEKLIPLFINNIVEEKPLPVYGDGQYTRDWLYVVDHARAIDLVYH--------------------------------------------------------------------------------------------------- 139160800 ---------------------------------------------------------------------------------------------------------MDMFNCNTLIFSSSATIYSQKTKSPLKEESLTGPINPYGNTKLTIEKFLEDIFKPNKWRICNLRYFNPIGAHKSGLMGENPKSEPNNFPLLLDVAANKSKQFKIFGNDWETNDGTCIRDYIHVMDLADGHMTSLDYLLNDPQFINFNLGTGAGTSVLKLIKTFENTNNLKIPYIFSKRRVGDIPVIVADNSKAREILQWNPKFNLYDMCRDGWNWKCKNPNGYSS--- 139185377 -------------------------------------------------------------------------------NISEGQKNKKKYYRNNVIGTLNLVKACKNSNVKNIIFSSSCSVYGNIKGS-VSEKRMLNPKSYYAFTKYKSEEIIKKFEKKFNFRYGILRYFNVAGASQSGRIGEIEKSYGHLIKNLAVQSTKLRPRVDIFGNNYNTKDGTCIRDYIHVSDLADIHILALKKISSSKKSLILNCGYGRGYSVKEIVEIFKKIK-KGVIVKYKKRRIGDVAQIYSDNSKLKRILKWKPKFDIQTILKSAIRWEKK---------- 260061269 -KQDKIFVAGHRGMVGSAIWSALESKGYT-------------NLIGRSSRDLDLRDQAAVNEFFQSEKPEFVIDAAAVGGILANDTYPYQFLMENLSIQNNLIQASHESGVTGFIFLGSSCIYPKLAPQPLGEDSPLEPTNQWYAAKIAGVKLCESIRRQYGKYYISLMPTNLYGPNDNFDLKTSHVLPAMIRKFHEAKLADHK-------SVDLWGSGKPMREFLHVDDLADAVVYAME---NKLPHDLYNVGTGRDLTIRSLAKLIQEIVGHKGAIHWDMDKPDGTPRKLMDVSRLKES-GWTASIGLEDGIRSTYDWFLKNQDMYKQ--- 126696783 ------FVAGHNGMVGSAVIRSLKKKGYC-------SEKYSGKLFTADKKDVDLRDFKNVLKWFKKNKPRIVILAAAKVGGILANQNYFDFISDNLRIQQNVIESAWETGCKRFMFLGSSCIYPKNSKTPIKEEELLKSNEPYAIAKIAGIKLCEALRKQHGFDAISLMPTNLYGTNDNYDLQNSH-----VLPALVRKFFEAKMKRKTYITCWGTGNPT--REFLHVDDLADAIIHCLEFWDPNGEKLNYNVGSGFEISIKDLAHEIANCINYKGKIIWDSEMPDGTFRKNLDCSRIKS-LGWESKISLKNGLRMTIEEFRRNFKN------ 307826117 --TKKALITGITGQDGAYLAEFLLKKGYEVHGIKRFNTDRIDHLYQDPHVEGDLTDSTNLIRIIQQVQPDEIYNLAAMSHVAVSFETPEYTANADGIGTLRILEAIRIENKARFYQASTSELYGLVQETPQKETTPFYPRSPYAVAKLYAYWITVNYREAYGIYACNGILFN------------HESPLRGETFVTRKITRALARIKLGLQDSLYLGNMDSLRDWGHAKDYVEMQWLMLQ----QEKPEDFVIATGVQFSVRDFVNAAAKELGIKVIVKVDPRRPTEVETLLGDPTKAKERLGWTPQTSFDELVAEMVR-------------- 300868215 --TKKALITGVTGQDGSYLAELLLAKGYQVFGLVRRSSSSNLERISHHILSGDLLDQCSLMDTIAEAQPDEIYNLASQSYVPLSWTQPALTAEYTAIGVSRLLESIRRCKPDKFYQASSSEVFGQPDESPQTERTAFRPRNPYGVAKAYAHWMTVNYRRQYNLYTCCGITYTHESARRGTEFVFR-----KVTRTVAMIKLGLAKELKL-------GNLEARRDWCYAQDAVTAMWLMLQ----QESLDDYIIASGETHSVKELVECAFNTVGLKWEVEPEFYRPDESVQLVGCIDKIKTQLGWQPQYSFEQLVEVMVNYDLK---------- 220923117 ---KSALITGITGQDGAYLAQFLLSKGYIVHGMIRRSSTQDRGAQRVHCIDGDVSDLSSLIRVVRDTQPTEVYNLAAQSFVQTSWMQPALTGLTTGLGCTNVLEAVRLVAPNRFYQASSSEMFGRIQQPVQSETTPFYPRSPYGVAKLYAHWMTINYRESFGLHAS------------SGILFNHESPLRGIEFVTRKVTDGVARIKLGLARELRLGNIDAKRDWGHARDYVRAMWLMLQ----QEVPDDYIVATGRSVTVREMCEIAFDHVGLSNHLVVDPDRPAEVDVLIGDASKARRVLGWEPTVSLEEMVREMVD-------------- 140769748 ---------------------LLIDKGYRVTIIDSLITGNLSLVPKATLVVCDISDKIKVSKILKKKNFAAVLHFAGLIRVDESVKKPMKYHNYNFKKAKIFFDTCLKYNVNKIIFSSTASVYGNTNNKNVKEVDKLLPINPYASSKLKFEKYLLKKSNIKKMSYIILRYFNVAGADKKLRTGLISKYSTHLIKVACEVATKKRKKIIINGNNYNTKDGTPIRDYIHVSDLSEIHLISLNHLLKGGKSEIFNCGYGKGYSVKEVINEMNRILKKKIISEVGPRRKGD---------------------------------------------- 90418147 MDRQRILVTGAGGFVGTLLVRHLAARD---------SNGASVAVFGAREEAVDICDREAVEWAVKVARPTAVVHLAAMAAPAEANRSPRRAWDVNLTGAMNVAEAVRHAPEARFVNAGSSEAYGDATDAPLTESAPLQPTSVYGATKAAADLMVGQ-MAHDGLKSVRFRPFNHTAPRQADTYV-----VSAFARQIADIMAGKQAPVVAVGSLEA------ERDFLDARDVVRAYIAALDPSVPVGPSSVYNLASGRAWRIGDILDHLVELSGRDIEVRVDPARPNLVPRAVGNPEAASRALGWRPEIAFEDTLRDVLRWRRQ---------- 87307369 ----HALVTGGAGFIGSHLCEALLALGRTVTAIDDESTGSRQNLSHVIDHEGTVSDRELIKSLL--VQADEVYHLAAAVGVALIQEEPIQTIERNIYPTAEIAAQREAGRDIRMFLASTSEVYGKNPKATWTEEDDLRPRWSYGASKAIDEFLALAYWRQRQTPTVIGRFFNVVGPRQTGAY-------GMVLPRFIEAALSGKGPT-------VHSDGGQIRCFAHVNDVVDAVIQLMGTSSAAG--QVYNIGSDRPVTILELAQMVTAAIDPTLIPSFQSYEDADVIRRVPDLTKLRSAIDYRPKFDLEGIIADVI--------------- 142145636 ----RIFIAGHRGMVGGAILRQLQAR---------LAAGEALELITRSHRELDLTDQQAVRAFMADTRPDVVILAAAVGGIHANNTYPADFIYDNLMIECNVIHQAFQAGVQRLLQLGSSCIYPKNAPQPMTETGTLEPTNPYAIAKIAGIKLCESYNRQHGTDYRSVMPTNLYGPG-DNFHPQNSHVLPALIRRFHEAKESGSDTVTIWGSGAPRREFLHVDDMAAASLFVMDSPKPVYDANTEPMLSHINVGSGTDVTILELAHLVANVTGFTGSILTDPSKPDGTLRKLMDVSRLAN-MGWRATIDLESGVRSTYQWFLAN--------- 116250598 ----KIFVAGHTGMVGSAIVRRLVAEGHPP-----------DSVITARHQDLDLIEQLDVRRFFEKERPDQVYLAAAVGGIYANNTYPVDFIYDNLMIELNVMEAAFRNGVRKVLLLGSSCIYPKLSDQPIKEDAPLEPTNPYAIAKIAGIKLCESYNRQYG-NTHLVDYRAVMPSNLYGVGDTYHPQNSHVIPALLRRFHEAKTRSAPSVLIWGSGM--PLREFLYVDDMAAASVHIMNLPAERKGQTHINVGSGSDVTIRELATTISDLVGFRGKIEFDTSKPDGTPRKLMDSGVAR-ELGWSPVTDLKEGLKFAYEDFL----------- 137516795 ----KVLVTGIAGFVGMHVAKSLKQSGYQVVGIDNLNDYYDVNLKLARFYKIDLCDRSAIDRLFEEYKFDIVINLAAQAGVRFSITHPKSYIDSNIIGFFNILDVSKNHEVKHLIYASSSSVYGNSNETPFRVQQNTDPISMYAATKKANELMAETYSHLHGLKTSGLRFFTVYGP--------FGRPDMAYFSFTKNILEGKTIRLFNGGDL--------HRDFTYIDDIVDAIVALSEKGPKPNESALYNIGRGEPQLIKEFVTT------------------------------------------------------------------ 229591109 ----RIFVAGHRGMVGSAIVRRLWALGYTQI----LTAGRDE---------LDLLDSAAVQAYFAGHRVDQVYLAAAVGGIHANATYPADFIYQNLMIQANVINAAHSHGVQHLLFLGSSCIYPVHAPQPMIEDGALEPTNPYAVAKIAGIKLCESYNRQHGRDYRSVMPTNLYGPGDNYHPENSHVIAALLRRFHEATQRGDD-------EVVIWGSGRPRREFLHVDDMAAASVHVMEREQTQPMRSHLNVGTGVDCTIAELAEALVRVTGFRGRLRFDASKPDGAPRKLLDVSRI-NALGWEAYVPLEEGLRDAYNAYLEQPRG------ 21240828 ----RVLVTGAAGMIGRRVASALLQRGDAVAGLDDLSSGMSLPHGLHAAIVADVGERETVAVALREFRADALIHLAAIHHIPTCETQRMRCLQVNVVGTESVLHAASDAALRQVVIASSGAVYAWGDGALDEHVSATDARDNYALSKLCNEAQLRLWCAANGRRGRAARLFNTI---------AHDDPNAHLIPDVLAQLAADPAATPTLR----LGNLQPCRDYLHADDAAAGLIALLDDARPDPAFDVFNLCSGVEHSVAELVEQIGAVLGRSPRLEVDPQRQRDRLHQLGDPGKAARVLGWRARWSLREALQR----------------- 135235453 ---QNIIVTGGLGFIGSNLIEILIKNKYFVINVDKISYSSNFYNLKDKFIKCDINNRKKIRKILKKYRPLGIFNLAAETHVDRSIDDPKDFIKSNILGVYNLLEEFKLFLKKNKKHISTDEVFGDVLKGRSKENSSYKPSSPYAATKASSDHLVYSYVRTFKIPAIITNCSNNYGP--------KQHPEKLIPKLIYNILKNKKLP--------IYGKGKNSREWIYVIDHCKAI---LKIFKNGKNGEFYNIGSNKNYKNLDICKSLIKIAGPNVKIDFVKDRPGHDMRYALNSDKIKKKLKWKAKTNLKEGLEKTFMWYLKYYKSLTKK-- 149204685 ---KKALITGVTGQDGSYLAEFLLEKGYEVHGIKRFNTQRVDHIYQDPHHYGDLTDSSNLTRILSEVQPDEVYNLGAQSHVAVSFEAPEYTADVDAIGTLRLLEAIRFENKTRFYQASTSELYGLVQEIPQRETTPFHPRSPYAVAKMYAYWITVNYREAYGIYACNGILFNHESPRRGETFV------TRKITRGLANIAQGLEDCLYMGNIDSLRDWGHAKDYVRMQWMMLQQEVADDFVIATGVQYSVWSAQELGITLEEEIATVTAVTGDKAPMRIDPRRPAEVETLLGDPTKAKEKLGWVPEITVQEMCAEMVA-------------- 260220299 ----KILVTGAAGFIGMHTCLRLLERGDEVFGIDNLNDYYEVSLKEARFKKIDVAHAHDINEVFEDFKPARLVHLAAQAGVRYSIQNPKAYLDSNLVGFGNILEGCRHNGIEHLVYASSSSVYGGNTNMPFSEHDSVHPVSLYAATKKANELMAHTYSHLFRLPTTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 141837127 ---------------------------------------------------------------FCTYSFDWVVHLAAMAGVRPSIESPEYYYDVNVMGTQRLLDTCRKYQVNKLIVASSSSVYGNNKTVPFKETDVDHPISPYAATKKANELMCYNYHHLFDMNICCCRFFTVYGPR--------QRPEMAIHKFTKFILQGTTLPVFNHG--------NCERDYTYIDDIIQGISLIM----NQGFSFDVVNGESKTISTLELIRCIEASCGKKAQLNLMPSQPGDVERTFADISHAQSTYNYQPKTTIQDGIQHFVDWYLR---------- 136615291 MES--VVITGSAGLIGSHLCYKYLELGYRVIGIDCLIGGYMPDNKNFFYYEQDILDTKELSNVMSIYSPVLVIHCAALAHEGLSVFSPKKIVENIYAGTASVCSASVSNNVPIFINTTSMARYGEG-NPPFQENDIPNPVDPYGLAKLHAEQHLNLMSDIHGIKVFHMVPHNVCGPHQ------CYSDPFRNVMSIFANLISKDKPVYIYGDGTQKRSFSHVKDCVEAFVTVYNKRDIIE----SKEVFNIGPSHGTETSIATLANKVAKYFNKTAEINFVPERPREVKNAWVSTDKAEDIL------------------------------- 141246410 MKD-NILITGGAGYIGSKLSYDLTDKGYKVIVVDNLSTGSKKLIPKVKFIKANILDKKKIFAVIKKYKTKTIFHLAASLDVNESMIDPIKYYLNNVVGTENLIDASKENLIKKVIFSSTCAVYGDVKQKFVNETHECSPVSHYGKSKFLSEILLKNYSKKYNFSVAILRYFNVIGADQS-LRSGIINDSNQLVKKLNRNAIKKKYEIDIYGNNYDTKDGTCIRDYID---------------------------------------------------------------------------------------------------------- 144009483 -------VTGGCGFIGSNFINHFEQNGFHIVNLDALYYCKIRNSEHYTFIQGNITNHELVNSILSTYKIDIVIHFAAQSHVQDSFTDPGKYVQDNIVGTHTLLEACRTYKISRFIHISTDEVYGIADEQQKTEQSILCPTNPYAASKASAELLASSYYHSFKFPIIITRGNNVYGPN--------QYPEKLIPKFIKLLRDGKKVTIQGDG--------SNVRGFLHVQDVAQAIEYVVKKGV-IGEIYNIGSDVHDEYTVMQIAEKLIGLINKEKYIEYIEDRPFNDKRYFISNEKIKK-LGWDITIPFEKGLKDLVQ-------------- 134353091 ----KILVTGGAGFIGYFLAKKLAKNNNQITIIDNLCRGRIDDELNINFLQGDLIDKKFYATLDKGF--EYIYHFAAVIGVKKVIENPDQVLHDNAITTLNLIEFSKKLNSLKKILFSSTSEIYSGTEIPTNEDDIKLPRTTYMLSKMYGESIMFNYGFKYNIPFTVARYHNIYGPRMGFL----HVIPEMIEKISKQKIIEVASPQ-------------HTRSMCFIDDAIEMTIRACESNITNKEILNIG-NQDQEISIINLTKLIASILNKNIEIKCLPNTEGSPPRRCPDTSKIFRMTGYSSKVNIEDGIKKTYNWYK---NKLHDKYE 143307803 ---RRVYVTGGAGYVGAMLVPRLLALGHHVTVLDLMLYGDDDPHPRLTMVAGDMRDRALLARTIAGH--DTVIHLACISNDPSFELDPSLGKSINLDAFRPLVEVSRDAGVRRFVYASSSSVYGVKDEPNVTEDMTLEPLTDYSRFKADCERILAEYQSPT---------FTTVTIRPATVCGYSRRQRLDVVVNILSNLAYHNRKISVFGGA-------QLRPNIHMDDMVDAYVAILDAPDEVVAGQIFNAGYENQ-SVEELAMMVKDVMGDDVELVRTP--TNDNRSYHISSERIRRVLGFAPKRSIRDAIVDMKRAFDRLPDSLTDER- 167043219 MENKRILVTGGAGFVGSHLVDRLLKENHEVTVLDLWESQRHNDNPLYHFVKGSILD-DNILPLLAKDK-DRIVHMAAILGTSESIYDVEQVALVNVVGTVRILKAARKFNIPRVVLPTSPDVPW---------------LNPYSITKQTTEKFAKFFNKEYDVETVSLKFGKIYGPRERWLNSSIGAPFNYQFLIPTILVETLR-----GNIVQIYGDGNQKSEYIFVHDVIESFVRALKTEKNLG-GEIIPVGSNKNYSVNDIIEAIEKCWNRKIQTEYIKMRLGEPAEITLDTKKLKDILDYELEWDLTKGLMETIPYYEQ---------- 298481731 -RGKRVLVTGHTGFKGSWLSIWLHELGAEVIGVKDPATDKDNYVLSGIDLRADIRDSQRMKDIFQEYQPEIVFHLAAQPLVRLSYEIPVETYETNVMGTINILEAIRITDSVKVGVMITTDKCYENKEQLWHENEPMGGYDPYSSSKGAAEIAIASWRRFFNPEQYEKHGKSIASVRAGNVIGGGDWALDRIIPDCIRALESNRP--------IEIRNPEAIRPWQHVLEPLSGYMLLASKMWDEPTKYCEGWNFGPRTTVWDIAAKVVEEYGSGDLRDLSEQNVLHEARLLLDISKAQFLLGWQPRMNLDQTVSLTVDWYKSYRK------- 254485823 --TKRALITGITGQDGSYLAELLLEKGYEVHGIKRLNTQRIDHIYQDPHVKGDLTDSSNLTRIMSEVQPDEVYNLGAQSHVAVSFEAPEYTADVDANGTLRLLEAIRFVDKTRFYQASTSELYGLVQEIPQTETTPFHPRSPYAVAKMYAYWITVNYREAYGMYACNGILFNHESPRRGETFV------TRKITRGMANIAQGLEECLYMGNIDALRDWGHAKDYVRMQWMMLQQDAPDDFVIATGVQYSVRQFIGTGVDERAIVDSVCKPGDVVMRIDPQYFRPAEVETLLGSPAKAKEKLGWVPQITTQEMCAEMVA-------------- 293405526 --SKVALITGVTGQDGSYLAEFLLEKGYEVHGIKRFNTERVDHIYQDPHHYGDLSDTSNLTRILREVQPDEVYNLGAMSHVAVSFESPEYTADVDAMGTLRLLEAIRFEKKTRFYQASTSELYGLVQEIPQKETTPFYPRSPYAVAKLYAYWITVNYRESYGMYACNGILFNHESPRRGETFV------TRKITRAIANIAQGLESCLYLGNMDSLRDWGHAKDYVKMQWMMLQQEQPEDFVIATGVQYSVRQFVEMGIKLREEKGIVVSVTGHDAPIAVDPRRPAEVETLLGDPTKAHEKLGWKPEITLREMVSEMVA-------------- 307707617 --------------------------------------------------------------------------------------------------------------IQKIMFSSTASLYGNNCDKPATEDTLLDPVNPYAETKLMGERMIYWMANRYNWKYVIFRYFNVAGAEMDASNGLRVKNPTHIIPNINKTALGQNDSLKIFGDDYDTRDGSCIRDYIHVLDLAQAHVKGMNYFQEDSSSQIFNLGTEKGYTVKEIFKTAEELLNQKIPHEIVARRAGDPASVLADASKAKQYLDWKASYSLEDIILSDYRWRVK---------- 153834346 ----NVFVAGHNGMVGSAIVRLLEKSKNYNVITRSRS-------------ELDLLDQAEVARFFKEQDIDQVYLAAAVGGIVGNNTYPADFIYENLTIQNNVIHNAHLAGVQDLLFLGSSCIYPKFAEQPMSENATLEPTNPYAIAKIAGIKMCESYNRQFGRNYRSVMPTNLYGENDNFHPENSHVIPALLRRFHEAKINGDK-------EVVAWGSGKPMREFLHVNDMAAASIFVMNLSPSEYQAHHINVGTGIDCTIRELVETVAEVVGYQGSIVFDASKPDGAPRKLMNVSRLK-ELGWTYSIELKEGLKSTYQWFLENQDSFRK--- 136477154 --NMKCLVTGAAGFIGSHLVELLINEDHQVIALDNLTSGRLKNLPNFRFYEADIRNPSECKDAFDNV--DWVFHLAGLADIVPSIEMPEQYYSTNVTGTFNVLQLAKEAGVKRFLYAASSSSYGIPDTYPTNEVSPINPQYPYALTKYMGEELVIHWSNVYKIPSISLRLFNVYGTR------------------------------------------------------------------------------------------------------------------------------------------------------------ 140159738 ----KILVTGAAGFIGSAVCIKLLDLGNEVIGVDNHNDYYDVSIKEARHLRLDISDKNSVESLFKDHHIDRVVNLAAQAGVRYSIENPMAYIDANIVGFCNILEGCRHKEIEHLVYASSSSVYGSNTSMPFSHDNVDHPLSLYAATKKANELMAHTYSNLYGLPTTGLRFFTVYGP--------WGRPDMALFKFTESILNGERIPVFNFGKH--------RRDFTYIDDIVEGVVRVLNKPAQP---------------------------------------------------------------------------------------- 134872356 LTGKKILITGGAGFIGSNLCEFFINSGAIVKCFDNLSTGNYSNIKDFSFVKGDIRNFQ--ECMNSAKGVDYILHQAALGSVPRSIVDPITSNDVNISGFLNMLVAGRDNKVKRFVYAASSSTYGDSESMPKVEEIIGKPLSPYAITKYVNELYASIFSETYGLETIGLRYFNVFGRRQD------PNGAYAAVIPKFVAQLMKKESPTINGD------GSYSRDFTYVDNVIEANVLSLLTSDKEAINQIYNIAYGDRNTLNDLMCYLK---------------------------------------------------------------- 228962926 FKGKRVLITGHTGFKGSWLTMWLTKLGAKVIGL-SLDSGHKNGIKDIIDIRGDIRDEQKIKYVFETYKPEIIFHLAAQPLVKYSYQYPKDTYSINVMGTLNILEEMRKYSEPQIGIFVTSDKCYQNNDWDWREIDPMGGIDPYSSSKGCCELLISSYRDSYNTQQFMEHQKVLATVRAGNVIGGGDWAVDRIIPDVVKAIKGK--------QDIIVRSPNAIRPWQHVLEALSGYSKLLEGNIKYSGAWNFGPHSHNGITVKELVENIFQHWGYSGSWKLENKQTTEAKILKLDISKANRLLQWFPRWDIYETIEKTTDWYKNY--------- 15898578 ----------------SAFVREVNKRGIKPIVVDLLTYAGRKENLIGTEHEFIRADVRSEEIHDIVKNSDIVVNFAAETHVDRSIYRPQDFVTTNVLGVVNLLEAARKYD-FKYVHISTDEVYG---EECADEDSPLQPSSPYSASKASADLFVKAYVRTYGIKAIIVRPSNNYGPR--------QFPEKLIPKVIIRTFLNMHVP--------IYGDGRAERDWIYVEDTVRIIYDIMERSEWRGEVYNIP--GGQRYSVLDVIKIIGEIMGKEVKVKFVDDRPGHDKRYCM-TTKLKYEV-----TPLKEGLRKTVEWYLNNRWWWED--- 260463609 ----RILITGANGFVGTALS-QLLEREYVDCKVFAL--GHGE----GQRNAIDLLDREAIDSAVGEIKPTALIHLAAVAAPSDARNAPRHAWNVNVTGTMNLAESVRHAPNARFVYVGSSEAYGLDMSGPVTESVPLRPMNVYAATKAAADLMIGQ-MAYDGLRAVRFRPFNHTGPGQSDTYV-----VSAFARQVAEIVSGKRNPIIHVGNLQA------ERDFLDVRDVVRAYARSATMDLADGPHHVYNIASGQPRKIRDILDMLVAQSGIDIEVRADPERPNDIPVASGDATKARERLNWAPLVPFEQTIAD----------------- 139402755 ----KVLVTGSAGFIGSTLALRLLERGDTVIGVDNLNDYYDVSLKQARLARLDLRDRAQIAAAFAEHRPDRVVNLAAQAGVRYSIENPLAYVDTNLVGFAHILEGCRHNGVEHLVYASSSSVYGANTHMPFSHDNVDHPLSLYAASKKANELMAHTYSHLYGLPTTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 142494892 -------------------------------------------------------------------------------------------------------------------FSSSATLYGYPEAVPIPETANIAPINPYGHTKAAVELMLADLHAPDAWRIACLRYFNPVGSHPSGRIGENPLGPNNLFPFVSQVAVGRRAQLQVFGGDWPTPDGTGVRDYIHVMDLAEGHRAALDCLAEEPQLLTLNLGSGQGQSVLEVVQAMEAASGRSIPYAITNRRPGDAAISVADPSQAAQRLGWRTQRGLEDICRDGWAWQQQNPEGYA---- 140787199 -----ILITGGAGFIGSSLALSLLEEGHTVYSLDNFNDFYDPQLKRHNIEEGDIRDKACLSKVFDR-QFDYVIHLAAMAGVRPSINNPELYYDVNVNGTYNILDFSSKSPETKIVLASSSSVYGNNEKVPFSEKDSVDPISPYAATKKMTEIMAYNFHHLHQLAICCCRFFTVYGP--------YQRPEMAIHKFTDKITNGD--------SIDVYNMGHCERDYTYIDDIVHGIKQIIATNYE----------------------------------------------------------------------------------------- 135633129 ------------------------------------------------FIRGDISDANLIKTSLEKFKPNYLINFAAESHVDNSIINSNQFIQSNIIGTFNLLEQTRNYFVFKFHQISTDEVYGDMKNELFHEESNYRPSSPYSASKASADHLVKAWQRTYNLPTIITNCSNNFGP--------YQYPEKLIPVVIINALNEKKIP--------IYGDGNQSRDWIYVSDHCEALLKCIEY---GKEGETYNIGSCNQMTNLELIKIICGIMDHIKPMEFVKDRPGHDKKYGINNEKVIKELSWKPKMDFDTAIKNTIRWYLDNSSWWKN--- 225376914 -KDKKVLVTGHTGFKGAWLSRILVQAGASVTGYSNLF-GLADVENRMKSVIGDIRDREHLFEVFKETQPEIVIHLAAQPIVRDSYKDPVYTYETNVMGTVNICEAVRNPCVKSFLNVTTDKVYKNNEWEWYRENEPLDGYDPYSNSKSCSELVTHSYINSF----YGDMEVAVSTARAGNVIGGGDFANDRIIPDC--VRAAEKREDIIVRNPHSTRPYQHVLEPLKIYLMIMKAQYEDKYNVGPDDRDCITTGQLVDLFCEKWNQDEQGENCVKWINKSEINAPHEANFLKLDCSKIKKVFGWKPRWGVEEAVEKTVEWSKVYFKG------ 258591668 MSRRRALITGITGQDGSYLAELLLSKDYHVIGVRRSSTENVERIEHLRDRQADLLDQLSIINLIQDTRPDEIYNLAAQSFVPTSWEQPLLTSEFTALGVCRILEAIRVDRHIRFYQASSSEMFGKVREVPQTEKTPFYPRSPYGVSKVYGHYLTINYRESYDLHACSGILFNHESPRRGLEFISR-----KITHGVAQIKLGLEKELRL-------GNLDAERDWGYAGDYVEAMWMMLQ--QDKADDYVVATGITHSVRRLADIAFSHVGLDYQDFVTTAMLRPAEVDRLLGDATKARTQLGWRPKVSFEELIRMMVE-------------- 32471607 -SQRKILITGGAGNVGGSLACRLAQSDNEVVVVDNLVTGDRSKLPPASAENVDVNRMDDLSPIMTATRFDAVFHYAALVGVQRTLANPVAVLE-DINGIRNVLSLSKNTGVGRVFYASSSEVYGEPVEMPQHETTPLNSRLPYAIIKNLGESYFRSYHQEFGLQFNVFRFFNTYGPKQTTDF----------VVPKFIAAALAGEDIPVYGDGMQTRT------FCFVDDNLDTTTRVLDDPSWACETINI--GSDIEMTIKSLAETVIEMTGSSSKVVHLPPLPGDMTRRCPDITKMKKILG-RELTPLRDGLEKLID-------------- 142978006 -------------------------------------------------IEGDIRDLELCQNVCKDKEF--ILHQAALGSVPRSLKDPIRSNEVNVGGFLNMLIASRDNNVKRFVYAASSSTYGDSVALPKVEEVIGKPLSPYAITKYVNELYADNFKKSYNLDSIGLRYFNVYGRRQSPN-----GAYAAVIPLFVKQMMRHESP-------VINGDGTFSRDFTYIDNVIQMNILALTTKNRNAINKIYNTAVGDRTTLLELVNYLKKYLTKEIEIIFGPKRIGDIPHSLASIEKAKKYLNYKPTHNIKKGLIEAVDWYWKN--------- 33239515 -KKKTALITGITGQDGSYLAELLLEKGYVVHGIKRFNTSRIDHLYQDPHHYGDLTDSTNLIRIIQQVEPDEIYNLGAQSHVAVSFEAAEYTANCDGLGTLRILEAVRMSKKTRIYQASTSELYGLVQQIPQTETTPFYPRSPYAVAKLYGYWITVNYREAYGMYACNGILFNHESPRRGETFV------TRKITRGLARIDAGLDECLYMGNLDSLRDWGHARDYVEMQWLMLQNETPEDFVIATGRQESVRRGWGSIEWEGKSINEIGRRGDNGQVIRIDSRRPAEVTTLLGEASKAHQKLGWKPLTSLEQIVAEMVA-------------- 139820527 ------------------------------------------NIITADRKQCDLTVLNDVKKLFQFEKPEYVFLAAAVGGIGGNSDYPAEFIYENLMIQSNVIHSSYLFDVKKLLFLGSSCIYPKFAKQPITEDQLLGSNDAYAIAKIAGIKMCQAYRKQYGFDAIAVMPTNLYGPNDNFDINYGHVLPSLLAKFDGSLEKSEHWVVKLWGDG------TARREFLHVDDLAAALLICMERYDSE---EIINIGTGEDVTIKELADMIVDVTGYENDYVWDTSKPNGTPRKVLNVDKIKS-LGWEPKISLREGLESTYEWMKNNRSNLRN--- 302384384 MKKCAV-ITGITGQDGAYLAQLLLSKGYKVVGVVRRSSHYGVATHRLDWVDGDLLDLGVLCRIVREHKPDEVYNLAAQSFVKTSWAQPVLTAQATGVGVVNVLEAVRLEAPERFYQASSSEMYGLIQEAMQSEKTPFYPRSPYAVAKLMGHWMTVNYRESFGMFACSGILFNHESPLRGLEFVTRKVTDAVA------QIKLGKATELAMGNIDAKRDWGHARDYVRGMWMMLQHDVADDYVVATGRTVTVRE------MVNVAFAHVGLKAEDYLRIDPQFFRPAEVDVLLGDPSKAKRILGWEATTTMEEMIREMVD-------------- 296444523 --TRRALLTGITGQDGAYLAQLLLSKGYEVCGVIRRSSHRGVEDHRLRWIDGDLADLSSLLRIVQEVEPQEIYNLAAQSFVASSWRQPILTANITAVGVANMLEATRIAAPQRFYQASSSEMFGLIQEPMQSEKTPFYPRSPYAVAKLYGHWITVNYRESFGLHASSGILFNHESP-----LRGVEFVTRKVSDAVARIKLGKAKELRL-------GNIDAKRDWGHARDYVRAMWMMLQ--QETPDDYVVATGRTTTVRDMCRIAFAHAGLDMEAHVVIDPYRPAEVDILLGDASKARAALGWEPQTGLEEMIREMVD-------------- 114327802 --GKKILVTGGAGFLGSSLCEALARKGGEITVLDSFMPGSGANMANLSSLDITLVRASLEEADLHCEGADFIFNLAGQTGHLAAQLDPFADLAVNAMAQLRLIAAVRDVPGAVIVHASTRQCYGRTGGAPVDESHVSAPQDFNGVSKLAGEQYWMAESRVHGRKVTALRLTNCYGPRLR-------------LQDGRQTFLGTWLRHVLQSQPFEVWGGQQVRDFTYVDDVTAAFMAAATTPDCFG--RLFNIGGYESASLLTLAELLVEVAPFPVRYTVKELPEEDIGAYCADDRAFRGATGWKPRISLAEGLRQSLEWYR--PR------- 124267915 --NARIYVAGHRGLVGSALLRDLQRRGF-------------RNLLVRTHHELDLTDRQATEGFFRTEKPEYVFLAAAVGGIVANDSYPADFIRDNLAIQTHVIHAAWQTGVRRLMFLGSSCIYPRLAPQPMKENCPLEPTNRAYAAKIAGIEMCWSYNRQHGTQYLSVMPTNLYGPGDNYHPQNSHVIPALLRKFHEAKVRGDA-------EVPVWGTGTPRREFLYSEDMADACVHLMESLTGRFEPPLVNIGVGEDVTIRELAELVQGVTGFQGGIAFDTSKPDGTPRKLLDVSLAASA-GWKARTTLQEGLQRAYRDFIDNEQ------- 138383531 --SMKIIVTGSAGFIGSALTISLLQKGNEVIGLDNLNNYYDTKLKKARHYKLDICDKIGLIKIFKAHKPDILVNLAAQAGVRYSIDNPSAYIDSNIVGFANILENCRNFKIKHLVYASTSSVYGANTKVPYSENDSNHPLSLYAATKKSNELMAHTYSHLYKIPTTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 143365971 -----ILVTGGAGFIGSNFLHHLVNTTEEIICIDSLTYAADWHNIPDPVYTTDIVDEHNCEYIFKKYKPSTVFHFAAESHVDNSIQNCTPFIHTNINGTVNLLNLSVKYEVQKFIHISTDEVYGSIEDGYFTEKSNYSPRNPYSASKAASDHFVMAYHNTYGLPTIITNCSNNYGPRQY---------REKMIPKIISNLLSGKK-------VPVYGDGKQVRDWLYVQDHCEAL---IEVWLKGRIGQKYNIGGECEVRNMIWSE------------------------------------------------------------------- 239623270 ---KKALIIGAAGFVGGYLIDYIQKKCVWSIIATKMPYEDFEYPEVKIC-NLDIMDKDSIVNLLREIHPDYIFHLAAQSSVALSWKNPGLTVDVNIKGSLNLLDAVREDYVPRVMLIGSGEEYGLRDEVPIREENVLRPGNIYAATKACQNMLGKIYFQAYGMDIVMIRAFNHIGPNQAPIFVVSDFCKQVV-----------EIEKELREPVLYVGNLSARRDFSDVRDVVRAYTFLMESGVA---GQTYNVGSGEAVSIGEILQLILEFSLKQINVEVDSNRPVDIPIIEADIEKLVSCTGWKREITLRDTIKETLDYWRA---------- 108797918 -RGANVYVAGHRGLVGSAIVRRLRDAEFRRII-------------GKTSVELDLKNRGAVFAFFREVKPKYVILAAAVGGILANSTHPVDFLSENIRIQVNVLDAAIEAEVERLLFLGSSCIYPKFAEQPIREESHLEPTNDAYAAKIAGILHVQAVRRQYGLPWISAMPTNLYGPNDNFSLTGSHVLPALIRRYDEAVASGAQ-------SVTNWGSGKPRREFLHADDMADACLHLLEHYD---GPEQVNVGSGTDATIREIAETVASAVGYMGETAWDTSKPDGTPQKLLDISRLTRS-GWTAKIGLAEGIERTVAWYRRN--------- 137479411 MKNKKILITGGLGFIGSHTAAHLGALGHEIVILDNCSRAPLSTLKKIEFHRADLRDFDALQKILAQTQPDGVIHFAALKSVNESCSDTGTYFDNNVTGTINLARAMLATNTQNLVFSSSCTVYGEATPPVSESAPTGATANPYGRSKFLCEKILQDFANFAGLRTVALRYFNPIGAHPSGLLGESP--------------------------------------------------------------------------------------------------------------------------------------------------- 238059556 --TRRALVTGAGGFIGGHLVTYLRSQGWWVRGAD-LRLPEFRATEADDFVVGDLRDPQVCRR--ACEGVTEVYALAAMGGMGFISKDPATILRNNALINLHTIEAARLAGARRYFLASSACIYPTPDLRPLREDDPAGPQDSYGWEKLMAERLCVYYAEQYGLAVRIARYHNVYGPYGTYDGGREKAPAALCRKVA---------EAPPGGEVEIWGDGRQTRSFCYVDDCLEGTYRLM----RSDHGEPVNIGSDRLVTIDELAALVMAAAGRDDLRLRHVSGPQGVRGRNSDNTRVRQVLGWAPGIPLEQGLAVTYRW------------- 136239481 ----TVLITGGCGYIGSHTCVSLIENKYNILIIDSHINSFENSFEQIKYIRGDIRNKAWLDKIFNDFNIKFVIHFAGLKSIYSSIKLPLEYWELNINSTLTLLAVMQKYQCFSLIFSSSATVYKAQGSKLLKETDELRPSTPYGKTKLCIEQVLKDYESNKEWRIANLRYFNPVGAHPSGLLEENPKTKAPNFPEILRVIRGDKKQLLVYGKDWPTHDGTCIRDFIHVMDLAEAHIATLDFLLNKPQYISINIGTGKG--------------------------------------------------------------------------- 134560846 -------------------------------------------------IEGDICDIRVCQSISKDVN--YVLHQAALGSVPRSLLDPIKTNQVNISGFLNIMIAARDQGVDSLVYAASSSTYGDHPDLPKTEDKIGKPLSPYAVSKYVNELYADIFYKNFNFDSIGLRYFNVFGRRQDPNGAYAAVIPKWINAMQNMSSI------------QINGDGSTSRDFCY-IDNVIQANLLAATACEESKNQVYNIAVGDSTSLNQLFKIITDILGDHKIHKYADFREGDVKHSQANIDKAKKMLGYEPQFNVKDGLKLAISWYLKN--------- 89067505 ------LVTGGCGFIGRQVATELLSRGREVVLYDAMIDAAAEVPEGAEVVRGDVREIDKLRSALKGV--DEVVHLAAEVGVGQSMYEIARYVSANDLGTAVLLEAIAEDPIRRLVVASSMSVYGWEPEGPDGEALTPRPTDERKRTKYQQEQACLIFGEAYDIPTTSLRLFNVFGAGQAL------SNPYTGVLANFASRLANGQRPTIF------EDGQQKRDFVHVRDVARAFALALEHDEAAGEVINV--GSGNAYSITQVAELLADAMGCPTPEILGKFRSGDIRNCFSDISKAKRLLGFEPEHRLEDSLGPFVDWVRNAP-------- 144192938 ---KTALITGITGQDGSYLAELLLDKGYEVHGIKRFNTSRIDHLYQDPHHYGDLTDTSNLVRILEQVRPDEVYNLGAQSHVAVSFEAPEYTADVDAIGTLRLLEGIRFGDTCRFYQASTSELYGLVQETPQKETTPFYPRSPYAVAKLYAYWITVNYRESYGMYACNGILFNHESERRGETFV------TRKITRSLARIAMGLEDCLYLGNLDALRDWGHARDYVEMQWLMLQQEEAQDFVIATGRQESVRDFVGIELDWREEIATVASVTNDDLRVNVDPRRPAEVETLLGDPSLAKVQLGWEPTTTLAEMIAEMVD-------------- 163847677 -KTPHALITGGAGYIGSLLTGVLLNQGWSVTVVDDLLFGGASLLGYWHHAKGDICDPATLRATLPPASFDAVIHLAAIVGFPACQMGPQVAWRYNFEGTKRVFAAAEAGRVGRFVFASTYSNYGLPDGKPVTEESPLNPQSLYAETKIAAEQFLRE---------------NTAGAATMPILFRFATLFGVSPRTRFDLIINQFVLEAITRRKLIIYQRGYARSFVHVRDVCDAILLGLEAPEAVVNREIFNVGSDEGNYTKDEIVALVQRHVEGTVVEYKDLTFGDMRDIRVSFAKIRERLGFRPRISVEQGIRE----------------- 297620314 FKGKKVLVTGHTGFKGTWLSLWLNKLGAHVIGYDLFSLVGLEN--RITHAQGDVRDLAQLSEIVQTTNPDAVFHFAAQSIVLNSYKSPQETFSTNALGTVNVLEACRRSPSIRAIVIATTDKCYENRSWVWRENDRLGGKDPYSASKAMAELAASSYRE-----SFLKGNISVATVRCGNVIGGGDFSPHRLLPDCFRALINQ--------QSISVRNPKSIRPWLHVLDALYGYLKIAGELLTTGEIFSGSWNFGNAVTVQEMVEYAIETWGSGNWTDNCKNAPEEMETLKLNWEKAAKELSWSPRYCWKEAIEKTASWFKAY--------- 119944537 --KKRIFVAGHNGMVGSAIVCQLENNENIEIVVRS-------------RKELDLTNQQAVSDFFQTEKIDQVYLAAAVGGIVANNTYPADFIYENLIIECNIINSAHLAGIQRLLFLGSSCIYPKLAEQPMSESTLEETNEPYAIAKIAGIKLCESYNRQYGRDYRSVMPTNLYGVR-DNFHPENSHVIPALLRRFHEAKLNGDKEVIAWGSGKPMREFLYVDDMAAASIYVMNLGKELYNSNTEPMLSHINVGTGVDCTIKDLVETVAKVVGFEGEIKFDATKPDGAPRKLMNVERLES-LGWEYSVSLEDGLTLAYQWFVDNQDKF----- 293606526 -QDQRVFVAGHRGMVGAAITRELQRRGYP----DVLTRTRAE---------LDLENQNQVHRFFSTTPVDVVYLAAAVGGILANQNHPVDFLYKNLMIQCNVIRAAYAAGVRKLLFLGSSCIYPREAPQPIREDALLATNEPYAIAKIAGLKLCEAYQREYGARFICAMPTNLYGPHDNYDLHSSHVLPALIRKFHEGREAGQE-------SVTIWGTGTPLREFLYVDDLAKACVMLMEHPDAEG---IYNIGAGKDISIADLAALVARVVGYQGRIVYDTAKPDGTPRKLMDSSRV-TALGWQPAVSLTDGIALAYQHFLR---------- 307221894 ---KKAFITGITGQDGSYLAEFLLDKGYEVHGVDHIYQDRHNQNPNFFLHYGDLTDTSNLVRLIKEIQPDEVYNLGAQSHVAVSFESPEYTADVDAMGTLRLLEAIRICKKTRFYQASTSELFGLVQEIPQRETTPFYPRSPYAVAKMYAYWITVNYRESYGMYACNGILFNHESPRRGETFV------TRKITRAIANISQGIEKCLYLGNMDSLRDWGHAKDYVRMQWMMLQQDHPEDFVIATGKQISVREFEFSGQGVDEIATVVNKTSDCAIIVRVDPRRPAEVETLLGDPAKAKKVLGWEPEITVEEMCAEMVA-------------- 282874373 --GSRIFVAGHRGLVGSAVARRLADDGHEV-----LTRGRDL---------LDLRDAARTGAYLKEVRPDAVVLAAAVGGIMANSTYPVQFLEDNLRIQLSVVAGAHAAGVERLLFLGSSCIYPRLAPQPIREDAELEPTNEAYAAKIAGIVQIQSYRRQYGASYISAMPTNLYGPGDNFDLETSHVLPALIRRFHEARRDGAP-------EVTLWGSGSPRREFLHVDDLAAACVTLLEAYD---GDEPVNIGCGEDLTIRELARTVAEVTEYRGRIGWDTSKPDGTPRKLLDVTRLSS-LGFTPRIPLRDGVARTYAWWL----------- 134867600 --------------------KLLKNKNLQVYGIDNLNDYYDVKLKKDRFSKIDICNSLKLNKLSSK-KFDKIIHLAAQAGVRHVFKNPNSYYKNNILGFFNILEFCKKNKVKHLLAASTSSVYGANKKLPFNVNFPDHPTQFYAASKRSNEIMAHSYSSMFDIPITMIRFFTAYGP--------WGRPDMALYIFVKNILKNKKIKVFNYGNH--------SRDFTYIDDIVNGINLAMNKIPKKNKNWAFNLGNGKKIPLKKYINIIEKILGKKAKIKYLGFQPGDIKDSISDIKDTQKYLNFSPKIEVEQGIREFISWYKKY--------- 142220638 MMNK-IFVAGHGGMVGSAILRQLEQDANNQIITAN-------------RAELDLTNQQAVNDFFASNQIDLVYLAAAVGGIHANNKYPAEFIYENLMIEANIIHAAHKNDVQKLLFLGSSCIYPKMAAQPMSEDAKLEPTNPYAIAKIAGIKLCESYNRQYGRDYRSVMPTNLYGPG-DNFHPENSHVIPAMLRRFHEAKLANKHEVVVWGTGKPMREFLYVDDMAAASVFVMNIGHSIYSANTEPMLSHLNVGTGGDCTIRELAETIATVVGYHGALSFDSSKPDGTPRKLLDVCHLKN-LGWSSSVSLEEGLKNTYDWFLK---------- 224583403 MKSKVALITGVTGQDGSYLAEFLLEKGYEVHGIKRFNTERVDHIYQDPHHYGDLTDASNLTRILQEVQPDEVYNLGAMSHVAVSFESPEYTADVDAMGTLRLLEAIRFEKKTRFYQASTSELYGLVQEIPQKETTPFYPRSPYAVAKLYAYWITVNYRESYGIYACNGILFNHESPRRG------------ETFVTRKITRAIANIAQGLESCLYLGNMDSLRDWGHAKDYVRMQWMMLQ----QEQPEDFVIATGVQYSVRQFVELAAAQLGIKLRFEVDPRRPAEVETLLGDPSKAHEKLGWKPEITLSEMVSEMVA-------------- 255730795 --TKKVLVTGGAGFIGCNFLSYIVPKYPQVTCIDKLNYASNELLPNFCFIQLDLS--ESLEKLLDITRDTDIINFAAESCVDRSFSEPLYFTKNNIFATQNLLECHRNRNINYILHISTDEVYGESVSNVVEDDHLMNPTNPYSASKAAIDLIIQSYQYSYKLPITILRPNNVYGP----------LQYPEKIIPLTIKCLHEKTPIPIHGN------GTNKRRYLYVLDLVLAI-EIIWKSQQITTNQIYNVGGTDELDNNSLVNLIMNVFQTPGEIQYVKDRKYNDSSYSIDTTKL-AALGWEPKISITQGLE------------------ 295687949 LEGKRVWVAGHRGMVGSAIVRRLASEGCEI-----LTAGRDV---------LDLERQSAVEAWIAKEKPDAIFMAAAVGGILANDTYPADFLYNNLVIETNIVDAAWRNGVGKVLFLGSSCIYPKFAPQPITEDAPLEPTNEWYAAKIAGIKLAQAYRKQHGCDFISAMPTNLYGLGDNYDLNSSHVMPALIRK-AHEAKLAGADSITIWGT------GTPRREFLNADDCADACVFLMKTYS---DFEHVNVGSGEDITILELAELVCEVVGFTGQIAKDTSKPDGTPRKLMSADKLRG-MGWQPSIALEDGVKSAYQAFLANP-------- 283841211 ---KRALITGVTGQDGAYLAALLLEKGYEVFGLVRRSSSADVIDAKLRWVDGNLLDLSSLIRAMRDIKPDEVYNLAAQSFVKSSWMQPILTGQVTGLGAVNVLEAVRLDAPQRFYQASSSEMYGLIQEPVQSETTPFYPRSPYAVAKVYAHGMTVNYRESFGLHASNGILFN------------HESPVRGIEFVTRKVTDGVARIKLGLQTELRLGNIDAKRDWGHSKDYVRAMWMMLQ--QDKPEDYVIATGRTTTVRDMCRIAFAHVDLNIDDHLVIDPDRPAEVDVLLGNPAKARSKLGWEPTITLEQMIQEMVE-------------- 141107446 IERMTILVTGGAGFIGSHLIRHLVKNDYTLVNLDALTYADVNNAPNYQFVEGNINDSSLLDSLFSTHHIEAVIHLAAESHVDRSIEGPMPFAETNVVGTMNLLNACRTHWNHRFYHISTDEVYGLGKEGVFTEHSPYQPRSPYAASKASADHMVRAYGETYGLPYVISNCSNNYGPDQHT--------------------------------------------------------------------------------------------------------------------------------------------------------- 142306377 ---KSILVTGGAGFIGSHFVRRITGNNTKIVNLDLLTYAGDLDNLNYKFVHGDINNFNFLQDLFKSHNFDSVVHLAAESHVDNSIKDPFGFAKTNIQGTLNLLESARKNWKQRFYHISTDEVFGGGDNAKFTENTPYDPRSPYSASKASSDHFVRAYHYTYGLPALISNCSNNYGP----------YQHLEKLIPLVINNIINSKPIPIYGK------GENIRDWLYVEDHVEAIDLILHKGEI---GSTYNIGGNNEYKNIDIIYKLIEFTDR----------------------------------------------------------- 271969425 ---RRALITGITGQDGSYLAECLLSEGYEVWGLVNPRVSRVRKLLQDVQVRGDLLDQGSLISAVEKVQPDEVYNLGAISFVPMSWEQAELTAEVTGMGVLRMLEAIRVCSQIRFYQASSSEMFGQVRETPQTEITPFHPRSPYGVAKAYGHFLTQNYRESYGMYAVSGILFNHESPRRGAEFV------------TRKVTLGVARIKLGLATELRLGNMEARRDWGYAGDYVRAMHLMLQADT----PEDYVIGTGRTHSVRELVEAAFAAAGLDWERHVVGDRPAEVDLLCADPKKARVQLGWEPSVSFEELVAMMVE-------------- 141698426 -------------------------------------------------------------------------------------NNPDLYYLNNITGTKNLLKVMLDNDLNNLIFSSSAAVYGKSELDFITEDALKMPINPYGKSKLQAEKDINQTSKQYGLNSISLRYFNACGADPEGKFGEDHACETHLIPNILQSLLDPKEEFYVYGNNYPTKDGTCIRDYVHVSDIVDTHYLAFENFGVSRMKQAYNIGIGKGFSVLEIIKACEQVTKNKIDIKFIDKREGDPISLVADNKSILADLNWNPQTSMTNIIDSAWRWHTK---------- 143278462 ----KIFVTGIAGFLGGHIAKNLLLQGHEVSGCDNLIGGYIENVPEDEFFQVDAIYLNQMKKMTKNV--DVIIHTACTAYEGLSVFSPYLVGQNTYQISMSTFTAAADNGIQKVINCSSMARYGHQEKTPFTEDMIPLPQDPYGIAKLASEETLKVMSEVHDFQYVNLVPHNIIGP------QQKYDDPYRNVVSIMINRILQGKPPIIYGD------GEQVRCFSDIDDVVNPLINSIFIEEAVGETINVGP-DEDAISIKDLATKILKVLNSDLEPIFVPERPKEVKLAHCSAEKARNILGYETTTSLDDSIEKIAEW------------- 136490136 -----ILITGGAGFLGSHMVALCLEKQVDVVVIDNLCNSDLSNLQKIPFYNIDIRDQGKLKEFFKKHQFSAVIHFAGLKSVSESVANPDLYYDNNVVSSQNLIDCIKQQDIKNVIFSSSATVYGDPKYLPIDEDHPIQPFNPYGETKAQVEQLFLQDEYFKTASVKLLRYFNPVGSYKGIIGEKPNGVPNNLMPYILGVATGKYEH------------------------------------------------------------------------------------------------------------------------------- 148927448 MEKRKILVTGANGFVGPYLARELKKRDVDVVGLGYGDSTPELRETVAEYIACDLTDETSVKEKIDFSEITAVIHLAGLSSQGQSFGKPHHTISANAAMAINLFEALEQEKKPRFVVVSTGALYDSNQPMPLTEESKIAFNSPYAISKHVLENLC-DYYRTRGFETVVVRPFNHTGPGQG---------PGFLIPDLARQVLEVGKGGTLKVGNLGTR-----RDYSDAWDIVKAY--AILATAENLPHTLYNLCSSKSRSGEEILELIAKVFGSEDAVSTEIDRPNDPPEIFGNATRMKEDFDWQPTIPLEQTITDYISW------------- 295798129 ----RVLVTGGAGFIGSQVAKLLEVEGDKVVVVDDFSHGNYKNLLRGEVICHDIRDNSILKKLP---RFDAVIHEAAVTDTTL--TDNSLMVSVNFNGFNNVLEYCLSKKI-RLVYASSAGVYGSGSSVM-KESQEPTPHNTYAYSKLLCDLKAAKFMKQSAKPIVGLRYFNVYGPG------EYHKGASASMIYQLYQQMLQNKQPRIF------KYGEQKRDFIYVKDVARLTVAALKAK----RSAIINVGTGQARSFNDIVTILNRVLEKDLDAAYFDNPYASVYQTQADTALLKSTLKSQAHYSLEEGIQDYVKKHLA---------- 218134548 -RGKRVLITGHTGFKGSWLCRILVNAGAVVTGY-SLEPPTNPALFDMCGLEGDIRDSEHLKEAFAKAQPEIVLHLAAQPIVRDSYKNPVYTYETNVMGTVNICECVRQNACVKSFLNVTTDKVYENKEWVWREDEPLDGYDPYSNSKSCSELVTHSYKNSF----FNDMDVAVSTARAGNVIGGGDFANDRIVPDCARASFA--------GTPIVVRNPHSTRPYQHVLEPLAAYLMIAKAQYEDKKYQGYYNVGPDEVTTGRLVDTFCEAWKKASWINKYDGGPHEANFLKLDCSKLKSTFGWKPRWNFDEAINRSVEWY------------ 138406517 ----KCLVTGGSGFIGSHIVDCLVSKGQHVVVIDNESADCHDHFYHNDYYKYDICDFDKIKNLFDGVDF--VYHCAAEARIQPSIENPFLTTNTNVNGTLSVLEASKRADVRRVIYSSTSSSYGHGH-VPNHESLPEDCLTPYSVSKVTGEKLCRVYSDLYNLETVVLRYFNVYGDR--SPLRGHYAPVIGKFLMQKKNNM----------PLTIIGDGSQTRDFTHISDVVDANILASVCVLPIAKQCVFNIGTGKPISIKELADII------SGNQVHLRKRPGEVQDT------------------------------------------ 254513075 MAGRKFFIAGHGGMVGGAILRKLQQRKHE---------GDTVELVTQTRSELDLTDQGAVRSFMQAERPDVVILAAAVGGIHANNSYPAEFIYENLMIECNVIHQAFSAGVGQLLQLGSSCIYPREAAQPMREDAVLEPTNPYAIAKIAGIKLCESYNRQHGVDYRSVMPTNLYGPG-----DNFHPENSHVLPALIRRFHAAQRDGLDEVTIWGTG--TPRREFLHVDDMAEASLFVLDLSRDIYEANHINVGTGRDVSIAELAALVARVTGFGGRIVYDPSKPDGTMRKLMDVSRL-SEMGWTARIGLEDGIRQTYAWFLAQP-------- 143391738 ----RALVTGADGFVGTHLVAWLLAEGDDVV-----------------ENATDITERNALIAAFTEAAPDVVYHLAAQADVGASWSTPVETLRVNVEGTLNVLDAARLAGATRVAVVTSADIYGTEAELPLTEQQPLQPVSPYAASKAAADMLCVQAGLGHGFDVIRIRAFNHLGPGQS------DKFVASALARRVARAERNREATVRVGNLEARRDFTDVRDVVRAYRAL---------MVDGCPGQAYNVCSGIDRSVRELAGILLRTSSADITLKTDPMRPVDLKVLRGDNTKISTDTGWSPNIPIEQTLEDLLEWRRE---------- 142118518 --KKKALITGITGQDGSYLAEFLLEKDYEVHGIKRLNTQRIDHIYKDPHHYGDLTDSSNLTRIISEIQPDEVYNLGAQSHVAVSFESPEYTADVDALGTLRMLEAIRFEKKTKFYQASTSELYGLVQEIPQKETTPFYPRSPYAAAKLYAYWITVNYRESYGMFACNGILFNHESPRRGETFV------TRKITRGLCNIAQGLEKCLYMGNLDALRDWGHAKDYVRMQWLMLQQNTPDDFVIATGEQFSVRQFIGNGIKEEAIVKKIPALKEGDIIVRVDSRRPSEVETLLGDPSYAKEKLGWVPEITVQEMCAEMIN-------------- 136009788 ---RKLLVTGGTGYIGSHTCLSLLKKDYEVIILDSLKDDYESLNSNIEFVHGDINNKDLLRSIFYKADIEGVMHFAGLKSVSDSISFPLKYWAVNVSGTINLLDVMDEFNCRNIIFSSSASIYGKTNQKLIKENSLIDPLNPYGSTKVVVEKFLKDLYKSKNWNIINLTYFNPIGAHSSGLLGECSKKPNNIFPIILDVACGNLVKLEIFGNDYETNDGTCIRDYIHIMDLAE---------------------------------------------------------------------------------------------------- 294085527 -ENAKIFVAGHNGMVGSSIIRQLTATGH-----------GKDRIITRNRADLDLTNQADVRAFFEAELPDQVYLAAAVGGIYANNTWPADFIYQNLMIEANVIDAAFRVGVKRLLFLGSSCIYPKLAPQPMQENGSLEPTNPYAIAKIAGIKLCESYNRQYGIDYRSVMPTNLYGPGDNYDPMGSHVVPALIGRFHE-AKINRDSEIFIWGSGKQKREFLFVDDMASACIHVMNADKNAYDDCTEPMLSHINVGVGHDISIAELAQTIAKIVGYEGRISYDIDKPDGPPRKLIDSSLIQR-LGWTPKINLVDGLQRAYKDFL----------- 141202896 MRGSKSIITGGAGFIGSNLTDHLVRIGHKVVVLDNFVSGKKSNISHHNKKKVDISNSEKLSEYFKGA--DYVFHLAALAQIIPSIKNPKKYFNNNVNGTLNVLEAAKKVKIKKFIYAASSSCYGNPKKFPTSEKDQIDLQNPYAATKFIGEELVMRYAALYKMPNISFRFFNVYGPRLST---------SGQYSAVIGNFLSQTKSKKAL---TIVGDGKQTRDFIHVDDLA----------------------------------------------------------------------------------------------------- 135696357 ----------------------------------------------------------NLEEIFKNYKPQIVVNLAAQAGVRYSIEKPLAYINSNIVGFANILENCRKYKIEHLVYASTSSVYGANTKMPFSEHDSVHPLSVYAASKKSNELMAHAYSHLYKLPTTGLRFFTVYGP----------WGRPDMALFKFTKSIINEKPIDVFNNGNHTRDFTYIDDIVPAKSNSNWDSLSPDPATSKAPWRIYNIGNNKPVKLMDYIDALEKALGKKAKLNFLPLQPGDVPDTFASVENLNAKFDYKPSTSVVDGVYNFVKWYKDYYQ------- 136563550 ----RVFITGGAGFIGSHLADHYVNAGQTVTLLDNFSTGSKSNIAHVTTVDGDIRNIELIESL--TEDSDLVLHMAAALGVNTILESPLESMSTNITGSEVVLNAAANYN-KRIIIASTSEIYGKNPKQPLSETDPQKIRWTYSDAKAIEEAMAFALHQEKNLPVTTVRLFNTVGPRQTGRY--------GMVVPRFVQSALKNEPITIYGD------GTQSRVFCHVADAVEAIAKIAATDSTIGD--VYNVGGTGEVTIKQLAEQVLTVTGSKSEITYTAYPAGDIQRRVPDISKIKSAIKWAPTKDLKQIISD----------------- 143765654 ----NVLVTGCFGFIGYNFLIYLNKKDFNLIGVDSLKTSRENQIEFKDFYELDINDINKISE----NSIDLIINFAAESHVDNSITDPNKFIKSNVMGTNELLKFAYKNEINDFIHISTDEVYGSNKESFSLETDILDPSSPYSASKASAEMICNAYIKTYDMNIKICRPANNYG---------NYQQPEKLIPYTIANLLSGK-------NIELYGDGKNIRHWLHVEDTCLAV---LNVMNNGGDNSIYNIGSGQYFNNIEIANKILEALKLEQRIAFVEDRPGHDFRYAVNFDELKKI-GFKPVKDLDDEISKIVEWYKENKSWWEEDY- 254487981 LEDARVLVTGGSGFVGSHIAGLLLEDVQEVVVVDNMVRGRPENLPRLHLIEGDIRDRSLMRDLVGHS--DVVFHQAAL-RITHCVAEPEEAMQVMVQATFDILRDCVEHKVRKVVMASSASIYGMADQFPTPETAPYANRTLYGAAKTFGEGLLRSLHDTDGLDYVALRYFNVYGPRMDL------HGKYTEVMVRWMERLAAGLQPVAFGDGHQT------MDMIHVRDVARANILAAKAPVTD---RVYNVGSGTETSLLELAHHLAAGMGRPDIKGHAPERANPVPRRLADVSAATKDLGFSTEIGLEEGLADLISWWRA---------- 143598188 ----KILVTGGAGYIGSHTIVDLLKIDAEIQVMDNFSNSSQKVFKKIFCENIDILDFNNLSAFFKKSKPSLVIHFAGLKSVSESMENPLLYYDVNVNGTINLLKAMDLSGCREIIFSSSATVYGIPEYFPVDENHKCNPINTYGRTKFFIEQIIKDWSKNTNEKAIILRYFNPAGAHETGFIGEDPKGPNNLFPFITHVISGKQKELNVYGNDY----------------------------------------------------------------------------------------------------------------------- 143338140 ----KVLVTGGAGYIGATAVAMLINAGYEVNVLDDLSTGHAESVPPHIKF-VKGSLLSDDDLDDALEGCNAVMHFAAKSLVGESVAKPELYEQVNVGGSKALFAKMKSKGINKIVLSSTAATYGEPKRIPISESDDPNPKNPYGATKLEIDRMV----GTAGFSAISLRYFNVAGALQSNNGWLAERHNPETHLIPNILRATESAPVKIFGTDWPTADGTCVRDYIHVVDLIEAHIKSLENLPNG---------------------------------------------------------------------------------------- 116626581 MEGKKVLVCGAGGFIGGHLVKRLKAEGFWVRAVDIKEHEYAAP-PADEFIRGDLRDRSVVQGIEDMYQLAADMGGAGYIFTGE---HDAAVMHNSASINLNMLEFGTRAGVKRFFYSSSACIYPDPDNPKCSEDSAYAPDSEYGWEKLFSERLYLSYMRNHGVAVRVARFHNIFGPLGTWQGGREKAPAALCRKIA---------ETPDGGEIEIWGDGKQTRSFLYVDECVEAVRRLTESEF----TGPVNIGSEEMVSINRLAEMIMEVAGKKVSLRHIPGPLG-VRGRNSDNHLIRERLGWAPSRPLAEGLQKTYSW------------- 146090416 ----RILVTGGSGFIGSAFIRHLLSEGANGTVASCFLSCDVSPVSRYHFIAGSILDATRVLEALRTHHIDIIVHMAAQTHVDHSFSRSILFTQVNVVGTHTLLECARQYQLTRFLYMSTDEVYGETPATAQPANTVLCPTNPYAATKAAAEHLVSAYYHSFKLPMLISRGNNVFGPG--------QYPEKVIPSFIVHALRRERLPIHGDGHH--------QRSFIYVDDVARALCTIL---VRGGVGEVYNIASEREFSVHEVAQRVVAAAGDDHDKVIAASRADFDARYVADSEKL-AALGWAQEVSFEEGLRRTVGWYRRHP-------- 141896006 ---KRILVTGGAGYIGSHTVVELINAGYEPIILDNFSNSDERIIKEIEVHRVDLKNEQQLRKFFLLEQIDGIIHFAAYKAVGESVNLPLKYYENNVTGLINLLKIIPEHGINNFVFSSSCTVYGSPESAQVEETPRRSAESPYGNTKYIGEDIINDVVIAEDLKACNLRYFNPIGAHPSGLIGELPKGPNNLVPYLTQTAVGLRPELTISG-------------------------------------------------------------------------------------------------------------------------- 141870038 --KMKILVTGAAGFIGFHLCKRLIKEGIYTIGYDNFNSYYDPKLKESRHKEGDIQDYEKLKEIFENHQFNKVVNLAAQAGVRYSIDNPKAYIESNLVGFGNILECCRNFKIKHLIYASSSSVYGGNFKLPFSEDSVDHPVSLYAATKKSNELMAHAYSHLYNIPTTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 141439487 --GKNCVVTGGAGFIGSSLVEELILNGANVKIIDNLSMGKQNVKLGAEIFESDICNYEEIKNLFN--DVDYVFHLAAMNRAQRSISDPIKSNDINVNGTLNCLHLSHINKVKKFIFVSSSSVYKGVENKALKEGMFLEPLHPYGIGKLTGEHYCRIYNDLFNLDTIVLRYFSVYGHRQRGDIEHAGVIAKFFLQAKQNKDIT------------IYGDGSQRRNFSMVLDVVK--GTLLGAVKDEAIGEIINIASEKEYTVKEIAENIIKMTNSKSKILYLEP-------------------------------------------------- 296440589 -KDKVVLVTGHTGFKGSWLCIWLLELGAKVIGYALEPNSQKDIFVLAQDIRGDIRDINKLKNVFEEYKPEYVFHLAAQPLVRESYEKPSETYEVNVMGTIHVLECIRNTPATKVGVLITTDKCYDNKEQPWRENDALGGYDPYSSSKAAAEIAIQSWRNSFMSPVNYEIHQKAASARAGNVIGGGDWAKDRLIPDCMRAIFSEEE--------IFIRNPQAIRPWQHVLEPLRGYLKLGEMLTKYPQQYSQAWNFGSIIPVGELVQKLIHCYGSGKVNCIQEQTLCHEAWLNLDISKSVFKLGWKPILHIDEAIRYTVEWYKQYQK------- 135345671 MKKKKILVTGGSGFIGAELIKILIYSGYRVNCLD-IKRPSFLNSKNFKFFKGSVNDKKLVKKAILNCN--SVIHLAASLGVQHTDNNIIECLDLNIYGTRNLLEIAQKFKLDKFIFISSSEVYGEQTKFPIKEDYELKNKSIYATSKIVAEQYVRGFYQKYKLKYNIVRFFNVYGPG----------QKENFVMSKFKKQIKSNEPLTVFGN------GNQIRSFCNVSDATKGLIQVLEK---GKPNEIYNIGNNNEISMYDLAKRFTEVSGKNVKIKKVPYNKSDILKRFPDLKKIFKHTKYKAKKDLNTGIEELIN-------------- 257056372 ----RVLITGAGGFLGRTLAAKLHQQGSKVTAVVR--PGRDPELPAGIKLRVDVRDRNALTAVVREQSPDAVVHLAALKSIRDSHGNEDDYRATNVDSTTNLIDALSTATSPVHVVHASTVSVYGPQQQP-NEDAPTDPRNPYARTKLDAETALETAATEGRCHATVLRFANIAGG-----FGTVHDPNTSAIIPRVLISARNGEAVPVNGAGDSV------RDYVHVQDAAEAVMAALATPRTFGR---FNVGSGQGASVSDIITTAEKVTRRPIAIERKPA-VDEVKHIVPDTTRIRQELDWQPQHSLADIITDAWH-------------- 167524601 -QPQRLLITGGAGFIASHVAKHFLTETYELVVVDALMYANRRNLPEHPFVHGDITDLAAVEHLLCTHRCDTILHFAAQTHVDRSFANSFSFTHNNMLGTHVMLEAAKLFVHVSTDEVYGETVPGE-DRHFLEKISPLNPTNPYAASKAAAEMMVKAYQKSYDLPVIVTRGNNVYGP---------HQHPEKLVPKLIYQAL-RDQCLTLHGDGSQQRGYVFVQDVARAFDILVHRGTGLHLLRLLTGSTRICNRRTQDRCFQ------------------------DRRYLVANENLLQ--LGWAPGTSWRDGLRSTIAWQREHP-------- 139622724 -----------------------------------------------------INNEEVISNLIQSNNFDLLMHFAGFIQVEESVKVPEKYFENNTNNAIKLFNICKKNGLTKIIFSSTAAAYGVSENKLIDEHTNLNPQNPYAESKIKTEKFL--FDNKDNFQFIILRYFNVAGADKNLRSGQISKKSTHLIKKISEVVVGKRNQIEIFGNNYNTPDGTAVRDYIHVSDLADIHVEIAKYLLKNLESNLFNCGYGNGFSVLDVVETTNSIYQNKITYKFSNRREGDVEKLIADTSKLLNHIKWQPKYDLREIINSSIRWEEK---------- 134360036 ----KIYITGIAGFLGSHLAKKLIDSGHTVGGNDNMILGDKENLPKNIFHNTDCCNYDQMVKNLNGYDI--VYHCAATAHEGLSVFSPNFITKNIYQASISVMTASVVNKIKKFIFCSSMARYGS-QKTPFTEEMQPKPQDPYGIAKVAAEDTLKLLSEVHGMNYNIAVPHNIVGPNQ------KYDDPFRNVMSIFINRNLQSQPAIIYGDGLQKRCFSYIDDVIFCLEKLALDKKINKEIINVGPDE-------ETITVLELAKLIANETGFNGEPIFVKDRPKEVKEASCSADKARKLLNYVTKTTLRESVKKT---------------- 136170018 ---RRVFVTGATGMVGSQLCRWLVDQGAYVAALVMDDDPQSELMRSGTIREGRLEDRDVVERGILQHETDTVFHLGAQTLVGPAHHAPRATFEANIAGTWHLLEACRLHAVERVVIASSDKAYGE-QELPYREDMPLEGRHPYEVSKSCTDLISTCYAETYSVPVTIARCGNIYGPGDLN---------------WSRIVPGTFRSLLRGEQPVLRSDGTFLRDYIHVDDVVGSYVALAEHCHEDGRGKGFNFSNEQPLSVMQIYDACCTAAGPGVEPKVLNKAEGEIKDQYLDAARAREVLGWTSSVGLEDGLAQTFSWYRD---------- 304321040 LSGKRVWVAGHRGMVGSALLRRLIEA--------------PAALLTVSRDEVDLRDQSATREWVAKERPDAVFPAAKVGGIHANAAYPAEFLYDNVMIAANVIEAAFRVGVEKLLFLGSSCIYPKFAAQPIAEEAPLEPTNEWYAAKIAGIKLCQSFRRQYGADFISAMPSNLYGPGDNYHPENSHVLPALIRKAHSAKCAGQK-------GMEIWGTGTPRREFLHVDDCADGLVHLMKGYSDEA---LINVGSGTDIPISDLALLVMDVVGVEGDLSHDLSKPDGTPRKLMDNRRIR-ELGWTPAITLREGIAHAYADFLA---------- 227829582 MKSKKLLITGITGQDGAYLAKLLLEKGYEVYGIFRRVSSPNFWRLQALGIEADITDFSSILEAIKFTSPDEVYHLAAQSFVGASFESPIATSQITGVSTLNLLEAIRQDPTIKFYFAATSELYGNKYDGKINEETPFNPASPYAVAKLYGYWITKVYRESYKIFAVNGILFNH-----ESELRGLEFVTRKISNEVARIYLGLSNELRL-------GNLEARRDWGYAPEYVEAMWMMLQ----QDKPEDFVIATGESHSVREFVEEAFSYVGLDWYVKIDKRRPLDVNELIGDYSKAKQKLGWEPKTTFRELVRKMVDADIEWRKWLKGER- 260877906 ---KKALITGITGQDGSYLAELLIKKGYEVHGLIRRASSYNTERIDAKLHYGDLTDSSNLIRLVKEIQPDEIYNLGAMSHVAVSFESPEYVADVDGMGTLRLLEAIRINKKTRFYQASTSELYGEVREIPQRETTPFYPRSPYAVAKMYAYWIVVNYRESYGMYACNGILFNHESPRRGETFV------TRKITRAIANISQGLESCLELGNMDALRDWGHAKDYVRMQWMMLQQELAEDFVIATGKQISVREFVGEGIDEIATVVAIDEEKAPKVKIKVNPRRPAEVETLLGDPSKAKAKLGWTPEITVEEMCAEMVA-------------- 135740245 MKNKKIIVTGSAGFIGFALSKKLLERGENIIGIDNHNDYYDPKIKDARHTKIDLINKNELEEIFQNYRPQKVVNLAAQAGVRYSIINPLAYINSNIVGFANILENCRNYNVEHLVYASTSSVYGANTKMPFSEHDNVHPLSVYAASKKSNELMAHTYSYLYNLPTTGLRFFTVYGP----------WGRPDMALFKFTKNILEEKPIDVFNHGNHTRDFTFVDDIVE---------------------------------------------------------------------------------------------------------- 143693355 ----KLLVTGGCGFIGSNFINYMFDDKYEIINLDAMYYCASENNENYHLIKGNLCSEDIVNFILDNFKITHVIHFAAQSHVQNSFDDSLQFTKDNVLGTHILLECCRKYKIEKFIHVSTDEVYGESEEQHKTEHSILCPTNPYAATKAGAELMAQSYNHSYGMPIIVTRGNNVYGPNQYP----------EKLIPRFIQQLKNNEKVTIQGE------GTAVRAFLHAYDTARAFETIL-LKGKIGEIYNIGCNDGMEYTVMEVAKMLIKFIDYNNWITYIEDRPFNDQRYYISNQKLK-DLGWDVTINFDDGLKQLI--------------- 142969723 ---KKVFITGGAGYVGAVMVPHLLKQGFEVSVLDLMIYGDHVLPKHNKAVKGDIRNQDLLKKIIPGH--DVVIHLACISNDPSFEMNPELGKSINLDAFRPLVEISKKSGIKRFFYASSSSVYGIKDEPNVHEEMELEPLTDYSIFKAECEKILAEYQSDD---------FTTTTIRPATVCGYSPRQRLDVVVNILTNLAYHKREISIFGG-------EQLRPNIHIKDMVEAYMVLLKAPKEKIAGKIYNAGYEN-HSVKDIAETVKNAVGDD--VRLITTHSDDNRSYHVSSKKIQNELGFVAKHTIHDAVIDLCKAFDQNPNSLDDE-- 126741040 ----RIYIAGHRGMVGGAILRQLQARQ---------AAGEDLELLTRTSAELDLTDQAAVRAFMQAEKPDQVILAAAVGGILANNSYPAQFIYENLMMECNVIHQAYEAGVKKLLQLGSSCIYPKAVPQPMREDAVLEPTNPYAVAKIAGIKLCESYNRQYGSDYRSVMPTNLYGPG-DNFHPENSHVLPALIRRFHEAAEAGLEEVVIWGSGKPMREFLHVEDMAAASLFVLDLDPEIYARETAPMLSHINVGSGSDISILELAQMVARVTGFAGRISTDPSKPDGTLKKLMDVSRLER-LGWKASIGLEEGIQGTYRWFLE--QGLDN--- 239816166 ----RIYVAGHRGMVGQSLVKRLGSLGHEVV--------------TRSHEELDLLDQEAVRRFFATERVDQVYLAAAVGGIHANMTYPAEFIYQNLLIAANVTHQAFLANVKRLLFLGSSCIYPRITEQPIREDAQLEPTNPYAIAKIAGIKLCESYNRQYGA-SHGIDYRSVMPCNLYGPGDNYHAENSHVVPALIRRFHLAKTTNAPEVLIWGTGQ--ARREFLYVDDMAEGCTTVMYEQHTTPMCAHINLGMGEDQTIAELARLVGEVVGYRGRIRFDPSRPDGAPRKLLDVSCAAAI-GWHPTVSLAEGLQRTYADYQK---------- 140852675 -------------------------------------------------IEGDIRDEALVLNSLKSSKADVVLHCAGLKSISESMVAPDLYDSVNVGGLRSLTQAMQEAGVHKIIFSGSANVYGQPLALPITEDAPVNPQSPYGKTKLESELILKDAEKNPEWSTVVLRYFNPVGAHHSGLIGENPKGPNNLMPILCQVASGERSALDIYGHDYATPDGTAIRDYVHIEDLAEAHLGALNFLLQKPGFDLFNIGSSRGVSVLELANCFASSNLIKIPHRFVARRDGDVGQIYTANDRAKESLKWVVQRDLGAMCSSAWNYFIQ---------- 136557107 FRGKRVLITGDTGFKGSWLSLWLHNHGAEVFGLPALPNSHFNDLSLGNHVDGDVRDLAGLKKCFQQVQPHAVFHLAAQPLVRLSYRDPVQTFETNIGGSTNVLECVRTESVQALIFITSDKCYRNVEQVAYTEEDVLGGNDPYSASKGAAELVFAAYNASF---FAHRDGLIAASARAGNVIGGGDWAEDRIIPDCIRSLQ--------QSTAIVIRNPHATRPWQHVLEPLSGYIMLGSEMLKGNTSVSGSWNFGPRRSVDDVTREVIKVWGEGTVKLDGNQHPHEATLLQLDCSKARTELGWLPRWDFLTTMDKTVSWYQSVYQG------ 123468325 ---------------------------------------------------------------------------------------------------------MRQYNCKKIIFSSSATVYGNPNAPLIKEDFPVGTTNPYGTSKYFIERILQDYIADNKMQIVLLRYFNPVGAHESGTIGEDPSGIPNNLMYYISQVAVRKRPHNVFGNDYPTCDGTGVRDYLHVVDLDIGHVKALDYINAHPGSKPVNLGTGNGCSVLELVKAFERVNGIEIPYKIVDRRPGDLPTVVADPSYAKEVLGWQATKTIDDMVRDSWNWQSHNPNGYRS--- 144899795 FAGKTVLLTGGRGFLGAHLNEHILEKPCRLIAMDNLITAGKEGSEIPDFPNVTFIQHDVIEPVKLDEKVDYVIHAAGIASPYYYRAYPLETLEVAVRGTRNMLELATEHNA-RFVFFSSSEIYGDPDHVPTPESSCQGPRACYDESKRVGETLCHIFHEKHGTKTNTIRPFNVFGPGM--------------QETDYRVLPNFANRIKAGRPLNVYGSGNQTRTFCYITD--AMVGFTLVVLKGVPGEPYNIGNPKPEISMVELVKSIEKAQGKKVEYNVDSYPADEPNRRCPDIKKARLQLGFEPNVDLQDGLKRFLTW------------- 135536086 ----KVLITGCFGFIGFNFLKIVSNKDFQIIGIDSLSKYNNENFQHFKFYELNINNISDLND--QISNIDVIINFAAESHVDNSISHPEKFIESNVSGLAKLLMFAINKNIPKFYHFSTDEIYGSSNEKYFIENDKFNPSSPYSASKASAELICSSFANTYGYESLIVRPSNNYGT---------YQQPEKLIPFSISNLLEGK-------NVELYGDGKNIRHWLHVEDTVGSILHLLENNFNNG---VFNIGSGEYFDNFYIVNKIIEALNLDKRISYVEDRPGHDFRYAVNFDKLL-DTGWKPQKKFDSEIENIVNWYKANKEWLSR--- 142132566 ----KIFITGIAGFLGANLADYYVKKNFKVSGCDNLIGGTLDNIDRNKFFKADCENLDEMSKIIR--DVDVVVHTAAYPHEGLSSFSPYLICKSNYIGSISVFTAAIQNNVKRIVYCSSMARYGDV-NPPFYEGQKVNPVDPYGVSKLAAEHTLKILANTHNIEYNIAVPHNIIGP------MQKYDDPFRNVVSIMTNLILQKRKPIIYGDGEQTRNFSDIDDCLYCLDKLITSKEILSETFNIGPDE-------ESISINELYKMLCNKLQFNEPAQYVEDRPNEVKHAVCSSDKARKYLNYKTSVNLSDAIDKVINY------------- 300865719 -ERKRALITGITGQDGSYLSELLLEKGYEVHGIIRFNTDRIDHIYVDPHSEGDLTDGTTLRRILEEVKPAEIYNLGAQSHVRVSFDAPEYTVDAVGLGTLRLLEAIRDYRHRRFYQAGSSEMYGLVQEVPQKETTPFYPRSPYACAKVYAHWQTVNYRESYGMFASNGILFNHESPRRGETFV------TRKITRAVARIVAGKQKKLYLGNLDSKRDWGYAKDYVKAMWLMLQHDEPDDYVVATNETHSIREFLDKAFNCVN--------LDWHEYVEFDERRPAEVELLIGDSTKARQKLGWEPSVTFEELVKLMVD-------------- 143695962 ---KKIIVTGGAGFIGYNLCKKLVSLGHDVISIDNYSTGTSNHIESVEYISQDISDYDAMENLIQGA--SVVFHLAALARIQPSFSYPKKYFDSNVIGTFNVCQLCSAFGIPVIFSGSSSHHSGKFK-------------NPYTFTKDSSEELCQLFDKIYGLKQSIARFYNVYGPN------HIEDGEYATVIARWDKAHREKKPLTIYGDGSK------ERDFTHVDDIVDGLIKIWE---TQSYGIIFELGRGRKFSLNEA----ASLYKPSFGITYLEDKKGEAQSVECNAELAKLHLGWEAKNNLEDWIK------------------ 143351562 ------LITGGAGFIAHHLVKVLKETDWEVVTLDRLDYGNLNRLDDILMTECSSDQRKRVKVVFHDGQVDFIFHLAAGSHVDRSIDYPMEFVMDNVVGTCNILEARKQDNLKRFIYFSTDEVFGAPDGIKYKENDRYNSTNPYSATKAGGEELAVAYQNTYGLPIYITHTMNVFGERQ------------HPEKFIPMCIRKARDGETITIHSDPTKTVPGSRHYIHAEDVADAVKKFIETTYGGAKCPKFNIVGSEELNNLELAQIIAWAQDKPLIHEFHSSRPGHDLRYALDGSKMK-ELGWEPAKSVKERIADVTKWTLENSRWIE---- 154493006 -KDAKIYVAGHRGMVGSAIVRELRRQGYTNII-------------TRTHKELDLTRQEAVEKFFAEEKPEYVFLAAAVGGIVANQSALADFMYENMILEMNVIHAAWQNGCKKLEFLGSSCIYPRMAPQPMPESCLLKTNEAYALAKISGLKYCEFLNRQYGTDFISVMPTNLYGPNDNYHPEHSHVLPALIRRFHEAKEAGLK-------EVVCWGDGSPLREFLYVDDLANLCVFLM---NNYSGNETVNAGTGKEITIKALAELVAKVVGFEGLIRWDTSRPNGTPRKLLDVSKATS-FGWTYKTELKEGIRLSYEDFLNNP-------- 289451166 MEKEKIYIAGHKGLVGSAIERVLKKEGY-------------ENILGKTHAELDLTEQSKVNEFFEVNRPEYVFLAAAVGGIHANNTYPAEFIFSNIQIQNNVIDACYRFKTKKLLFLGSSCIYPKFAKQPMDEDGKLEPTNPYAVAKIAGIVMCQSYNRQYGTNFISVMPTNLYGPG-----DNYHPENSHVLPALIRRFYEAKIKNLPEVVVWGTG--KPLREFLYSDDMGHACVFLMKNYDVTGDGEHVNVGSGIEVSIRELAETIKEVVGYQGLLTFDLTKPDGTPRKLLDVSKLHK-MGWKHQVELKEGIRLAFEDYLR---------- 114777847 -KGKRVFVTGHTGFKGSWLCLWLESLGAEVTGY-ALAPATSPSLFDAANVIGDIRDRHGLTQAMQQARPEVVIHMAAQALVRYSYHHPVETYEVNVMGTVNLLEAVRGCDSVKSVLVITSDKCYENREREYREDEAMGGFDPYSNSKGCAELVVSAYRQSF---FDEGNNVGLATARAGNVIGGGDWSADRLIPDMVRAFTA--------GESVVIRNPGAVRPWQHVFEALHGYLLLLEQMAVQPSVFSQPWNFGPADADARDVAWIVGQFNAEWRVEPDAANLHEAHLLRLDCSKARRELNWEPKLQLEQAIAGIAEWYRSFYEG------ 310778608 -RGKTVLVTGHTGFKGSWLSIWLREMGATVIGYDNFVLSHLDEKI--IDIRGDIRDGEKLASVFKKYKPEIVFHLAAQPIVRLSYEIPVETYEVNVMGTIKLLECIRNTDETKVGVMITTDKCYENKEQIWRESDSFGGYDPYSSSKGACEIAINSWRRSFFNPCDYKNHGKAISSRAGNVIGGGDWTKDRIIPDCIKAIEN--------NQAIEIRNPKSIRPWEHVLEPLSGYQKMWENPTAYSEGWNFGPDPDSIVDVMNVAEKLIKIYGKGSIEDVSSEDLHEAKLLLLDITKARLRLGWSPTLDFYESLEMTVEWYRNYQN------- 134745405 ---------------------------------------------------------------FEQHKFKHVIHLAADSHVDRSISDPFVFAKTNILGTLNLLHRFKHQSGGLFYHISTDEVYGLGETGLFTESSPYQPNSPYAASKASSDHFVRAYAETYKLPVLISNCSNNYGPN--------QFPEKFIPVCIEAIVNNKNIP--------IYGDGNFTRDWLYVADHAAAIKTILE---EGKVGETYNIGGLNEWKNIDLVKVLIRETDKVPLITFVKDRPGHDKRYAIDNAKIMRELHWKPEHTFEEGIVKTIDWYLSNQAWID---- 136906224 ------------------------------------------------------------------------------------------------------------FKIPHFVFSSSCTVYGNPDIIPVTEETPPKPASPYGYTKQMGEQIVSETIKHSVSTAILLRYFNPVGAHPSGLIGELPVGPQNLVPAITQTAIGKIQQLTVFGTDYPTRDGSCVRDFIHVCDLAHAHTLALDYLINFSPCEVYNLGTGNGVTVLEAIHAFERVSGQKLNYQLGPRRAGDVVAIYANNDKARDFLGWEANFSLDEMMKTAW--------------- 136310204 ----RVIVTGACGFIGFHLCKKLLQNDFEILGIDNFNAYYDPSLKESRLNELDIRNADPLKEIFCEFKPDVVVNLAAQAGVRYSIENPSEYIQSNLVGFANILECCRIEKVKNLLYASSSSVYGGNTNMPFSEQGVDHPVSLYAATKKSNELMAHSYSHLYDIPATGLRFFTVYGP----------WGRPDMALFLFTKSILDNKPIKVFNRGKMIRDFTYVDD------------------------------------------------------------------------------------------------------------- 99081323 --TKRALITGVTGQDGSYLAEFLLEKGYEVHGIKRFNTSRIDHIYQDPHHYGDLADTSNLTRILREVEPDEVYNLGAQSHVAVSFEAPEYTADVDAIGTLRLLEAIRFEQKTRFYQASTSELYGLVQETPQRETTPFHPRSPYAVAKMYAYWITVNYREAYGLYACNGILFNHESPRRGETFV------TRKITRGLANIAQGLEPCLYMGNIDSLRDWGHAKDYVRMQWMMLQQDTPEDFVIATGKQSSVREFIGKGVDEVATVTAILRVGDIVMRIDPRYFRPAEVDTLLGDPTKAKEKLGWVPEITAQEMCAEMIE-------------- 209967268 LQGRRVWVAGHRGMVGSAVVRRLAAEDCE--------------TLTVGRDRLDLRDGAAVERWLAETRPEVVVLAAAVGGILANARQPADFLYDNLAIAGSVIHAAFRQGVAKLLFLGSSCIYPKLAPQPIPETAPLEPTNEGYAAKIAGIRLCEAYRRQHGCDFISAMPTNLYGPNDNFHPENSHVLPALLRKVHEAKAAGRE-------TVELWGSGRPRREFLHVDDLADACVHLLRHWSDE---RTVNVGTGTDIAIAELAALIAEVVGWHGRFVYDPTKPDGTPRKLLDVSRL-TALGWTARIPLRDGIAATSRWYLEN--------- 240167894 -RGRRVLVTGHTGFKGSWLCLWLHALGAEVTGLDPSSKPNHWDLLKINDHRVDIRDEAAVRGIFAAEGPEIVFHLAAQPLVRRSYREPVATWATNVMGTVHVLEAARATPDVRAVVVVTTDKCYENREWPWRERDRLGGHDPYSASKAGAELAAASYRTAF---LQHSSASLIATARGGNVIGGGDWSEDRLIPDLVRSV--------VADEPLVIRSPHATRPWQHVLDCLSGYLLLGRRLLAGDDSCADAWNFGPNRTVEQVLRDLARTWPKLRWQQTTDPQPHEAGLLQLDSARARMHLGWRPVWDLEKAIHHTADWYRHW--------- 138931061 ---------------------------------------------------------------------------------DRSIDGPADFIETNVSGTFNMLEAARTYWTFRFQHISTDEVFGSLPSDPFTEKTPYDPRSPYSASKASSDHLVRAWHETYGVPVVLTNCSNNYGP--------YHFPEKLIPVIILNALAGKPMP--------IYGNGSNIRDWLYVEDHADALLLVLEK---GKIGRSYNIGGENEHTNLELVQMLCKILNRADLITFVQDRPGHDARYAIDPSRIRDELGWRPSVTIEEGLEKTVQWYLDNKDWWE---- 142589614 ----RILLTGCAGFIGYHLSKKLSNSGFKVIGVDNINDYYSENFENFQFFKFDIS-KANFNEHLEDKNIDLVVHLAAQAGVRNSFKMPLNYINSNIIGTFNILEFCAEKNID-LLYASSSSVYGDHNNSSSEEDSTENPTSLYALTKKSNEMMVKIYCDHYHL--------NAAGLRFFTIYGEYGRPDMAYWIFTENALNG--DSIEVFGD------GSTLRDFTYIETAIEAMISIINNFNKIKGHEVFNIGNKDPRSINDLLGIIENHTNSDLKIFFKDKDKFDVGITSANTNKFESLFG-KLKHTLEQGISNFIKWYKEF--------- 136149490 ---KSAVITGVNGQDGSYLAELLLEKGYKVVGLKRRTSSNNSNLLPNPNRNCDLNDISSINNIIFEFKPTEVYNLAAQSHVAVSFEMPEYTTETICHGTLNLLNAIRFISPTKFYQASSSEMFGDSKDYKYTEESIFKPVSPYAVSKTYAHYTTQVYRAAYGIHGSCGILFNHESPRRG------------ETFVTRKITMAAARIKKGLQDKLFLGNLDAKRDWGFAGDYVEAMWMMLQ----QPVGDDYVIATGKTYTVREFLEVVFEYAGLGSYKKYVEMRPNEVPYLLGDSTKARKILGWEPKISMEQLARMMYD-------------- 140331595 -----VFVTGAAGFIGSHVSARLLEAGHAVVGIDNFDDFYARRLKEFELIEGDIRDAQAVGGLFERHQPAGVIHLAARAGVRPSIREPLDYSATNVCGTVNVLQKAIEHGANRFVFGSSSSVYGNNQSVPFAEEHRDEPISPYAASKRSAELLCYALHQVSELPVACVRLFTVFGPRQRP--------------------------------------------------------------------------------------------------------------------------------------------------------- 136604907 ----KILVTGAAGFIGSTICQMLKKKNVKVYGIDNLNSYSGKKIKNFIFNKVDISNKKKLTEYFLDKKFDIVLHFAAMVGVRYSLKNPSEYLKSNILGFFNLLENLKVKPPKKVIYASSSSVYGEKSKFPVLEKDKLNPINIYALSKLNNEQMAEMYSKKLKIQF--------AGLRLFTVFGEMGRPDMFFFKILKCFYKNKTFRLNNNGNHY--------RDFTYIGD-VKHYIKKLIFKNLKKKHEIFNICSDKPISLIKVINFIKTKLGNINIINVPINKA-DVYKTHGSNKKISKYVNLVKKTNYKIAILKTIKWYKKY--------- 149199221 ---KKALITGVTGQDGSYLAEFLLEKGYEVHGIKRFNTSRVDHIYQDPHHYGDLTDSSNLIRIIKDIQPDEIYNLGAQSHVAVSFDSPEYTADVDAMGTIRLLEAIRLEKKTKFYQASTSELYGEVRETPQTETTPFYPRSPYAVAKMYAYWICVNYREAYGIYACNGILFNHESPRRGETFV------TRKITRAIANIALGLEDCLYLGNMNALRDWGHAKDYVKMQWLMLQQDQPDDFVIATGVQYSVRQFVGIEIDWQEEVGIVKAVIEVGQKIVAVDPRPTEVETLLGDPAKAKEKLGWVPETTLQEMVEEMVQ-------------- 292670415 -KDAKIYVAGHRGMVGSAICRELERQGYTNII-------------TRTHARLDLCRQDAVDAFFAEEKPEYVFLAAAVGGIQANSEAPADFMYQNMMLEMNVIYSAWRTGCRKLEFLGSSCIYPRMAPQPMKEDCLLETNEAYALAKIAGLKYCAYLNKQYGTDYISVMPTNLYGPN-----DNYHPEHSHVLPALIRRFHEAKEAGAPSVTCW--GDGSPLREFLYVDDLANLCVYLMNHYS---GDETVNAGSGKEISIRELAELVARVVDYRGEILWDTSKPNGTPRKLLDVSKA-AALGWRYKMELEDGIRLAYQDFLNNP-------- 135271698 ------------------LANNLLKTNNKIYGIDNLNNYYDVSLKKARLKELNLEDKKFLLKLFKKEKFDIVINLAAQAGVRYSIINPDSYIQRNVIGFFNVLDVSRITKVKHLIYASTSSVYGNNKSHPLKENSTQKPLQLYAATKLSNELMAHSYSSIFNLKTTGLRFFTVYGP----------WGRPDMALYIFTKNILKKKTIPLFNNG------SHVRDFTYVDDIVSGITKIFSKKNNKEKYQIFNIGNGKPMHLKKYVREIEKNLNIKAKINKLPLQDGDIIKTHSSIKKISTYYGYKPKISLKKGIKNFIEWYRKY--------- 136532600 ----KALVTGGAGFIGSHLVDKLVELGHDVLVVDNFSVGNRDNLNSKASLWAE-GEYNFLDFFWAGYLPDSVFHLAAFSRIQPSFNDAWSAYNTNSTGTVIALEIAKKYNA-KFIYAGSSTACDDVF------------MNPYAYTKWLGEQHCKLYSKHYEISTAIARFFNVYGPRQ------------IEKGPFATVMGIFERQYRLKQSLTITGNGLQRRDFTHVSDIVDALITM---SKDNYSGTIFNLGTGKNYSMNEVAAMFQTET------KYIPKRPGEAQETLADLSFTKAMLNWEAKIKLPDYIKK----------------- 124484333 -----------------------------------------------------------------------------------------------------------------MVFSSSCTVYGMPDEVPITESAPLKAISPYGRTKLFQEDMFRDAVSDKDWRILLLRYFNPIGAHPSGELGEHPVGPNNLMPYIQQVALGQREFLRVFGNDYPTPDGTAIRDYIHVMDLAEGHVSAVVKTLATPDLGCINLGTGKGTSVLEMIKAFENASGKKVEHKLVDRRPGDSVAVWAATETAEEKLGWKSKYDVDDMCKHQWAWASKYPQGYE---- 307353553 MEPKKALITGITGQDGSYLAELLLSRGYEVHGLIRFNTSRIDHILGSDGHYGDLSDAEQINNIIYNVKPDEVYHLGAQSHVRVSFDIPEYTGNVTALGTTRILEAIRRSGLDIRFYQASSSEMFGGAEPPQDEETCFVPRSPYACAKLYSYWMAKNYREGYDMFASNGILFNHESPRRGETFV------TRKITRGIAAILAKKAKYLYLGNLDAKRDWGFSPEYVEAMWMMLQAEKSDDFVIGTGETHSINE------FLDEAFSYVGLNIDDHVRIDQKYFRPTEVDALRADPTKAEKDLGWKAKVTFKDLVKIMLD-------------- 194433541 ---KVALITGVTGQDGSYLAEFLLEKGYQVHGIKRLNTERVDHIYQPPYHYGDLTDTSNLVRLIKDISPDEVYNLGAQSHVAVSFESPEYTADVDAMGTLRLLEAIRICKKTRFYQASTSELYGLVQEIPQKETTPFYPRSPYAVAKLYAYWITINYRESYGMYACNGILFNHESPRRGETFV------TRKITRAIANISQGIENCLHLGNMDSLRDWGHARDYVRMQWMMLQQEQPEDFVIATGKQISVREFEFTGTGIDEIATVVNKTSDCAVGVNVDPRRPAEVETLLGDPAKAKKVLGWVPEITVEELCAEMVA-------------- 145355999 -EKKKICVTGAGGFIGSHLAKRLKEEGHHVVACDWKRNEHMEEAMFCDEFILDLRLYENCKKVL--EGCDHCFNLAAMGGMGFIQSNHSVIFYNNVMISFNMMEAMRVQGVTRCFYASSACIYPEGTQLSTEMQDGLKEASAWPAQKLASEEVYKHYQQDFGIQTRIGRFHNIYGPYGTWKGGREKAPAAFC-----------RKAATAESEVEMWGDGKQTRSFTYIDDCVEGIL----RLTKSDFAEPVNIGSDEMISMNDMQAMTLKFAGKDLPIKHIPGPEG-VRGRNSNNELIKEKLGWAPSVKLADGLKVTFEW------------- 140669689 -----------------------LSKGHKILAIDCLKYSRFNDNKNFEFKKIDILNKKTLERCIKNFRPNFIINFAAESHVDRSIESPMSFIETNCLGVINFLQCIKETNITKLVQISTDEVYGEV-SFPATENNRYMPNSPYSASKASADLFIRAWDKTFKLKNIILHPSNNYGPRQFP----------EKLMPLIITNALYKKKLPIYGDGMQT------REWLFVDDTVDAILQCLQKKDLKG---HLNIGSGQRYTNLQIVKKICLILDSSSLIHFTKDRPGHDKKYAINSKIAFNKLGWKPKTTIEDGLLKTVKWYIDNEKWWKE--- 142699139 ---KNVLVTGGAGCIGIQVCNELISRGINVHIFDQIARVKGSIKQNGRIFYGSILDCSSLRD--AMIDCDGVVHLAAYLGVRRTETNMLRCIEININGTKNVLDCAIQQKIKKIVFASSSEVYGEPLENPITEESITQGKTVYAVSKLSGEELCKAYSQRYPIKFTILRYFNTYGP---------HQIAQFVIPKFINNVLNNKSP-------IIYGGGKQKRSYCYSSDTARATVDALVSENANNQTINI-GNSNQPISLEELAKLVIKTCSKERDLEPVDREEGEIFERFCDTSKAKKLLDYSPLVDLETGIRNIIE-------------- 156740921 ----RVFITGITGPVGSALADYLVALGVEVHAFKRWRSDTRPIAHLAGRHEGDIEDPYSVMRAVERAAPDRVYHLAAQSYPSESWDAPIVTMRTNVEGTINVLEAVRRHAPRRVHLAGTSAEYGWPEDTPIPETHPTRPLSPYGVSKVAAGLSGLQYAANYGMHVVVTRSFNHVGPHQG-----DRCAIQTFCRQMALIEYDRQEPVIYVGNLEA------RRDFTHTRDVARALWLLLDH---GAPGEIYNLCSGVATRIGDIVAMVQQHGRVPTEVRVDPARPSDEPLLVGDNTKLRQTTGWQPQITVPMIVEELMAYWRE---------- 136368246 ----KVLITGIAGFIGFHLAKKLLENNIEVIGVDNFNDYYDVHLKKSRIRKLDITDLDNLKQIFDEYKIDNVIHLAAQAGVRYSLVNPFSYIQNNISGFINILECCRNSNFNQLIYASSSSVYGGNKKLPFSEDNVDHPVSLYAASKKSNELMAHTYSHLYNIPTTGLRFFTVYGP----------WGRPDMALFLFTKAILEDKEIKVFNYGEMTR-------------------------------------------------------------------------------------------------------------------- 142944474 -----------------------------------------EDKKNYFFFKIDINNHKEILKLYIDKNITDVIHLAAESHVDKSIESSFEFAKTNVLGTVSLLEASKSLWNNIFYHISTDEVYGLGLDGSFNEISKYEPNSPYSASKASSDHFVRAYHKTYGLPILISNCSNNYGPH----------QHFEKLIPNIITSLLKQTKVPIYGDG------KNIRDWLYVDDHCDAIELIFKKGKN---GETYNIGGDYEISNIDLANSIIKIFDSNKLIEFVSDRPGHDFRYAIDFSKIKKELGWAPKTNFNKGIRETINWYI----------- 142932976 -KTDKILVTGASGFIGSRLIKMLYEIGYVNIRATSWSRNDFEGSENIEHIKGNLQDADFCALVSK--DCDVIFHAAANTSNADTKYNPLLHVTPNIEMNVNLLEQSWKHKAKKFIFISSNTTYPDMGDVPCTEDMEVQTPDIVPWMKRYCETLCDFFQIHDPMQCIIIRPSNAYGPNDKYDFEKCH-----VTPANIRKVADGLNPIPLWGD------GTEVRDVIHVDDMVSGFITVAEKVDT---YDIYNVSYGEGYTVMEVLNKIKEIEDNDNPIEFVNNKAPMIPVRLLDNQKLK-DLGWKPKYDLDSGLRDALSWYKENKDQFN---- 143390853 MNKKKIIVTGSCGFIGFHVCKCLIENNFKVIGIDNLDNYYDVKIKNFKFYKKSILDRS-LNKLVSKIKPNLIINLAAQPGVRYSFKNPQKYIDTNIKGFLNILEIMKDNKINKLIYASSSSVYGNSKKFPSRESMPLKPENLYGLTKIFNEDMAKFYSQKFLISSVGLRFFTVYG--------KLGRPDMFIPKVINNMKKGK--------IIDLYNNGNHHRDFTYVDDISSVINDIANNKSRKSNHEVFNLCYGNTVKIGKVIREVSKNLNIKPNIRNKKFQLGDMYKTYGSNRKISK--------------------------------- 301307893 -KNAKIYVAGHRGMVGSAIVRELHRQGYMNI-------------TTRTHAELDLTRQEAVEKFFAEEKPEYVFLAAAVGGIIANQSALADFMYDNMILEMNVIHAAWKNGCKKLEFLGSSCIYPRLAPQPMPESCLLKTNEAYALAKISGLKYCEFLNRQYGTDFISVMPTNLYGPNDNYHLEHSHVLPALIRRFHEAKEAG-------LDEVTCWGDGSPLREFLYVDDLANLCVFLM---NNYSGNETVNAGTGKELTIKDLTELVAKVVGFTGEIKWDTSRPNGTPRKLLDVSKA-TALGWSYQTELEDGIRLAYDDFLHNP-------- 91782062 ----HVLVTGANGFVGHALCRALLNAGHTVTGLVRRSGQLESDVNEWVDVSVDFADVDAT--WPAALQVDCVVHLAARVHVMESADPDAAFRATNVDGALRVAQAARRHGVRRFVFVSSVKALAEGDSGPVCEDDPPMPQDAYGRSKLAAEQALCSYGEESGLDVVIVRPPLVYGPQVRANFLRLMDAVWKGMPLPLASIDARRSLIYVGNLADALMHCAVDPRAAYQCFHVADAAAPTVAELARSLAGYLQKTSRLLPVPLGLLHIAGRLTGRSAHVDRL------VCSLQLDTTRIRTMLSWQPPYSTDEGLAETARWYR----------- 310827986 -KNKKVFVTGHTGFKGSWLCHILKLAGAEVMGYDLFSLSGLDQDPHIQTVIGDVRNLEKLKKAMTAFQPEIVIHMAAQPIVRDSYKDPVYTYETNVMGTVNILEAVRCCPSVRSFLNVTTDKVYENKEWPWRENEPLDGYDPYSNSKSCSELVTHSYKKSFFNGADQALKTESGGARAGNVIGGGDFANDRIVPDCVCSALK--------GETILVRNPNSTRPYQHVLEPLFAYLLIAQKQYEDSALADYYNVGPDETDCIELVDLFCRQWESQSWENQQVDGPHEANFLKLDCSKIKSRLGWRPRWNVAQAVSKTVEWTIAY--------- 135945983 ----KILVTGGAGFIGSAVVRLALKKGHSVVNVDALTYAACLDNLDYSFEHVDIRDRLALDDVFSKHVPHAVMHLAAESHVDRSIDKPSCFVETNVNGTFNMLEAARNYWTFRFHHISTDEVFGLGPTGKFTETSPYDPRSPYSASKACSDHLVRAWHKTYGLPIVLTNCSNNY--------------------------------------------------------------------------------------------------------------------------------------------------------------- 143548405 ---KKVIVLGGAGFIGSHLCDFLLKKKFKVSVIDNLSTGRLSNLKHIKFIKADISKNGKWQNEFKNAR--YVFHLAALADIVPSIENPQKYFESNVTGTLNILEAVKKFKIKKIIYAASSSCYGVPKKYPTKENQKIDTRYPYALTKYIAEEMIINWSKIYNLKYISLRLFNVYGTRSRT------SGTYGAMFGVFLTQKLNKLPFTVVGS------GKQKRDFTYVTDVTKAFYLSA---VSKIENEIFNVGSGNTISVNKIVSLL------KGPRVKIPKRPGEPDITFASI-------------------------------------- 260807541 --NKVALITGISGQDGSYLAEFLLEKGYEVHGISTFNTGRIVHLYGNPQHYGDMTDSTCLMKVIAEVRPDEVYNLAAQSHVKVSFDLAEYTANVDGVGVLRILDAIRATDTTRFYQASSSEMFGLVQEVPQRETTPFYPRSPYGAAKLYAYWVVVNYREAYDMFACNGILFNHESPRRGETFV------TRKITRGVAKILLGQQNHITLGNLDAKRDWGHAKDYVKAMWLMLQHEKPEDFVIATGTSYSVREFKHIGVDIIWEGTGLDEVGKDRTTGTVRVRRPAEVEFLQGDSTKARKTLGWKPSVSFEDLVAEMVD-------------- 139149414 --------------------------------------------------------------------------------------------------------------------------------MPITEECIKNPTNPYGKSKLAFEEILEDIVTSENFNVASLRYFNPIGAHETGILGESPVVPYNIMPVIADVLMGRKKSLEIFGNDYPTDDGTCVRDYLHVQDLVEGHLAALSYIKENKGISTFNLGTGVGTSVMELIRKFEEITYKKIDIEFSPRRMGDVPVLYTCPNKALKVLNWKTKRSLNDMCEDTWRWLKNNPNGFN---- 135800473 ---KKIIVTGAAGFIGFSLSLRLLENGFEVTGLDNHNNYYDPSLKDSRCYKVDLKDDKSLNKIFKDHRPEIVVNLAAQAGVRYSLENPLEYINSNIVGFAHILENCRIHNVNHLVYASTSSVYGANTKMPFSEHHSNHPLSVYAASKKSNELMAHTYSYLYKLPTTGLRFFTVYGP----------WGRPDMALFKFTKSILEEKPIDVFNNGKHT------RDFTYIDDIVNGIIKTLDNYAATNN-------------------------------------------------------------------------------------- 136749435 ------LLTGGAGFIGSNIVRYLHENKVKLIILDNLSNGYLSNIQQYIFEEGDILDYNLVNELTS--QVDYVIHQAALGSVPRSIDTPLITHNANINGFLNVLECSRLNGVKRFVYASSSSVYGDSKKLPKVESEIGNCLSPYAVSKKVDEEYASVYNKVYGLETVGLRYFNVFGPNQSPN-----GPYAAVLPLFMDAMLNDKAPT-------IHGDGGQTRDFTFVENAVQANIRSCFTENADAFGEAYNVAVAERTTILDLFGIIKNYLGLEMDPNF----------------------------------------------------- 142067332 ----RVLITGGAGFVGSHLVDALLERGDQVTVLDDLSTGRHDNIRDHEFVLGSILNDAVVDDVVR--RADVVMHLAAAVGVELIVGRPLESLATNIRGSEIVLE-KCHKYGRKVLVTSTSEIYGKNDSDLLSEGSPLKTRWSYSEAKAIEEVLAYSYWREKGLPVIIVRLFNTVGPRQVGHY--------GMVVPRFVEQALKGAPITVYGD------GTQRRCFCHVADVVSALIGLVD--SREAEGQVFNVGANEEISIAGLGERVIEQLDSDSSIVKVSYDDADMPRRVPNTARVRGLLGWAPQRDLSDIIDD----------------- 136428270 ----KIMLTGAAGFIGLHTTQKLLARGDEVLGVDSLNTYYDPALKQDRFAHLDLSDATKTQALFEDFKPQRVIHLAAQPGVRYSLSHPQTCLQNNIMAFGNVLEACRQHAVEHLVFASSSSVYGSNTKMPYPSDPVDHPLSLYAASKKANELMAHTYSHVYGLAVTGLRYFTVYGP----------WGRPDMAPWLFTRAILKGEPIKVFNHGEMTRDFTFVDD------------------------------------------------------------------------------------------------------------- 135051434 ----KILITGGLGQIGSHVAELLLNRGDQVLVLDNLATGRREHLPDNPNVEGSVADSQLVQDSFESFRPDGVVHAAAAYKDPD---DWVEDTLTNCVGGVNIIAAAKENQVGRFVYLQTSLCYGLPQESPISLNHPRSPESSYAISKTTNELYL----ELSGIDFVTFRLANVIGPR----------NLAGPLPIFFRRLTNGQKCF----------VSESRRDFVFVMDLARHIVMALD----GVGTGAYHFSSGKDVTIRELYDKVVESLGLPEYPEPDPLNEDDVGSILLDPARTSEDFGFEH-TSLDAVVREAIRYYREY--------- 142695249 LKNKSVLVTGGNGFLGTFVVEELKKLG-----VTNISYPSSK--------ELDLRINENCRK--AVENIDVVFHLAGKGGIQFMRNNPADVFYDNLLMSTQLIHESKNAGIEKFIALGTVCSYPKFATIPFLEENPEETNASYGLSKKMMLVQSQAYKQQFDFNSIVLFPTNLYGPLDDFDPENSHVIPGLISKIHL-AKTSKSDSITLWGDGSPT------RDFLYVKDAARGIVLAGERYD---GSDPINLGSEDEISIKNLVGLVCDLMDYSGEIIWDKSKPNGQPRRCVSNKKAKENFGFEPEISLEKGLKKTIEWYI----------- 291513730 MQ-KRIVVLGGVGFIGSHLCLRLLNDGHEVFCVDIRDTADSPLLRDMPPHEFRYVRHNIVNAF--GIRCDEIYNLAAPSRVRYNKALPVESLKVSILGSINALDTARSEHA-RILYASTGDIYGTGYRDTSVEAADGCPTHRTAEGKRAGEALHRAYQYEFGVDARIARIFNTYGSGADLMDQRVVMKMIVAALQNRDIPIN--------------GSGEQLRTFCWVEDVVDGLVRLMEAPPAE-TTRTANFGSSHEVTIRSLAEKIIALTGSSSHIVHAEARIDDIRRRTPDISATRRELDWAPRTPLIEGLRRTI--------------- 135953939 ---KKVIVTGGLGFIGSNLIELLLNKNYFVINLDKVTYYNTKEFRKSRKYKFIKCDIPKVKKILFKYKPVGFFNLAAETHVDRSIDNPDSFIQSNILGVYNLLENFRTFFNSKLIHISTDEVYGDVLKGRSSENYAYRPSSPYAASKAASDHLISSYVRTYKIPAIVTNCSNNYGP--------KQHPEKLIPKLIYNILNNKPLP--------IYGKGKNSREWIYVKDHCEAL---IKVFKNGKLGNFYNIGSNKNLNNLQVANELLKVVGKNVKINFIKDRPGHDMRYALNSNKINREL------------------------------- 136041572 --NKIALVTGCAGFIGYHFSKLLLTNDWDVIGIDSLNNYYDVSLKKSRFYKVALENIGQINELFKINKFFYIYHFAAQAGVRYSLNNTEQYINSNIKGTVNLLENCKNYKINHLLIASTSSVYGNSSNLPFAETHKTDPISLYAATKKAVS-LSHSYSHLYKVPITIFRFFTVYGP----------WGRPDMALFKFTNSILKNEKIDVFNYGKMERDFTYIDDIIYNIYNLTHHEPRLDNLSQTAPYRIINIGNSQPVKLLDFIKIIEKTLKKKAKLNMLPLQKGDLIKTWSDNKL------------------------------------ 135062866 MQNKRSLVTGGAGFLGSHLCERLLSDGHEVICLDNFFTGSKDHLLDNPHFEVMRHDYVEVDEIYNLACPASPIHYQH---------DPVQTTKTSVHGAINMLGLAKRNKARIFQ-ASTSEVYGDPEVHPQPESYWIGPRSCYDEGKRCAETLFFDYRRQHGMDIKVARIFNTYGPRM--------HPNDGRVVSNFIVQALKGEPITVYGD------GTHTRSFCYVDDLIEGFVRLMRMPRD--FTGPVNLGNPGEFTMFELAQIIIALTGSKSDVIYKSLPIDDPRQR------------------------------------------ 138632187 -KQMKIVVTGGAGFLGSHLVQHLVQKDNEVYSIDNFLTGQEEHIFCNNFTKADITDTYDMKQVFEQISIDVIYNLACPASPDHYQKHSLKTLDTCYMGVKNILEAAKQSNAMVI-HTSTSEVYGNPDHTPQEETNSFGPRACYDEGKRVAEALIFEYTRLFKTDIKIARIFNTYGPRMS-------VQDGRVISNFICNALQNKD-------LLLYGDGNQSRSFCYVSDTIHGLLQMMNTTRLK---LPINIGNPSEYTVKEIAELVISMTSSTSGIMSVSPKKDDPLQRCPDINR------------------------------------ 221632914 ---RRALITGATGFAGRYLADRLAATGHEVIGLSARPSPPASSLKQHLV--CDLMNGELVRRTLAHWHPEVIFHLAAVSYVPRSFQDPYGTIANNVLGQVNLLEAVRSLEPPPLVVIVSSSDAYGEHELPVTESQPFRPLSPYGVSKATQDLLGLQYHLTYGLPIVRVRPFTHIGPGQSERFALSGFA-----RQIAEAELGMAPPVLLVGELDV------ERDLLDVRDVVRAYELLAEPRFS---GEVFNLASGVSWSLRALVERLLSLARIPLRLERDPARPIDVRVLRGDATALRTATGWQPTIPIEQTLEDMLEYWRR---------- 139092531 --------------------------------FDRYNSNNDHGWLNNSPYKGDIRDCDSVNKASKGC--SGVMHLAALIGIPYSYFSPIAYVKTNIEGTYNLLEASKNNKIDNIIITSTSEVYGTPKKMPINENNQINSQSPYAASKVAADQLALSYFRSFNLPVKIIRPFNTYGPRQSN---------RAIIPTIISQCLSPKNKNLYLGNLLPT------RDLNYVDDICNAFFEIYKTKKTIGEVINV--GSNYNISVKNLAKKIQKIMKLNYNITIKKKRPAEVFHLRCNNNKIKKLTNWKQKIKLDNGLKKTIEWFKENY-------- 45934791 -AGRTVLVTGALGFIGSHFVRQLEARGAEVLALYRTERPQLQALDRVRLIRTELRDESDVRGAFKYLAPDTVVHCAAMDGNAQFKLERAEILDSNQRTISHLLNCVRDFGVGEAVVMSSSELYCAPPTAAAHEDDMRYTDNGYVLSKTYGEILARLHREQFGTNVFLVRPGNVYGPG------DGYDPSRGRVIPSMLAKADAGEEIEIWGD------GSQTRSFIHVTDLVRASLRLLET----GKYPEMNVAGAEQVSILELARMVMAVLGRPERIRLDPGRPVGAPSRLLDLTRMSEVIDFEPQ-PLRTGLEETARWFRHHTR------- 308275093 -KNSSIYIAGHTGLVGSAILRKLHKEGFSNLILRN-------------HAELDIKRQSDVELVFKKEHPDYVFLAAAVGGIYANSTYPAEFIYDNLSIQNNIIHSAWKNHVKRLLFLGSSCIYPRDCPQPMKEEYLLTTNEPYAIAKIAGIKMCQSYNRQYNTNYLAAMPTNLYGPEDNFDLENSHVLPALIRKYHIKNWDKIQKDEELFGPIPDWGTGKPYREFLHVDDLADAVVFLMDLDDNTNKVPLINIGSGKEITIKDLSVLIKEIVGFKGEIVFDSSKPDGTPRKLLDVSRLKA-LGWLPKISLYEGIQNTYDMY------------ 302186462 ----RILLTGASGFVGKALHKRLLEENHEVFG--TVRSSSDSLMPDQQYSLLDICNRDEVDEVVQRVRPTHLVHLAAISSVANSFKDPLLTWNTNIIGTLNLMESLKKNAPGCFVFVSSSEVYGEKTGELLTEDAVCHPMNPYAASKLAAEIAFKQYLRQ-GQRGIIVRPFNHIGPGQS-----VDFVTASFARQIALIEAGLQRPVLRVGNLEA------SRDFLDVNDVCDAYVKILGQDQSTFAHTVYNISSGSTRKIQTVLNELLAQTLHPIEIQTDPERPSDIPVAAGSNARIHDELGWSPATLFSQTLASVLNYWRE---------- 163733227 MSKKVALITGVTGQDGAYLAELLLNKGYVVHGIDHLYVDRHDQDAQMFLHFGDMTDATSLIRVINNTRPTEIYNLAAQSHVHVSFETPEYTADSDALGTIRLLEALRMEKSVRMYQASTSELYGNTKIRPQSETTPFEPRSPYAAAKLYAFWIIRNYRQAYGIHASNGILFNHESP------VRGETFVTRKITRAVVAIEMGQQESILLGNLNASRDWGHARDYVEGMWRMLQQEQPDDYVLATGISHTVREFVETAFAQVDRKIIWEGNGKNEIRVDPEYFRPTDVDFLLGDASKAKECLGWEPTTSFEQMVAEMV--------------- 142098072 MTMKTALITGINGMDGSHLADLLLNKGYEVWGIERRNTHHLERKNNFHFFTADLTDQNSLYRALKLSQPNEVYNLAAQSFVGSSWNIPVQTSDVNGIGVLRLLEAIREYEKDRFYQASTSEMFGNMVENPANENTPFYPRSPYGVSKLYAHWMVKNYRESHNMFAC------------SGILFNHESERRGIEFVTRKITDGVARIALGLEDSISLGNLEAKRDWGYAPDYVEGMWLMLQ----QDEPDDYVLATGECHSIKDLLSIAFDEVGIDDWEKYIKQRPAEVDVLRGDSQKAKEELGWESKTSFESLVRTMVR-------------- 297625069 ----RALVTGAGGFVGPYLARHLRELGYEVWG----TALREVASSDFQSVPLDVRDAARVRAVVRELQPDEIYHLAGVT--RPASEGAAAFYAVNLGGTLNVLEAARE--VRAAVLVVGSAYVYGAQRGPLSERAPLQPVNHYGASKASAELAALPY-ALSGMRVVRARPFNHVGPGQSPDFLLP-----TLVQQLARIEAGRAEPVIKLGNLDAVRDFTDVRDIVRAYPKLLH---------EGDNGGVYNLASGRGVSVRELAELVLERAEVTVRLETEAARASDIPELVGDATRAREAVGWAPSIPLEATLEAMLAFERE---------- 140978665 -------VTGGAGFIGSHLVDALLAENIKVRVVDNYSTGRPENLVHVELVEADIATTGSWQKSFK--DADWVFHLGALADIVPSIQRPDDYFQANVVGTFNVLEAAKHANVKRFVYAASSSCYGIPDSYPTSELAEIRPQYPYALTKRLGEELVMQWAQVYQLPAIALRLFNVYGPRSRT---------SGTYGAVFGVFLAQKLANKPF---TVVGDGTQTRDFTYVTDVAN---AFLTAAKSSHRGEIYNVGSGQTISVNRLVEL------------------------------------------------------------------ 260454852 --GARIFVAGHRGLVGSALVRRLTADGHEVI-----TRGRDE---------LDLREGAPTEAFLRETRPDAVVLAAAVGGIMANSTSPVQFLEDNLRIQLAVVAGAHAAGVPRLLLLGSSCIYPKHAPQPIPETGPLEPTNEAYAAKIAGIVQVQSYRRQYGASYISAMPTNLYGPGDNFDLETSHVLPALIRRFHEAKRDGAD-------EVTLWGSGSPRREFLHVDDLAAACVRLLKVYD---DAEPVNVGCGEDLAIRELAETVADVTEYQGRIVWDTTKPDGTPRKLLDVSRLSS-LGFKPQIPLRDGIARTYAWWL----------- 135513000 ------------------------DSGFDKNIEDVKNSLKGDTWKNFRILQGDIADPGLCSEATKGVDF--VLHHAAIGSVPRSFAEPSLTIKVNIEGFTRLFEAAGKNGVRKFVYASSCAVYGDNPELPLGEDSATRPLSPYASSKLMNEQLAAQLSETLNLPAVGLRYFNIYGKRQDP-----QGGYAAVIPKWIELTLLGQ-PVTIFGDGRHT------RDFCYIDDLVRANVTAAFGPARPPQKAIFNIAGGDTISLKELLTEIQQAAKKSCPEVFKDERQGDIVHSQADISHARAHLQFQPEVPLSTGLRSTLDWYAQRITSTNRIHE 32471994 ---RTVLITGASGFLGSLITSRFASEGWKVLAFGRYGPRAKPEAAEYEMTACNLPD-ELLEDAVRRERPDVCVHCAGNANPRLSMTDPLHDYENGPQLTAWLLDAFRRHNNTRFVFLSSAAVYGNPKTLPISEKSVVEPLSPYGFNKFHCESLLSSYRNIYGLSTSSVRIFSAYGPGLR--------------RQVIWDLLTKVVSRKVI---EVSGTGDESRDFVYGEDAAQAIYRIATLQLEPAP--VYNLASGQETSIKTALELICETTGRNPEIKFDGRNPGMPLNWRADIDLISK-TGWRAETSLRAGITKIYEFAKN---------- 139391119 LKKQKVLVTGSAGFIGFHVCRKLIADGWRVVGIDCLSDYYDVNLKKRRQVNKRIEEQDVLNEIFQMEQPDVVIHLAAQAGVRYSIENPRSYLESNLVGTFELLEAARTTNPQHMLLASTSSAYGANVDMPYNENHKADHMSFYAATKKASENIAHSYSHIYGMPITMFRFFTVYGP----------WGRPDMALFKFTKAILEDEPIQVFNFGDMKRDFTYIDDLV----------------------------------------------------------------------------------------------------------- 143405717 ---KNILVTGGCGFIGHHFVEHLINNDWNIIVIDKLSYGFKRLEESIFQEEIDLTQEISIGLQKELENLNIIVHMAAETHVDNSITDPELFVKNNVLSTLHILELSRKNLECMFYFSTDEVYGPALNDKLFKEDERHNPTNPYSASKSAAEQLCVAYHNTFQLPIIRINVMNAFG------------EKQHVEKFIPLCIKKLLNNEKIYIHSYPDKKQSGTRFYIHARNIAAGVLFLLQK---GKIGESYNLTGEKEISNLEMAQMIAKCMNKPLDYEFHSSRPGHDLRYGLDGNKMY-EMGWTLPVNFEESLNRTVKWTLDNVEWLEE--- 137765555 -------VTGGAGFIGSHLIRSLLNQGHTVTVIDNFNTGRIDNIKKIDFVNGDIRDFELLKSKFR--DVDGVFHEAALASVQESFSKEIEYREVNVGGTENILKLAKEFG-FKIVYASSSSVYGNPIKIPIVEGDPKNPINPYAQTKLDDERIATRY-ANDGVRVIGLRYFNVFGERQSQAYAG--------------VIKKFLKKASNGEAPVINGDGSQTRDFVYVDDVVQANILAMKSNVNH---------------------------------------------------------------------------------------- 134616714 -------------------------------AFDRYNSENHWGWLENSKHKGDVRDFDSVSNSMQNV--DCIFHLAALIGIPYSYNSPLAYIKTNIEGTYNVLQSAREKSVENVLITSTSETYGTAQKIPMDEDHPKVGQSPYSATKIAADQLAISFHKSFDLPIKIVRPFNTYGPRQSS-----------------RAIIPNITSQLIIGNEVNLGNIEPTRDFTYVEDTCSGFLRIMESAKLIGEEVNI--GMNEEISIKELVSKIAGILGKEYKIVGQDERKSEVERLNCDNSKIMAATEWQPKFDLDSGLEETIKWLKDN--------- 116329230 ---KKALITGITGQDGSYLTEFLLQKGYQVHGIVRFNRARIEHLRGNSNHYGDLTDSSNLNRILEKVSPDEIYNLAAQSHVGVSFEVPEYTAEADAVGTLRILDAIKQIGVKRFYQASTSELYGKVQAIPQTETTPFYPRSPYAVAKLYAYWAVVNYREAFEIHASNGILFNHESPRRG------------EGFVTRKITIGVANLLAKKGGPIQLGNMDAKRDWGYAPDYVEMMWMMLQ----QPEADDYVVATNETHTVREFVEKSFGFVGIQVRWEGKGDRPTEVDILIGDPSKAKKKLGWEPKVKFEELVRIMIK-------------- 138825132 ---KNILITGGCGFIGSHTCLSLLEQDFNLIVFDNINSKSKEFDDRLSFINGDIRDFSVLENVFNKKPIDAVIHFAGLKSVEESVKDPLLYWDNNLSGSITLLKVMQKFDCKNIVFSSSATVYGV-TSQLITENAEIKPFNTYGRTKFAIETILRELYESNKWRIANLRYFNPIGAHETGLIGENPSNPNNLFPYICKVANRNLEKLNIFGNDWNTLDGTCIRDYIHVMDLAE---------------------------------------------------------------------------------------------------- 85813533 ----RIIVTGAAGYVGQAVLARLLHDGHELTAV-----GHRRQVGWPPGVHLDLTDASAARAAVDGA--EAVCHLAGLTRVRGSGAAVGPYYRANVVATLNVLDALVARRPPRVVFLSSGAVYGPTGHAPVPESHPTAPTSVYGATKLAAEQAVGWYAATGAVSAVSLRLFNAAGSVRPGVGPD----DSTLVARALAVASGRSPALPVNGDG------TTVRDFVHVADVADAVARAVATPARR--PARVFNLGAVPASVREVVAAVEQVTGRRVPVEHGPPNPADQPWLAADTTAARRDLGWTPERSLERMIEDQWR-------------- 91781095 MSHKVALITGVTGQDGSYLAELLLSKGYEVHGIKRFNTERIDHLYQEPHHHGDLTDSTSLVRIIQRAQPDEIYNLGAQSHVAVSFEEPEYTANVDGVGALRILEAIRIEKKTRFYQASTSELYGLVQEIPQKETTPFYPRSPYAVAKLYAYWITVNYREAYGIYACNGILFNHESP------VRGETFVTRKITRAISRIATGLQECLYLGNMSALRDWGHARDYVEMQWLMLQQEQPEDFVIATGVQYSVRQFVEQGIHLRDEVGIVERVVGGDTKVVVDPRRPAEVETLLGDPTRAHEKLGWKPATSFESLVTEMVR-------------- 143721348 LKIMKILVTGVAGFIGYNLSKLLLEKKYKVFGIDNFDNYYSKKNKNFRFNKIDIINSSKIKKFFKNKKIDVIVHLAAQAGVRYSLINPEKYIDVNIFGFLNIIEQARINNVKNFFYASSSSVYGDSKKFPLKENQILKPKNIYGLSKKLNEQTAKLYSKCYNM--------NCTGLRFFTIYGEWGRPDMFMFKLFKSSSNNNLFYLNNYGNH--------KRDFTYINDATFAIYKLIKK--NQKYHNIYNICSNKPIKISNIIKHFKKNNNAK--IQLVKMHKADVKDTHGSNRKIKKIINFRVS-NFYNCFDQTLKWYKRN--------- 140986761 ---QTILVTGGLGFIGSNLIKILIKKKYKVINIDKVSYSSNFYNKYYKFIRCNLEDKTKLNNIIFKYKPSGIFNLAAETHVDRSIDDPSPFIKSNIVGLFNLLEVFRKYKKIKLIHISTDEVYGDVLKGRSKEADPYRPSSPYAASKASSDHLVSSYIRTYKIPAIITNCSNNYGPR--------QHPEKLIPKMIY--NILNNKPLPIYGRGI------NSREWIYVDD----HCHALEKIFTKGKGNFYNIGSNINVSNISLTRKLLKIIKSKYKIRFVSDRPGHDLRYAINSNKLKKKLNWQTKINLNEG-------------------- 135886580 ----RILVTGVAGFIGMHVAVRLLADGYEVIGLDNINDYYDVRLKQACFHKLDLADRDGIKALFSEKRPQIVINLAAQAGVRYSLENPYSFIDSNLVGFTNILEACRHFKVEHLVYASSSSVYGLNKEMPFHEGNTDHPLAIYGATKKANELLAHSYSHLFNMPTTGLRFFTVYGP----------WGRPDMALFKFAKAILAGQPIDVYNHGQMTRDFTYIDDIVE---------------------------------------------------------------------------------------------------------- 153868868 ---KKVLITGASGFIGRHCLPLLLAHNYEIHAV--YSTTIGETLDGVYWHQANLLDQKQITALINRIQPSHLLHFAWYAIPGKYWTSADNFRWV--QASLTLLQAFADKGGKRVVMAGTGAEYDWNYGYCSEQVTPLSPSSIYGICKRSLQAMLEAYSEQFALSSAWGRIFFPYGP-----------------YEHPVKLVSSVIRALLQGKPAACTSGEQIRDFLHVYDIADAFIALLESEV----SGPVNIASGCPVAVKTIILKIAEQLNQRDLVQLGALPLHEPPLIVADITRLTQELKWQPKYNLDDGLEQTINYWKQ---------- 120434920 -KDSKIYVAGHRGMVGSALVRKLEAEGFTNLVL-------------RTSKELNLTDQQGVEDFFQNEKPEYVFLAAAKVGGIENNTYRAQFLYENLMIQNNVIHQSYVHRVKKLLFLASSCIYPKLAPQPIKEEGKLEPTNPYAIAKIAGVKMCENYNRQYGCNFISVMPTNLYGPNDNYDLKESHVLPALLRKFHEAKINLERTGHSEPVELWGSG--KPRREFLHVDDLAEACYHLMLTFE---GNISVNVGTGKDISIKELAELIKEITNFEGKLVWNTDKPDGTPRKLLDVSLIEN-LGWKYSIKLQEGISKVYR-------------- 138048212 IKDSKVLVTGGAGFIGSNLCEALLNQENEVICLDDFSTGHRHNIEPFLCIEGDIRNYEDCRKAVRGVDF--VLHQAAIGSVPRSIEDPMTSTDVNIGGFVKMLFAAKEEGVKRFVYAASSSTYGDHYELPKVEHKIGKALSPYGITKYVDELYARNFSELYGIETIGLRYFNVFGRRQDP--------------------------------------------------------------------------------------------------------------------------------------------------------- 143304490 ----RVLVTGAAGFIGAAVSGRLLDEGREVVGVDDLNDYYAPRLKRWRFVEGDVADQGSVARAFDEARPGVVVHLAAQAGIRRALHDPYTYGRTNLMGFLHVAEASARGGVAHLLYASTSSVYGLNRDLPYREDPAQHPVSLYSATKVANEAIAHSYAAVHGLASTGMRFFTVYGP----------WGRPDMAPIRFTRAILAGEEIELYNGGDHSRDFTYVDDIVDAVVDAAFDPRRPRPTTSSVPWRVLNVGGESPVALRDFVASLERALGREARV------------------------------------------------------- 137527892 ----KILVTGGAGFIGSAVIRHIIKKDYSVINVDKLTYAGNLDTLRYNFEHADICDLNAIESILNKYRPTHVMHLAAESHVDRSIEDPSIFIKTNIVGTFNLLEESLKYYVFKFHHISTDEVFGDLENELFHEKSSYSPSSPYSASKASSDHLVRSWGRTYGLPFVITNCSNNYGP--------YHFPEKLIPKIILNAIHGKPIP--------IYGDGNQIRDWLYVEDHAAALLRVL---INGNPGETYMIGGNNEKTNIDVVKLICTILD------------------------------------------------------------ 135341302 ----KILVTGGLGFIGSALIRSLLDENNNVLNIDKCSYASKENLKNYTFKKIDIVNAEEINSAINEFKPEKIFHLAAESHVDRSISGPGDFLNTNILGTFNILESIRENITFILVHVSTDEVYGSLDDALFSESSSYCPNSPYSASKASSDLLVRAWNKTYGIKVVITNCSNNYGP--------WQNPEKLIPKTIFNAI--NKIPIPIYGKGI------NIRDWLHVTDHINALIKVSDANQSYSK---YNIGGNQEMTNIDLVNIICTHLDKKIPISYVDDRPGHDLRYA----------------------------------------- 91069861 ------LVSGGAGLIGSHIIDDLLGKKNKVICIDNFSTGNKKNIIKWLKDEQDITKPVDLE-------VNRIWHFACPASPTKYKIDPINTSRTSFIGTYNMLELAKKNNA-RILIASSSEIYGNPKVHPQPESNPISKRSCYVEGKRFCESLALDYLRIHKTDIRIARIFNTYGPRMAQKDGRVISNFISQAICGKPI--------------YIYGSGDQTRSFCYVDDLI----QGLKKIMNSNYNLPINLGSQEELSILNLAKLIKKKINDKVDIVYMKELEDDPIRRQPDTDLAVKLLKWKAKTLIEDGLELTIKNFLNN--------- 139013256 MNNSKILITGSVGFIGYHLCLKLLQEGKRIIGVDNHNNYYDPKLKEARLERVDICNKNDLDEVFNNHKPLKVINLAAQAGVRYSMQNPIAYINSNIVGFAHILDNCHKHEIEHLIYASTSSVYGANTLMPFSEHDSVHPLSVYAASKKSNELMAHAYSYLYQMPTTGLRFFTVYGP----------WGRPDMALFKFTKNILEEKPIDVFNYGNHIRDFTYIDDIIEATRNKNWNSNQPDPATSKAPWSIYNIGNNKPIKQVDYIDALEKALDKKAII------------------------------------------------------- 143915092 ----NILITGCFGFIGFNFLKEIYKDDFNISGIDLLDNSYSELIKPNKNYKYYAENIVNIDQVFESTNFDLIINFAAESHVDTSIYNPNVFIDSNILGVNNLLKFCLKNKIKNFIQISTDEVYGSTKEYCFEEIDILKPSSPYSASKASADMLCNAYAKTYGLNIKTIRPANNYGP---------FQQPEKLIPFSISNIIEN-------NQVEIYGDGTNVRHWLYVKDTVSGILKVIEKGR---DGEIYNIGSGTYFNNNEIAKKLLSKFDTEKSIKYVEDRPGHDFKYAVNFDKLTS-LGWKPKYEFETALDET---------------- 289522374 MQLKRAFITGIGGFIGSHLAKKMSEVGYEVYGSYFRPTSDVEMVKPYKTYNIDVRYKPHLKEALEEVDPDVVYHMAAQSYPMESFKAPSYTMETNVLGTLNLFELELGLKSTRIMLASSTAAYGDPSETPVKENQPFRPAHVYGVSKATQDLLGFTYFSSYGLDIIRLRIGNCVGPGRKGEVV------SDFTYRRAAIELGMTEPVFRVGNLHTKRAFLDVRDAVDCFLSLEKHGRSGEAYNVSGE---------KPCSIKELLDIVLEGCPVKVKIVVDKNREKDEPIYWNDLSKVKDEAGFVQRFSLRQTVEDMVRWWREN--------- 141563292 ----TILITGVAGFIGFHTANKLLKKNYKIIGIDNLNSYYDKNLKINRFYRVDICNKKKIESLFKKYKIKKVLHFAAQAGIRFSLKKPEQYIQSNLLGFFNVLNLCKKYKVAHFVYASSSSVYGGNKKLPFKESDANHPIQLYAATKRSNELMAHSYSALYNLPTTGLRFFTVYGP--------WGRPDMALYKFVKNIFAGKEIEIYNNGKH--------TRDFTYIDDVID---------------------------------------------------------------------------------------------------- 134395807 LKRMKILITGGAGFIGSHVVKRFLKDTYDVFNLDKLTYAGNLNNLNYTFVHGDITDAKFIQNLFEQHKFKHVIHLAAESHVDRSISDPFVFAKTNILGTLNLLHSFKATHGGLFYHVSTDEVYGLGETGLFTESSPYQPNSPYAASKASSDHFVRAYAETYKLPVLISNCSNNYGPN--------QFPEKFIPVCIEAIVNNKNIP--------IYGDGNYTRDWLYVEDHAH---------------------------------------------------------------------------------------------------- 136826591 -KNKVALITGITGQDGSYLAELLLSKNYEVHGLRRFNTGRIDHLLKDVHEEGDMTDSSSLIQVINKTQPDEIYNLAAQSHVAVSFEEPEYTANSDALGTLRILEAIRFERKTKFYQASTSELYGLVQEMPQKETTPFYPRSPYAAAKLYAYWITINYREAYNIYACNGILFNHESTRRGEIFV------TRKITRGLAKIKLGKQKCLYLGNLDAKRDWGHAKDYVEAMWLMLQQDKPVDLVIATGKQYSVRDFIEVNISIKWKGSGLDEVLDEKGNIIIAIDRPSEVETLLGDYSKAKKLLGWNPKISFEELVTEMIR-------------- 226941715 ----RILITGGAGCLGSNLIEHWLPLSHQIFVIDNFATGKREVVPEVEVKEGSIADEALVNECFSQFKPDVVIHAAAAYKDPD---DWIEDSRTNVIGSAYVARAAKAIGVKRLINFQTALCYGRPKQLPIFANHPTAPFTSYGITKTAGEQFML----LSGVPTLTLRIANVTGPRL----------AIGPIPTFYKRLKAGQNCF----------CSDTSRDFLDMSDFLSFMDMAIAVDAPTG---VFNLASGEAHSIKEIFDIVTDYLGLDKDVPVVPPAADDVPVVSLDASETTRAFGWKASIGFSETIRRQLAWYDKH--------- 135663675 ----KILITGVAGFIGYSLASNFLENKHTIFGIDNFDDYYSVKIKKKRFNKIDITNFRKIKNFFSKKKIDIIIHLAAQAGVRYSIINPNKYLEVNINGFLNLVRAIENKQIKKFIYASSSSVYGDSKKFPLKENNILRPKNIYGLSKKINEQIADHYDKIYKTKFIGLRFFTIYG--------EWGRPDMFMLKLFKSSIEGKIFYLNNFGKHL--------RDFTYIGDVINIIGKLLNKNIKKHDVYNIC--SNKPLNIYDIVKNFRE--GNSLKLKLIKLNKADVLNTHGDNNKIKKFVNYKKFANFKESFKKTFKWY------------ 135638818 ----NILVTGCAGFIGFHSSLKILKNNCKIYGIDNLNDYYDINLKKERLKILDLKNLIFLENLFKKNKFDYVLHFAAQAGVRFSKNNTKKYFNSNIISFYNILELSKKYKIKHFIFSSSSSVYNNISEKPSKENDIENPTSFYAATKLSNETFAYSYSNMYGLPCTGLRFFSVYGP--------WGRPDMSLFIFLKAIFNKEKVILNNKG--------NNQRDYTYIDDVIEIIFKLLKKPSKQNIPYNI---FNIGAQKKIKIKYLINLLEIKTNKKLIKPSIGDVKNTLSNSSKINKYIKIRKFTNLDQGLNLFIDWFKDYYK------- 219852777 --DEKIFITGATGFVGSNLVRRSLQEGADVINIRNTSDTWRDILNDVSVIHADLGEYGKLDESLKKIRPNIIFHTA-VYGGNAAQKDTKKIIESNISGTINLLRCCNPAHCDLFVNTGSSSEYGI-KNIPMKESDILEPVTDYGVSKAAATLFCQKTAFTEDLPVVTLRLFSPYGP---------YEQKSRLVPSIILAALQKQTPH--------IASRDFVRDFIFIDDVIAAYESAL--TLKHPHGQIYNVGSGMQNTVGAVTDAILRLLGEDLTCAPGLPQKHEPDFWQADIQRAASELNWRPKFALDQGLERTINWFKEN--------- 118579849 -RDARIYVAGHRGMVGSAIVRQLRASGYENLIL-------------RTSKELDLRDQQTTARFLAEERPEYVFLTAAVGGIVANSSYPAEFIHNNLLIQENIIHNSWKNGVKRLLFLGSTCIYPRLAPQPLKEEGPLEPTNDAYAAKIAGIYQCRSYNRQYGTNYLAIMPNNLYGPG-DNFDLETSHVLPALIRKFHEAKESEASTVTVWGSGSPLREFLQVDDLAEACFLMNLDDDRFDTLLNDPAPALINVGSGEELSIRDLALLVKEITGFDGELLFDRDKPDGTPRKLADLSRIHT-LGWRHRTGLKEGIAAAYQWFLEN--------- 34766456 --SKRALITGITGQDGSYLAEHLLDQGYQVWGLCRRKDRIAKLIPELSFVDGDLMDQGSLVSAVDLVQPDEVYNLGAISFVPMSWQQPELVTEVNGTGVLRMLEAVRPRGQIRFYQASSSEMYGKVAESPQRETTSFHPRSPYGVAKAFGHYITQNYRESYGM----------YG--VSGILFNHESPRRGAEFVTRKISLAVAQIKLGQMDKLHLGNLDAERDWGFAGDYVRAMHLMLQ----QEQAGDYVVGTGAMHQVRDAARIAFEHVGLDWQEHVVVDRPAEVETLCADSGNARRELGWEPEVDFEQLMRMMVE-------------- 142383475 MKKNKILVTGSSGFIGFHVCYKLLKHRYKILGLDNHNNYYDPKLKQKRLNLLKKFKNFKFHNILKKYRPTIIIHLAAQAGVRYSFLKPQKYIDANITGFTNILEGMKEYKLNNFIYASSSSVYGDCKIFPFKENLSLNPLNFYGQTKLMNEKIANLYEKNFNIKTIGLRFFTIYGP----------FGRPDMFIPKVLNKMKKNKSINLYNN------GNHFRDFTYVEDVSDIILKIIQNLNKKGISNVFNVCNSKKLNIRNVIKKIQNLTRKKIRCINYPFQIGDMKQTHGSNILLKKTYNIKKFKSFSYGIMKTIK-------------- 309792226 ----KIIITGGAGFIGSHLVDRLVDRAGELIVIDSMLRGRPANLVQHRDVNADIRDAEAMRSL--CAGADVIYHLAAQSNVMGAVSDLSYSFSTNVAGTVNILEAARLNGVRRVVFTSSREVYGEVDQLPVREEAPFNAKNAYGASKAAGELYARVFLNTYSVETAVVRLANVYGSR-----------DYDRVIPLWLSAAAQGEPMIVYGGQ-------QVIDFVYVDQVVEAL---IRASTAAIIGQPINIGSGQGTPLLQLAERVLALPGAKTRLDLHPARSVEVARFTADISRMRSLLGLEP--------------------------- 157841505 --SKRALITGITGQDGSYLAEHLLSQGYQVWGLIRRKTRVSRLASELEFVDGDLMDQASLVSAVDTVQPDEVYNLGAISFVPMSWQQAELVTEVNGTGVLRVLEAIRMNGQIRFYQASSSEMFGKAAETPQRETTLFHPRSPYGAAKAYGHYITRNYRESFGMYAVSGMLFNHESPRRGQEFV------------TRKISLAVARIKLGLQRDLALGNLDAVRDWGYAGDYVRAMHLMLQ----QGEPDDYVIGTGQMHSVRDAVQIAFEHVGLDWRDKVVIDRPAEVEVLCADSLRARRLLDWTPEVDFPELMRMMVDSDLEH---VARENE 139015991 ------LVTGCAGFIGFHMCELLLKKKHKVIGIDNLNSYYSSNNKNFKFYKFDLNQKKKIHQLFLKNNFSNVIHFAAQPGVIYSYKNPKSYYKNNVNVTDILTEQIKKNKIKHFIFSSSSSVYGDHKRYPINENFKFKPKNYYAKTKITCEKLINKKLKNTVTSVKIIRPFTVYGP--------YGRPDMLILKLLSLIRRNKTIKIYDYG--------NQMRDFTFIKDVVDIIFLLSKKIDH--NLRVFNICGSKPIKINDIVLLVQDILNKKIKLKYEHRRKGEMKITYGSNKKLIKYIKFKKFTTIKHGL------------------- 135354587 ---KKILVTGAGGFIGGHLVKELQNRKYNVRAVDI--KPLTEWYQCFNNAENFVMDMSTKENCFKMEGVDEVINMACNMGGMGFIENNKALCMLSVLVNTHMLMACKEFKVKKYFFSSSACAYNKDLQNDVSESDAYNPEDGYGWEKLFSERMCRHFLEDYGLDVKVARYHNIFGPYGTYDGGREKAPAALCRKIINSILNQKD-------TIDVWGDGKQTRSFLYIDDCIEATLNLFD----SEHHGPINIGSEEKVSINEMIGKIEKISNKKVKRKYQLDKPKGVRGRNSDNTLIRSQLKWEPKFSLYQGLEKTYDW------------- 141824650 ---KKILITGATGFIGSHLTELMVERGFNVVAFDRYNSNNDWGWLENSKYKGDIRDYDSISKAMIGCN--TVFHLAALIGIPYSYISPLAYLRTNAEGTYNVLEASKNQNIEQILVTSTSETYGTAQYTPIDENHPLVGQSPYSASKIAADHIAISYHRSFDSPVKIVRPFNTYGPRQS---------------------------------------------------------------------------------------------------------------------------------------------------------- 135199122 -----------------------------------------------------------------------------LKAVGESIEKPLDYFDNNIGGTITLLRAMKDAACNHLIFSSSANVYGNINPTPNTEDMPTGDVNTYGRTKHMIELMLHDYAASNDFSAVILRYFNPIGAHESGLIGEDPQPPSNIFPLIAKVAKGEMDFITIFGDDYDTPDGTGMRDFIHVVDLADGHIEALKQLSNKAGIHTYNLGTGKGSTVMELVNAYKIASGKNIPHKIAPRREGDIAVSCANVSKIKSELGWNAKRTLNDACVDSWRW------------- 144151710 ----KVFVAGGSGLVGSAVVRELERRG-------------VMQIAAPSSTELNLLERGQVFSYLRHTTPDLVIDAAAVGGIHANNSYPAQFLSENLQIQVNLMDAAEDAGINRLVFLGSSCIYPKFAPQPMHESSELEPTNSAYAAKIAGIQQVQAHRKQYSRQWISAMPTNIYGPGDNFHPEDSHVVPALLRRVHEAKERGDK-------EVVIWGSGSPLREFLHSDDLARAIIFLAEHYDAHD---IINIGTGEEVSIKELAEAVAETVGFEGTLTFDSSKPDGTPRKLLDTSRL-TALGWQPQTSLREGLATTYKWFLSH--------- 140017925 ----NILVTGGAGYIGSSVSNLLIDQGYNVTIFDNLITGHEELIPEAKFEKLDISDTSNIEKIFKNKKFSAVFHFAGLIRVGESIEFPAKYMDNNFEKSKIFLNTCFDNDIKKVIFSSTAAVYGNAKKNKVVETDKINPLNPYAESKIKLENFLIDQSIKRQINYVILRYFNVAGA------------------------------------------------------------------------------------------------------------------------------------------------------------- 138337254 ----RYLVTGAAGFIGANVAQALLHAGHEVVGIDSLNDYYDVDLKQYRFVQGDLADDRVVHSLFAQHEFDYVIHLEAQAGVRYSLENPSAYIQSNIVGFQHLIDACRAKPPAHFVFASSSSVYGNSDREWFSESDPTDPVSLYAATKKSNEMIAHTYAHLHGLPATGLRFFTVYGP----------AGRPDMAYFGFTKAIVEGTPIQVFNDGQLERDFTYIDDIV----------------------------------------------------------------------------------------------------------- 260061258 ------------------MCDRFIAEGYHVIAMDNLITGDLKNIEHFRHEHFEYYHHDVTKFVHVPDRLDYILHFASPASPIDYLKIPIQTLKVGALGTHNLLGLAKEKKA-RILIASTSEVYGDPLVHPQTEENTIGPRGVYDEAKRFQESITMAYHRFHGLETRIVRIFNTYGPRM-------RLNDGRVIPAFMGQALRGED-------LTVFGDGSQTRSFCYVDDQVEGIYRLLL----SDYALPVNIGNPHEITIRDFAEEIIKLTGTDQKIVFKPLPKDDPMQRQPDITKAREILGWEPQVGREEGMKKTFDYFR----------- 118581970 ---RKVLVTGAGGFIGHHLVKDLVRRGHEVIAVDR-KLPEFEKSAASRFVLQDLRETTAWESLFLGVDDVYAL-AADMGGMGFISRNHADIMRDNTRIDINTLEAARKAKVGRLLYTSSACVYPEHLQEPLAETMAYKPQDGYGWEKLYAEQLCHYYRLEHGVDTRIVRFHNIYGP-LGAWQGGREKAPAALCRKVAEACLKGRDSIQIWGDGRQTRSFCFIDDCI----------QGLARILESGYTEPLNLGRDEMVSINELARLIFEVAGAELRIEHIEGPQG-VRGRNSDNKRLAEVTGFTPSISLRQGIAATYGW------------- 138982096 ----KIIVTGGAGFIGSHLVERLLKDNHKVIVLDNLSNGNLNNIKHFKFKKCDLSKKGNWTNSFK--DVDIIFHLAALADIVPSITNPDKYFQSNVTSTLNILMAMKKYNIKKIIYSASSSCYGIPKKYPTLESSKVDPKYPYALTKWLAEEMIIHWSNIYNFEYISLRLFNVYGPRSRT------SGTYGAVFGVFMAQKINKLPFTIVGDGSQTRDFTFVSDVVNAM-------------------------------------------------------------------------------------------------------- 138171161 -----------------------------------------------------------------NFKPEVVIHLAAQAGVRYSIKNPSVYVSTNLTGFNNVIECCRNFLIKNFIYASSSSVYGGNKKIPFSEKDPDHPISLYAATKRSNELVAHTYSHLYGLPSTGLRFFTVYGP----------WGRPDMAPMIFTKNIISKKPIKIFNYGKMFRDFTYVDDVTEAILKLINKPATSDKISEDAPHKIFNLGNSNSVSIMQFIEIIEDELDIKAVKEFTDIKPGDVEITAADTEKLNSWIGYKPSTSIKKGIREFVKWYK----------- 136594940 ------------------------------------------------FVEGDITNKLMVEEIVKEVN--YVVNFAAESHVDRSISDSSSFIHTNIVGTHTLLEAIKKQKGIRYLQVSTDEVYGSIPSGNWTEDSPLLPNSPYSASKASADLLVRAFNVTYGVDTIVTRCSNNFGSHQYP----------EKLIPLSVIKLIRGKKIQIYGNGL------NIRDWLHVKDHCEGIFLALTRGKS---GTVYNFGADSEQTNLQIADVILEQMGLDRSIEFVADRLGHDLRYSVSFEKARSEIGFNPQISFEDGIRQTIDWYIQNEAWWKD--- 260424903 --------------------------------MDDLSAGRLENIPDDANFVCCDVKSPLARDVVKNGHFDAIVHLAAQTMVPASMEDPKKDMEDNIEGTVNILEAARKYGVKRIIFSSSAAVYGDPECLPVRETEPLRPVSFYGLSKMTCENYIRLYQKAYGLSYVIFRFANVYGERQGN-------GGEGGVVSIFARQLAEGKSPTVYGNGQQT------RDFIYAGDIANGIRKAL---FSEAANITCNLSTGREVTVKDAADRLLRLSGLSKPICHAAAREGDIFRSALCSQMAGKYLGWAPETDWQKGLERTYFYFEKEYRRERN--- 143094313 -------VTGGAGFIGSHLIDRLVARGDEVLVLDNLSSGKAEFLAHHTHIDVDITDFDAMSPHFEGV--DTVYHLAANPDIRLGTKITDTDLKQGTIATYNVVESMRIHNVPTIMFASSSVVYGENAPMPTPETHGPCPISLYGASKTAGESLVSSWVGTFGLQGYIFRFANIIGDRGTHGVIFDFIHKLKRNP----------------NELEVLGDGRQEKSYMEVGDCVDAILHVMET--ENAPLNLFNLGSHDTCSVRRIAQIVVEETGAAILYTGGSRWAGDIPRAMLGIDKMLA-TGFNVKYNSEDAVRHTAR-------------- 307818068 ---KKALITGVTGQDGAYLAEFLLRKGYEVHGVKRFNTARIDHLYEDPHHHGDLTDSSSLLHIVEKVRPDEIYNLAAQSHVQVSFEEPEYTANSDAIGVLRLLEAIRTVEKTRFYQASTSELYGLVRETPQTEKTPFYPRSPYGVAKMYGYWITVNYRESYGIHASNGILFNHESP-----IRGETFVTRKITRALARIKLG-TEKRLFLGNLDAKRDWGHARDYVEMQWLMLQQDTPDDYVIATGVQYSVREFVERSAALLGIHLTWKDETGTDQNVSVDPRRPAEVETLLGDATKAREKLGWTPQTSFDDLVREMVE-------------- 116283261 ---KRVLVTGGAGFIASHMIVSLVEDNYMIINLDKLDYCASLKNLEYKFIQGDICDSHFVKLLFETEKIDIVLHFAAQTHVDLSFVRAFEFTYVNVYGTHVLVSAAHEARVEKFIYVSTDEVYGGSLDKEFDESSPKQPTNPYASSKAAAECFVQSYWEQYKFPVVITRSSNVYGPH--------QYPEKVIPKFISLLQHNRK--------CCIHGSGLQTRNFLYATDVVEAFLTVLKK---GKPGEIYNIGTNFEMSVKELIQLIKEESEMENWVDYVNDRPTNDMRY------------------------------------------ 124267916 --SKKALITGITGQDGAYLAELLLEKGYEVHGLKRFNTDRIDHLYQDPHVDGDLTDSTNLIRIVQQVQPDEIYNLAAMSHVAVSFDTPEYTANADGIGTLRLLEAIRIEKKTRFYQASTSELYGLVQEIPQKESTPFYPRSPYAVAKLYGYWITVNYREAYGLYACNGVLFNHESP-----IRGETFVTRKITRAIARIALGLQE-CLYLGNLSALRDWGHARDYVQMQWLMLQQEQPEDFVIATG--VQYSVRQFVERAAAELGVTIRFEGEGEREIGIVARRPTEVETLLGDPTKAKNKLGWTPTTTFEELVREMIE-------------- 193069311 --NKVALITGITGQDGSYLAELLLEKGYEVHGIKRFNTERVDHIYQDSHHYGDLTDTSNLTRILKEVQPDEVYNLGAMSHVAVSFESPEYTADVDAIGTLRLLEAIRIEKKTKFYQASTSELYGLVQEIPQKETTPFYPRSPYAVAKLYAYWITVNYRESYGMFACNGILFNHESPRRG------------ETFVTRKITRGIANIAQGLEKCLYLGNMDSLRDWGHAKDYVKMQWMMLQ----QETPEDFVIATGIQYSVREFVTMAAEQVGIEVIISVDPRRPAEVETLLGDPTNAHKKLGWSPEITLREMVKEMV--------------- 148259523 ---RRILVTGAGGFVGRHLMPEL---------------GRRFPWAELVSFRADITDPAATETSVRDVRPDACVHLAGIAAIPEAREHPRRAFAVNLDGTLNLARALLAHPGCQLIHAGSADCYGASSGQALDESAPLAPLNTYAASKAAADLTLGAMAAESGLRVLRFRPFNHVGPGQSEAFALASFAAQL-------RRIARGDAAPVL----HVGNLDAERDFLHVNDVVRAYALALGRSDDLPNGTIFNIASGIPRRMRDMVEAMIVSLGIHVSVEIDPLRPSDIPRAVGDASRAENLLGWHPDHGIEEIVRS----------------- 143123475 ----RALVTGGAGFIGSHLIDRLIERGDEVVVIDNLSSGQSSFIQGHVMIKGDICHPDDVVKAMTM-DIDCVFHLAANPDIRLGTRITDTDLKQGTVATYNILEAMRTCGVKNIAFASSSVVYGEDAPLPTPETHPCMPISLYGASKQAGEGLISSWVGTFGLQAWIFRFANIIGARGTHGVIFDFIHKLKNDPT----------------RLEVLGNGLQEKSYMEVGDCVNGILHVMEQSTKP--LNLYNLGSHDTASVRRIAEIVVEVTGCHNAVIDGGDRAGDIPRARLGIEKMLQS-GFDVTMNSEEAIRHT---------------- 138223710 LTNRKIVITGGAGFIGSNLCNHFLSHDNQVVCLDNFATGHRHNIEKFLGHEGDIRDLDTCRKTVEGADF--VLHQAALGSVPRSINDPITSNDVNVSGFLNMLVAARDAKVKRFVYAASSSTYGDSKELPKVEEVIGNPLSPYAITKYVNELYATIFSNTYGLETIGLRYFNVFGRNQDPN-------------------------------------------------------------------------------------------------------------------------------------------------------- 136131263 ----TIVVAGHSGLVGSAIFELLQNQGAHVVGV--------------NSKVVNLLNRDATFQFIADTKPDLIIDAAAVVGIGSNNSYPVDFLSKNLQIQNNLMDAAHAANVERFVFLGSSCIYPRECQQPIKEEYLLTTNSAYAIAKIAGIELIKSYRKQFGRRWISLMPTNMYGPRDNFDLETSHV-----LPALINRFVSARKSGSAHVTLWGTG--SPKREFLHCKDFATAVLLAAEKYDSD---IHLNAGVGEELSIMELATCVSRIAGFEGDILWDSSKPDGTPRKVLDVTRLKS-LGWSPTITLDQGIRETIEWFESNYN------- 141935623 ---------------------------------------------------IDIESTAETNRLFQSNRFDAVLNLAARAGVRYSITNPHIYFSTNVTGSLNILEAMRASGTKKYVLASTSS-LYAGQSMPFVESLPVNPISPYAASKKAAEALAYSYHHLFNIDCTILRYFTAYGP--------EGRPDMSYFKFIQSIDNGEK--------LELYGDGSQARDFTYVDDIA---LGTISAIRPLGFEIINLGGGDRPVTILEMIKKLEVLLGRPALIKKKPFHAADLKATSADISKARDLLRWKPATTLDQGLATTVEWYKKHQPWSSSKHE 138371615 ----KVLLTGADGFIGSHLAERLVAEGYDVRALVWLDSIDPQTLEKIEIISGDIRDPFFCNDLVKGCN--KVLHLAALIAIPFSYTAPQNYIDTNISGTCNLMHSALNNGIEKFVQTSTSEVYGTAQYVPIDETHPLSGQSPYAASKIGSDQLALSFYNSFDLPLTIIRPFNTYGPRQSARAVIPSIIIQLAK-----------------GNEISIGGLTPTRDFNFVSDITGGFIAGMESKNALGE--VVNLGSNYEISIQDTAMLIAELMSKKLKIKSSAERISEVERLFSCNKKAKTLLEWGPRT------------------------- 280962157 ----KVVVTGGAGFLGSHLTRELLVAGCDVVVVDDLSTGAVSNLSGLPIKLMVGSVTNRALLEEAAAGADSIVHLAARPSVERSLLDPMASHDVNVTGTLTVLDVAQRAEAHVI-VTSSAAVYGDAGPLPRREDGPVAPRSPYAASKLAAEAYALGFQASFGLPVLVARLFTVFGP-----FQSVGHAYTAVIPAFIDAALAGR-PLTVHGDGRQT------RDVGYVEPIAAMLADATTRRLAH--PRPVNLAFGARVELLTLVAALEDILGYQLPVAFGPGRAGDVRDQQADPTTARTLF---PQADLATALDATVAWY------------ 14794892 ---KRALITGITGQDGSYLAEHLLSQGYQVWGLIRGQANPRKFASELSFVDGDLMDQGSLVSAVDKVQPDEVYNLGAISFVPMSWQQAELVTEVNGMGVLRVLEAIRMVSQIRFYQASSSEMFGKVAETPQRETTLFHPRSPYGAAKAYGHFITRNYRESFGMYAVSGMLFNHESPRRGQEFV------------TRKISLAVARIKLGLQDKLALGNMDAVRDWGYAGDYVRAMHLMLQ----QDAPDDYVIGTGEMHTVRDAVRFAFEHVGLDWYVVVDPDRPAEVEVLCADSSKAQAQLGWKPSVDFQELMRMMVD-------------- 55296457 ---KVALVTGITGQDGSYLTELLLGKGYEVHGLSNFNTQRLDHIYHDPHSQADLSDSSSLRRALDHILPDEVYNLAAQSHVAVSFEVPDYTADVTATGALRLLEAVRLASKRIRYYQAGSSEMFGSTPPPQSESSPFHPRSPYAAAKVAAHWYTVNYREAYGLFACNGVLFNHESPRRGENFV------------TRKITRAVGRIKVGLQTKVFLGNLSAARDWGFAGDYVEAMWRMLQQDKPGDYVVATEESHTVEEFLQAAFGYAGLNWKDHVVIDKKYFRPAEVDSLQGDATKARKELGWKPKVGFQQLVEMMVD-------------- 136212742 IKKPKILVIGGTGFIGHHIVRKAIKKNWIVESVSLRKPSQQKKIPNVKYITLDLKNCSETES-YEWPEYDYIINLGGYIKHDLFKNGGIDLIEQHFYSLLNLIKSLPRKKIKRFIQIGSSDEYGDNLS-PQTESQRENPISPYSLGKVSSTHFLQMLYKTEKFPAVILRLFLTYGPGQSTDRFIPQVILGCLNSKSFPVSEGK-----------------QLRNFCYIDDTVDAIFAALVKKGISGE--IFNVGSKEPVQIKNIIFQIRKIIGKGDNIKY---REGENMSLYPNIYKIKNILNWEPKIKLDVGLKKTINWYKKNYKNL----- 256378856 -AGRTVLVTGGLGFLGSHFTTELLASGAHVLCLDRFGRAELPRTSALRTARVDLHDERALAAAVDAHTPDAVVHCAALDGNAEFKKNSARILDTNLRFTSNVLNCARERRVRDVVLVSSAEVYRTDDSAPVAEEHDYRSRDGYYLSKVFGEVLAELYRDQFGMNLFLARPTNVYGPR-----DGFHAPSGRVVPSMMACLARGQD-------IEVWGDGRQTRSFVHAADVAKATLQ----MVAANRHRTFNIGTGESVSIADLAHAVAEALDVPGRVRFLFDRPTGPSARQLDVSRMAGVVDFTPR-SLAVGLRETADWFRA--RGFA---- 142901215 ----KILVTGGAGFIGSHLVEELLSNEHEILIFDNCLTGKKENLEITGNFTFINDDFENSLEVIEKFDPDICFHLAAQSSVVVSVQYPALDFEHNILQPIKLIQVLLKSNCKKFVFTSSGGTFGEPSVIPTAEDDYDEPESPYGVAKKRLNELIKIMLKNSSMTYSILNLSNVYGPRQD------PHGEAGVVSIFANKYLKNEEPT-------IYGDGEQTRDYIYVKDVVS----ALIKASKIEENHFLNIGTGIETSVNDLANSMKIQFKSEINPIYKPAREGELNRSVLNNTKAKQ--------------------------------- 143964690 --KKNILVTGCAGFIGSAISKKLIDNQYNVFGIDNLSTGKKKNIPKNLKFVIGSCNDQKTLNKFKNISFFSIIHLAGRVGSEISSYNPLMDCENNILATVKLLELAKKTRCSHFIYASSMSVYGDLAAKSVSENHNCNPKSYYGISKLASENYIK-ISQNKKLKSTILRLFTVYGPGQNLDNPMQGMISIYLHQIMKKKKLIIKGSDKRV------------RDFIYIDDLTNFFMKIIGNKKFFNQTLNV--GTGKKHTIKEVIKKLRIITKINFPVIFKKSTPLDQFYIFPNIKKLKKFINVKSFKSLDEGLNRLV-FYLK---------- 254475104 -QGKSVLVTGHTGFKGAWLALMLARQGARLRGLSLFAAANLQELIPGDI--CDLRDRSALIDNYAAYCPDVVFHLAAQPLVRQSYLDPVETWDSNLTGTLNLLELLRVMNRRRRDGAAMTVVVATTDKVYFTEDDQLGGHDPYSASKAATELLVASHRASF----LERENIRIVTARAGNVIGGGDWADDRIVPDIVRAIAA--------GQSLHLRFPQSVRPWQHVLDPLAGYMQLAEALAQAEDSLNFGPDAQAEKSVAELVKAAGSAYGADLDVICDGPEAAHPGRLCLSSTLAQQRLGWQPRWAFEDSVAETMHWYAAVPREVSEEQ- 144080720 ----KILVTGATGYIGSHLCKLLTEKQHAVTGWDIGLYGEANDVFNFTEKLYDI----DVTNFDSKHKFDAVVHLAGRVAVDESVRIPYEYYKTNIEGTANCLD---NIETDHFLFAGTAASWDLA--------------SPYALSKVAAEDIIKQKAKGYTI----FRFFNVSGT---NTIHKQLGNGTHLIRMVAKVAAGKKDTLQIFGNDWNTKDGTCVRDFIHVVDLANAIITAIEKGPTNSPYECL--GTQNGYTVLEVIKEMEKVTGKKIPIEFIGRREGDIESSI----------------------------------------- 136597618 --KKKYLVTGGTGFIGSSIVRYLVGRGMDVTVIDNNQRGSLNRLRDIKFFKIDIRNRSKVIKVSKNV--DTIIHLAYVNGTEFFYSKPELVLDIAVKGAINILDAAIENNVKNFFLASSSEVYQKPKIIPTPEEIPLNPRFSYGGGKIISELLCQHYGKKYFKKMIIFRPHNIYGSDMGN-------QHVIPQLIKKIRNSKKNKEIVIQGSGKETRAFTHIFDFIQAFDLIIRKGKHLN---------VYNIGTSEEISINKLVNLIMSKTKTKSKVIKSPIRKGSTTRRLPKIEKIKK-LGFKQKIKILKGLDDMINFY------------ 295132421 -KDSKIYLAGHRGMVGAAIWRVLKKKGY-------------SNLIGRTSKELDLRNQKAVFDFIKSEKPDVVIDAAARVGGILANNNYFQFLMENMQIQNNLIDAAHKLDVKKFIFLGSSCIYPKLAPQPLKEEDSLEPTNEWYAAKITGVKACQAIRKQFGKDFVSLMPTNLYGTH-DNFDLETSHVLPAMIRKFHEAKENNNSAVTLWGSG------TPMREFLFVDDMAASVVFALENELPE---YLYNVGTGIDITIKELAETIQKKVGHTGEIVWDSSKPDGTPRKLMDITKMH-DLGWKHQVELEEGIEKTYQWFLTNNDSFKQ--- 298372934 MEHSKIYVAGHRGLVGSAIVRTLQSKGFDNI-------------LMRSSKELDLRNQQAVEDFFATQKPEYVFLSAAVGGIVANNTSPAEFIYDNMMIAFNIVNAAYRHNVRKLLFLGSSCIYPKMCPQPIKETGALEPTNEAYAAKISGLELCKFYRRQYGCDFISAMPTNLYGIN-DNFDLNTSHVLPALIRKFHEAKESKAEKVTLWGTGKP------MREFLYVDDLADALVFLM---MNYSDEIHINIGTGEDCSIDELARTIKAIVGFDGAIEYDTSKPDGTPRKLLDVGRLHK-LGWHHSTPLNDGIAAVYRWYL----------- 140908322 -KRKIVLITGGAGFIGSHTVDYFLSKNYEVRSIDNLSGGNTEHLKKNKLFKLEICDILNLNKLKNFIKCEYIIHFAGVGDIVPSIENPKKYFDNNVQGTVNLLNNINTPKIKKFVYAASSSCYGKA-GTPTSETHKINPLYPYALSKYFGEEVCFHWNKVYKLPVNSIRIFNAYGTRSRT------TGAYGAVFGVFLRQILAKKPLTVVGN------GKQRRDFLYVTD------------------------------------------------------------------------------------------------------- 135453678 ----HIFITGIAGFLGSNLSDYYLNKKYKVSGCDNLVGGDLANIKRVNFYKGDCENLEFMTKITKGV--DVICHTAAYAHEGLSSFSPTLICNNNVTGSASVFTAAIRNKIKRIVFCSSMARYGDIKS-PFYEESKINPVDPYGVSKVAAENILKILCSTHDIEYNIAVPHNIIGP------KQKYDDPYRNVVSIMVNLMLQKRQPIIYGDGEQTRCFSDIDDCVYCLDKLLFDKNIKSQIVNIGPDEEF-------ITINKLYEKISNLMKFNLDPIYVEDRPNEVKHAYCSSDKARKILNYKTNVSLDTSLKKIIDFIKTNPKNFE---- 144196466 ---KTALITGITGQDGSYLAELLLEKGYVVHGIKRFNTTRIDHLYQDPHEYGDLTDSTNLIRIIQQVQPDEIYNLGAQSHVAVSFEAPEYTANSDALGTLRILEAVRMTLKTRIYQASTSELYGLVQEVPQKESTPFYPRSPYGVAKLYAYWITVNYREAYGMYACNGILFNHESPRRGETFV------TRKITRGLARIDAGLEQCLFMGNLDSLRDWGHARDYVEMQWRMLQQEQPDDFVIATGRQESVRRWGGIEWQGEGLQETGLRADTGDVVVRIDPRRPAEVETLLGDPTRAKEKLGWTPTTTLEELVAEMVA-------------- 138431117 -------------------------------------------------YKSNLEDKLKINNIFSEHKPNVVINLAAQAGVRYSLENPLSYINSNIVGFLNILEACRNQKVDHLIYASSSSVYGGNKKMPFSETDNDHPVSIYAATKKSNELMAHSYSHLFEIPTTGLRFFTVYGP----------WGRPDMALFLFTKAIIENEPIRIFNNGEMIRDFTYIDDIVESIFRLINNSNNFDANKSWAPYNIFNIGNSNPTPLMSFITELEQSLGIKAKKKFLPMQPGDVPSTESDTKSLQEWINFKPNTSVKDGILKFVLWYKDFY-------- 136476614 ------LITGHTGFKGAWLIMLLKQRGYTVSGVDSLFNKVEASKLLNFDLRCDLGNLGKLEESFKEVKPDVVIHLAAQSLVRESYRDPLPTMQTNVMGTLNLLRASQAIGGVKAQLIVTTVYENVGKSTGYLETDPLGGKDPYSASKAMADIATQSWLASFENP-------PTAIARAGNVIGGGDICADRLIPDLVRSYCS--------GQIPQLRAPDSVRPWQHVLDCLNGYLLLVDKILETKVSGAWNFGPSQSKSVADVAEIAGDVWGVATKWKQDSPHPHEASQLLLNSDKARRELGWSDKLNLEESIRWTIDWYKNVYDGLD---- 167848531 ---RRVLVTGHTGFKGSWLSLWLQSLGAQVHGLALEPPSRPSLFETARVAQGDIRDYDVVRRTIADCRPEVVIHLAAQPLVRASYADPLSTYGTNVMGTANLLEALRRAGCARAVVNVTTDKCYENRDWLWRENDALGGHDPYSSSKACSELVSSAYRRSF----FAQGATALASARAGNVIGGGDWAAERLVPDVLAAFAS--------GRPAVIRHPHAVRPWQHVLDSLSGYLRLAERLHADGQAWAEAWNFGQARTVEWVVSRMARIWGGDARWHPDSDHPHEAAQLKLDSSKAKARLGWRPRWSLARALECTIAWHRAW--------- 148265214 ----NVLVTGGAGFIGTNLTRKLLTENCHVTILDNFSEQIHGTSKILPPDIGDVRDPEVVARALQGQ--DVLVHLAAETGTGQSMYEVVRYEDVNTAVIFDYLVNNKNHSIHKIVVASSRAVYGEGKYSPTDEEARFSPSSFYGITKQIQEQMTLLFAESMGLSAYALRYQNVYGPGQSL------KNPYTGILAIFSNLARAGQPIYIFEDGQE------SRDFVYIDDVVEATWRSISH-TTPNTIAALNVGSGEKTTVLEVVEKIVSYFGSSSKINVGAFRDGDIRHNLADITRIREKLGYEPRTLFAEGINKFLAW------------- 257481989 ----RILLTGANGFVGKALHKRLLEENHEVFG--TVRSSSDSLMSDQQYSLLDVCNRDEVDEVVQRVKPTHLVHLAAISSVANSFKDPLLTWNTNIIGTLNLMESLKKNTPDCFVFVSSSEVYGEKTGELLTEEAVCHPMNPYAASKLAAEIAVKQYLRQ-GQRGVIVRPFNHIGPGQSVNFVTTSFARQIALIEAGLQPLVLR-----------VGNLEASRDFLDVNDVCDAYVKILGQSQSTFAHAVYNISSGSTRKIQTVLDELLAQTSHPIEIQTDQERPSDIPVAAGSNARIHTDLGWSPATPFSQTLASVLNYWRE---------- 158335344 -QDRSVLITGCTGLLGSWMTQELVARGARVVGLVRDWVPQSRLFTEGLSDKITIEDLGVLERAINEYEVDTVFHLAAQTIVGVANREPLGTFEANIKGTWNVLEACRRVGVSRIVVASSDKAYGDQEILPYDEQTPLQGEHPYDVSKSCADLLCRTYYVTYNLPVCITRCGNFYGGGDLNFNRIVPDTIRSTLRDKPIVIRSDG---------------TYIRDYFYVKDGVLAYLHLAEQMDRPEIGEAFNFSNELQISVLELVHKILKLMGKPHEPTILNQAQNEIKHQYLSAEKARRLLDWKSPYDLDAALLETIQWYTAFLESTS---- 90579217 ---KKAFITGITGQDGSYLAELLLEKGYEVHGLIRRASSFNTERVDAVCHYGDLTDSSNLIRLVKEIQPDEIYNLGAMSHVAVSFESPEYAADVDAMGTIRLLEAIRINEKTRFYQASTSELYGEVQEIPQRETTPFHPRSPYAVAKMYAYWITVNYRESYGMYACNGILFNHESPRRGETFV------TRKITRTVANISQGLESCLYLGNMDALRDWGHAKDYVRMQWMMLQQEVADDFVIATGKQISVREFTGKGVDEIATVTAVDSVGDVIVRVSPKFFRPAEVETLLGDPSKAKEKLGWTPEITVEEMCAEMVA-------------- 135474137 --KKKILVTGGAGLIGLEVCKQLSKLGHDVNLFDQIKRVEHAIDYDCKIFYGSIVDISSLRNAMSGCDI--VIHLAALLGVKRSESDKLRCLEINVDGTKNVLDCAVQHNIEKVVFASSSEIYGEPLNNPINEGNISQGKTIYAVTKLVGEEMCKAYAQKYPLNFTILRYFNCYGPYQTAQFVISKFIKRVMDNKSPVIN----------------GDGKQVRSYTFVYDTAKATVLSALTEKSNGEILNIGNG-NQPISLIDLATNIIKWSGKEGKISPKTDREREIYERYCDSSKAKKLLNWDVSYSLEEGVKTIIK-------------- 134680229 MNFKSILITGGAGFIGSHVVRRMVNKNSQIVNLDALTYADCERASNYQFVKEDINHFEGLRMLFTKYDFDAVIHLAAESHVDNSIKNPFAFAQTNIQGTLNLLEAARQHWNQRFYHISTDEVFGLGTEGYFTERTSYDPRSPYSASKASSDHLVRAYFHTYGLPVVLSNCSNNYGPGQHS--------------------------------------------------------------------------------------------------------------------------------------------------------- 143099968 ----KILVTGAAGFLGSHLCDTLLDKGHEVVGVDNFFRGKVSNLPNHEFKELDLVYQDPIKKFMEEQQFEIVVHYAAINGTRYFYDIPFKVCNNNILMTQNVLNAC-TPSVTKVVYASSSEIYGPTPSIPTKEDDPLADRDSYASSKGMGEFLTRLWANEKRKSFVILRPFNTYGPRMATNGYGQVIPEFI-------------ERVQSGEEFYLYGDGNQTRSFCYVTDHAEMASQIIEKV----DNEIINIGFDEEVRIKDLAKTIHRIMGKKYKKKYKEAWGNDTKWRRPSLSKLKSCVSHKNFVYLEDGIKKMLENY------------ 141154587 ---KKLLVTGAAGFIGFSICKKLLQHGIEVHGLDNLNQYYDPRLLGMPFSKIDLIDADKVRSLFNSYKPDIVINLAAQAGVRYSLENPHAYINSNILGFLNILESCRNFSIEHLIYASSSSVYGLNEVFPFSENNVDHPVSLYAASKKSNEALAHSYSHIFKLPCTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 140917868 --KKTILITGGAGFIGSHVVRKLVNKQYHIVNLDKLTYAGNLNNLHDIFIQLDICNEHGIKELFNQFSFDGVIHLAAESHVDRSISNPKEFILTNVVGTLNLLNECKLKWVNCFYHISTDEVYGLGEQGFFEETTSYDPRSPYSASKASSDHLVRAYGHTYNLPIKISNCSNNYGS----------FQFPEKLIPLIINNIKNKKPLPIYGK------GENVRDWLWVEDHAN---------------------------------------------------------------------------------------------------- 136851947 ----NVLVTGGCGFIASNFLNIMKKRNIQFVNIDKLDYSNIENVEPGTFVKGNVGDAALIEHLIRVYSFDAVFHFAAQSHVDNSFENALSFTMDNTHATHVLIEACRQFIPKVFIHFSTDEVYGEKTDVPFTENEVLRPTNPYSASKAAAEMIVRSYIESFGMNIKVIRCNNVYGP----------KQYPEKLIPKFKTLLKRGEKCTIHGSKSAI----VKRAFMHVEDVVDAVDIVWERGV---PGEIYNIASDDELTVMEVIKTILETTDYDEWITYVDDRPFNDQRYYICANKLKA-LGWSQKKTREDLV------------------- 119433782 -------VTGAGGFIGAHLVRFLAQQGCHVRGVDLR---HPEFAPSAADEFVTADLRDPAAAADAVAGADVVFALAAMGGIGWTHAAPAEILRDNLLISTNTVEACRAAGVGKVVYTSSACVYPNPDDPPLREDFPAEPDMEYGWEKLTTEILCATYRRTYRMDIKVARLHAIYGP--------WGSYEGLRAKSLSMLCGKVARIPDQAGEIEVWGDGTQTRSYCYVDDCVEGLWRLSKSTVDTP----VNLGSEERVTIAELVDRIAVVAGKTVTSRYLTDKPVGPRGRSSDNTLCRELLGWAPETSLDEGLRRTYAWIEQH--------- 141432329 -----ILVTGCAGFIGFHLCKKLIEDGSKIIGIDNINNYYDTNLKKKRLEKIDIIEKNLLSQIFEKYKPSVVINLAAQAGVRYSLENPEAYINSNIHGFFNILECCKLTKVKNLLYASSSSVYGGNTKIPYSENDSVHPVSLYAATKRTNELMAHSYSHLYGIPCTGIRLFTVYGP----------LGRPDMAPMIFADAIFNKKTLKIFNYGNMSRSFTYIDDVI----------------------------------------------------------------------------------------------------------- 149915970 ---QKIYVAGHRGMVGGAITRHLEARQL---------NGADIELITRTHDQLDLTDQAAVRAFMQEVRPEIVILAAAVGGIYANNTYPAEFIYDNLMIEANVIHEAYRAGVGRLLQLGSSCIYPRDAAQPMAEDTPLEPTNPYAVAKIAGIKLCESYNRQYGVDCRSVMPTNLYGPG-----DNFHPENSHVLPALMGRFHEAKEQSLDHVTIWGSG--TPRREFLHVDDMAAASLFVLDLEPDRSMLSHINVGCGEDISILELAELIADVVGFRGEIRLDRSKPDGTPRKLMDVTRL-SAMGWTAQIPLRAGVEETYAWFLRHYDRL----- 218440329 -ERKRALITGITGQDGSYLSELLLEKGYEVHGIIRFNTDRIDHLYVDPHHYGDLTDGTTLRRILEQVQPIEIYNLGAQSHVRVSFDSPEYTVDSVGMGTLRILEAIRDYQHRRFYQAGSSEMFGKVQEIPQKETTPFYPRSPYACAKVYAYWQTLNYRESYEIFACNGILFNHESPRRGETFVTRKITRAIARIVAEQQKKLY------------LGNLDAQRDWGYAKDYVQAMWLMLQ----QDEPDDYVVATGETHSVKEFLEIAFKVVNLDWYVEFDQRRPAEVDLLIGDPTKAKKKLGWQPSVTFEQLVHLMVE-------------- 301101235 ------------------------------------------------FIHGNITGADLVGYILKTERIDTIMHFAAQSHVDNSFGNSIDFSKTNILGTHVLLEAARLYGIKRFIHVSTDEVYGEPDSARMTEDHVLEPTNPYAATKAGAEFLVKSFHRSFGLPTIITRSNNVYGPHQYP----------EKLVPKIINQILRDRPVTIHGDGMHTRNYLYISDVVAAFDLILHEGKVGEVYNIGGENELSNRLVAMDLLAMMKPQLVGA--DKAILITHVQDRPFNDHRYVIDSAKIRR-LGWNEKVTWREGLRKTVKWFCRYGHRFDN--- 254282819 ---KRAVVCGAGGFIGHHLVNRLKSEGFWVLGVD-LKYPEFSHSVADSFIVGDLRDPSLYDKLLSSE-IDHVYQLAADMGGAGYLFNDADVMHNSALINLNVLQACVQHGVQRVFYSSSACVYPDPDNPNCKEATVYEPDSEYGWEKLFAERLYESFSRNYGLETRVARFHNIYGP------EGTWCGGKEKAPAALCRKIAAAMN---GGAIEIWGDGRQTRSFLYVAECVEAVRRLMESDCREP----VNVGSDRMISINELAATVMRISGKTLKINRIDGPQG-VRGRNSDNTLIEARLGWRPGTDLEAGLRSTYAWQLTNPE------- 256830326 --NKVALITGITGQDGAYLAEFLLEKGYEVHGIKRFNTDRIDHLYQDPHEYGDLSDATNLIRIIQQVQPDEIYNLAAQSHVQVSFDTPEYTANVDGLGTLRILEAIRIEKKTRFYQASTSELFGLVQEVPQTEKTPFYPRSPYACAKLFAYWIAVNYREAYGMYACNGILFN------------HESPLRGETFVTRKITRALARIHLGLQDCLHLGNLNALRDWGHAKDYVEMQWLMLQ----QDSPDDYVIATGEQHSVRDFVQAAAKELGMKTVVAVDPRRPTEVETLLGDPAKGKKQLGWTPKVRFEELVAEMVRFDLE---------- 140754857 -SSKAVLVTGGAGYVGSHTCKLLAKNGYLPVTVDRHF--REGLVSFGPNYNLKLQEVNRLDEIIKRYNITSCIHFAGSTSVPESVANPSLYYKNNLIVTVSLLDKLIECDVKTFVYSSSAATYGDPGLNKCKESDVCKPINSYGATKLMMEQVCKDYKTAYGLSSVGLRYFNAAGADPEGEVGELREHETHIVPLAIDAS-RHNRTFKIFGDKYNTEDGTCVRD------------------------------------------------------------------------------------------------------------- 136509687 ----------------------------QVTVLDDLRSGYARNLIACRFVRGSILDDASLREAMAGA--EEVYHLAAMISVPESVAKPAECAELNTEGTRRVLAAAVAAGARKVVLASSAAIYGDNPTVPKLESMSPEPKSPYAETKLAGEHLLESYRQAHGLGTTSLRFFNVFGPRQDPRSAYAAAVPIFIAKALRDEPIG------------IHGDGGQTRDFVHVTDIVGALAYAGASADMAG---TYNVGYGRSQSILELAQEILRLTGSKSAIEHLPPRAGDVRHSLASTERLLSA-GWKPKSSVQSGLAETVEYFRQ---------- 136924541 ----------------------------------NLKKARLEILKGMPFSKIDLADADRIKILFDSYKPTIVINLAAQAGVRYSLENPHAYINSNIIGFLNILENCRNFSIEHLIYASSSSVYGLNKVFPFSENNVDHPVSLYAASKKSNEAMAHSYSHIFHLPCTGLRFFTVYGP----------WGRPDMALYIFTKKILAGEPIDVFGFGKMRRDFTYIDDIVEGIFSLNWSGENPNPSNSSAPWEVFNIGNNKPTELEYFISLIEKNLNKKAIKNYLEMQPGDVEETSADISKLNQITDFVPSTSIEDGI------------------- 269837153 ----RALITGGSGFVGRHLAAHLRAETDWEIVA--LGARRAPVLPGVTGAACDLSDRAAVDAVLRRYPPDIVFHLAARTSVPEAFVEPGATLINNAIAQINLFESIHAGLDPVILVAGSSEVYGPPEAMPLDERQPFLPANPYAVSKVVQDMLAVQYGLSHQMRIVRVRPFNHIGPGQS-----ERFVVASFARQIAAAEQGLIEPVVRVGNLDA------QRDFLDVRDVVRAYRLVAQPELA---GEVFNVASGVPRSIRQVLDRLLALARRPIEVRPDPARPSDAPVVYGSSAKLQQSTGWEPEIPFEQSLIDTLDW------------- 142750137 ---------------------------------------------------LDLADAEATAEAVRLADPDLVLHLAAESHVDRSIDGPGDFIASNVTGTFHLLQAVRSHWDFRLHHISTDEVFGLGATGRFSETTPYDPRSPYSASKAASDHLVNAWHHTYGLPVVLTNCSNNYGP--------WQFPEKLIPVVILKAIAGEPIPL--------YGDGANVRDWLFVEDHVDAL---LLAATQGELGSSYCVGGHGERSNLQVVEAICALLDHARLITRVSDRPGHDRRYAIDPGRITQALGWQPRHDFAEGLVATVDWYLQ---------- 56202561 ----KVLVTGGAGYIGSHTVLELLEAGYLPVVIDNFHNAFRESLRRVQFEEMDILDQGALQRLFKKYSFMAVIHFAGLKAVGESVQKPLDYYRVNLTGTIQLLEIMKAHGVKNLVFSSSATVYGNPQYLPLDEAHPTGGTNPYGKSKFFIEEMIRDLCQADKWNAVLLRYFNPTGAHASG--------------------------------------------------------------------------------------------------------------------------------------------------------- 169602927 ----------------------LLVHHYNVVSFDKLNTRILDDKANFSFENGDITSPADVKRVLRKHKIDTIFHFAAQSHVDLSFGNSYEFTNTNVYGTHVLLERAREHGVNRFIHISTDEVYGDVPVGDLGETSILAPTNPYSASKAAAEMMVSAYRSSFKLPLITIRANNVYGPH--------QFPEKIIPKFIMLLQRQQKLLLHGDG--------SPTRRYLYAGDIVD----ALDTIFHKGVGQIYNIASKDEISNTEICHQLLDIFGLEHWVQHTEDRPFNDQRYATDGSKL-AALGWEPKTSFDEGLKKTVDWYRRF--------- 116329444 ----KCLITGAAGFVGGYLLKELKESYTDFLGIGIQPPGDPELPQSYRFVVCDIRNIDQVHSVVHEFSPNTVFHLAAQPFVPRAVEDPGETLEINVQGTLNLLESLRSKERVRFIYISSSDVYGNIPESPVQESVIPAPLNPYSSSKFCAEIYCLQYHRWPELEVVIARPFNHTGPKQS---------PNFVVPNFCFQVLEALKRPESERKILV-GDLSSTRDFLDVRDVVRAYRILSEK---GKPGEIYNICSGKETVIRDILDEVISASGRKIPVEVDPFRSAEMRRLFGNKDKLQK-LGWAPNFDLSDTIQDVYHWHR----------- 73667590 --SKVALITGITGQDGAYLAELLLEKGYIVHGIKRFNTARIDHLYKDPHERGDLTDSTNLIRIIQEVQPDEIYNLAAQSHVQVSFETPEYTANSDAIGTLRLLEAIRFEKKTRFYQASTSELYGKVQEVPQKETTPFYPRSPYAAAKLYAYWITVNYREAYGIFACNGILFN------------HESPIRGETFVTRKITMAATRIKYGMQDRLYLGNLDSKRDWGFAKDYVEAMWLMLQ----QEEPDDYVIATGETHSVREFIELVFKELGIDIIWDPEYYRPTEVEMLIGDSSKARRKLGWQPKVRLEELVKMMVK-------------- 143460598 --------------IGSHTCIKLIKMGYTPIIIDNLSNTSIDNIKGINFYEIDCCVAKKLDMIIKKENIIGAIHFAAYKSVEESVRLPEKYFNNNLKSLESLIDVMINNNLNNLIFSSSCTVYGSPDNLPVDENAFKKAESPYGETKQLCEKII----ENSTLFSVCLRYFNPIGSHKSGLIGDRSDKPANLVPIICEVAAGKREKLIINGNDYNTIDGTCVRDYIHVEDLAKAHINALEYCIKNKNKSVFNVGTGHGLSVAETVRVFEETNNIKLNVEFGPRRSGDISEIYSDT-------------------------------------- 302878500 ---KVALITGVTGQDGSYLAEFLLEKGYEVHGIKRFNTQRVDHIYEDPHIEGDLSDSSNLTRILQEVQPDEVYNLGAQSHVAVSFESPEYTADVDGMGTLRILEAIRLEKKTRFYQASTSELYGLVQEIPQKETTPFYPRSPYAVAKLYAYWITVNYREAYGMYACNGILFNHESPRRGETFV------TRKITRGLANIAQGLESCLYMGNIDSLRDWGHAKDYVRMQWMMLQQETPEDFVIATGVQYSVRQFIGTGVEEMATVVAIEKAGDVIMRIDPHYFRPAEVETLLGDPTKAKEKLGWVPEITVQQMCAEM---------------- 310640686 MEHSNIYVAGHNGLVGSAIVRALQKAGYRHII-------------TRTSRELDLRNKEAVDHFFDTEPVDYVFLAAAVGGILANNEYPADFIRDNLLIQTNVIDAAYRKNVSKLLFLGSTCIYPKFAPQPLRETGELEPTNEAYAAKIAGITMCQSYNRQYGTRFISVMPTNLYGPGDNFDLQTSHVLPALIRKFHEAKLNQSP-------TVEVWGSGTPRREFLHSDDLAD---ACLFLMNNYEGNEIVNIGVGEDISIRELAERVKNVVGYEGEITFNTSVPDGTPRKLVDVSRI-SGLGWSARISLEEGLRSVYQ-------------- 291562002 -KGKKVLVTGHTGFKGTWLSRILVNAGAEVTGYSNPPTDPALFNMAGNSVIGDIRDLAHLKQVFEDTKPEIVLHLAAQPIVRDSYKDPVYTYETNVIGTVNILECVRNPCVKSFLNVTTDKVYENREWEYYREVDRLDGYDPYSNSKSCSELVTHSYRKSFFADGHCAISTCRAG----NVIGGGDFANDRIVPDCVRAAMAKKP--------IVVRNPHSTRPYQHVLEPLAAYLMVAKAQYEDGKYAGYYNDDKDCVTTGELVDMFCSAWGNGLSWENKSDGPHEANFLKLDCSKLKTTFGWHPRYGVKEAIGLTVEWCQEYEKN------ 142163767 ----KYLVTGGAGFIGSHLCDALLENNHQVIVLDDFSTGKQENLDAKVQVEGCITRPSDVAKAIKDVN--GVFHLAAVASVTRSVEHWAKTHRINQSGAVEVFDKAAQMQVPV-VYASSAAVYGDNEILPLDEKAETRPLSPYGLDKLACEWQAQVGNRIKGLKSVGLRFFNVYGPRQDS------KSPYSGVISIFADKLKLAEPITIFGD------GEQTRDFIYVADIVRTLKASMDK-------------------------------------------------------------------------------------------- 135966725 MNKKVALITGITGQDGSYLAEFLLKKNYEVHGIKRFNTQRIDHLYQDPHVDGDLTDSINIIKLIQKIKPDEIYNLGAQSHVAVSFESPEYTANSDALGPLRILEAIKTIDSTKFYQASTSELYGLAQETPQTEKTPFYPRSPYGVAKLYAYWITINYREAYGLFASNGILFNHESPRRGETFV------TRKITRGLSQIDQGLSKFLYLGNIDAVRDWGHAKDYVEIQWKILQQEKPDDFVVATGRQKSVRIGWGGIKWEGEGIKEIGRRIEVVIKIDKKYFRPCEVNSLVGDATKANKILGWQPKISLENLVADMID-------------- 135807009 ----------------------------------------------------------------------------------ESILNPLMYYRSNIFISLNLLEAMDKFKINKLIFSSSATVYGNNYKSPLKENMELHSVNPYGSTKIIIEQLINDFSKINKFTAFSLRYFNPIGANTEAGLSEKPLGEPQNLLPSLIQSVKSKKIFKIFGNDYNTRDGTCIRDYIHVKDLAEAHLLAFNELKNQRGHNTINIGLGKGLSVLEIINLFEKVNKIKIRYKFSSRREGDTDISYACVNKAKEILNWKPKYSYDNMVNDAWNAQFRN--------- 134945909 -----------------------------------------KNLITANKDDYDLRHTSTTNNLFSDAKPDYVFLAAAKVGGILANRDHADFIYDNLMIQTNVIDAAYRHGVKKLLFLGSSCIYPKMAKQPITEDHLESSNDAYAIAKIAGIRMCRAYRQQYGFNAISLMPTNLYGPNDNFDHNSSHVLPALISKFHGSLEKSEHWVVKLWGDG------SPMREFLHVDDLAEACYTCMQKYDGE---EHINVGTGEDVTIKELAETIVDVVGYKNYYEWDTSKPNGTPRKVLNVDKIKS-LGWEPKISLREGIESTYEWYKKN--------- 143475447 -----------------------IKLNFRVNVIDNLSTGLKSNLKDFNFYEKDISDIDQLDNIIFK-DVDYIFHLAGLADIVPSIENPEKYFKSNVLGTFNVLQKCKNHKIKKFIYAASASCYGIPKVFPISENCEIMTEYPYALTKNLGEQIVTHWAKVYNIPFISLRFFNAYGQRSRT------TGAYGAMFGVFLAQKLNNLPLTIVGD------GNQTRDFIHVNDLIDAIIKVTEHSTI---NEIFNVASGVETSVNKIAEMI---GGNKISI---PKRPGEPERSLADISKIKKTIGWEPKISVNEGIE------------------ 134453027 --------------------------------------------------KCDLRNKKKISNLLNKFRPNCIFNLAAETHVDRSIDGPKNFIESNIRGTFNLLECFKKYKKTKLIHISTDEVYGDVLKGRSKEVDAYKPSSPYAASKAASDHLVYSYVRTYKIPAIVTNCSNNYGPR--------QHPEKLIPKLIYNILKNKSLP--------IYGKGKNSREWIFVDD----HCDALIKIYKKGKGEFYNIGSNYNINNLVVVKKLLSVFGKKVKIKFVKDRPGHDMRYAINSSKIKNNLKWKPKVSFAKGLEKTFNWYLENQNYYSK--- 284046937 MSSKRALITGITGQDGSYLAELLLEQGYEVHGVRRASTEKFDRIEHLRDHQGDLLDQRSLVDTLRAAQPSEIYNLAAMSFVALSWVQPTLTADFTGVGVTRMLEAVREAAPERFYQASSSEMFGKVREVPQTEQTPLYPRSPYGVAKVYGHFITINYRESYDLHATSGILFNHESPRRGLEFVTRKITWHAAAIKHG------------LRDRLALGNLDAKRDWGYAKDYVEAMWLMLQ----QDEPEDFVIATGSTHTVRDCVQVAFDEAGLGDWERYVEIRPAEVDLLIGDPTKAKEQLGWEPKTTFEELIR------------------ 135792755 -----------------------------------------ESNSNYLHHRIDIKDRSKINQLFKKHKPRRVVNLAAQAGVRYSLVNPYSYIDSNLLGFANILECCRENNIEHLVYASSSSVYGANTSMPFSVNDSVHPLSLYAATKKANELMAHSYSHLYNLPTTGLRFFTVYGP----------WDRPDMALQKFAKSIVNNEVIKIYNNGNHKRDFTYIDDITDGIVRVNWMSDSPDPSTSKAPWRIYNIGNNKPVNLNDYISALENALGKVAKKEFLPIQPGDVPDTWANIDGLVKEFDYQPSTLVEHGVKKFAEWFMEYYK------- 167841168 -------------MVGSAIVRRLDAGGYDNIVTRDRKS-------------LDLTDQQAVRKFFREQRPAYVFLAAAVGGIYANNTYPGDFIRENLVIQCNVIDAAMAASVDRLLFLGSSCIYPRDCPQPIREETLEKTNEPYAVAKIAGVKLCEAFNRQYGTHYLCVMPTNLYGPNDNYDLQTSHVLPGLLRKTHEAKLRGD-------SHLVVWGTGKARREFLYVDDMADACVFLMENGIEEG---LFNIGMGEDVTIRELAEEVMDAVGFRGEIVCDPTQPDGTPRKLLSVERLRA-LGWLPKTPLAEGIRKTYA-------------- 140152946 ---------------------------------------------------GDIRDMKSVKNACK--NIDIVFHLASLIAIPHSYQSPYSYLETNALGALNLFESSLDSKISKIIHTSTSEVYGEHDIVPISEDNKNIARSPYSATKIAADQLAYSYFSTYDLPITIIRPFNTYGPRQSN---------RAIIPTIITQILN--NKILELGSLYPTRDFTYIRDTISGFVSIAENKKSI--------GQIINIGSGYEISIEDLVEVIQSLMKSNLKIKVSNMRKGEVFRLKANINKANKLLHWKPKKGLKEGLKETIEWFSRN--------- 255087348 -ERKVAFITGTTGQDGSYLVELLLSKGYVVHGIKRRSSSYNHPRLEHIMEEGDLTDLHALVAIIRDVRPTEVYNLAAQSHVQVSFQMPMYTAEVDGVGTLNLLEAIRQTKTCRFYQASTSELYGKVQAIPQDEDTPFYPRSPYAVAKMMAYWAVVNYRESYDMYACNGILFNHESPRRGETFV------TRKITLAVANIKAGKQECLYLGNMDAKRDWGHARDYVECMWRMLQQDKPEDFVVATGETNTVRHFVNEAFKVAGMEVRFEGEGVNEVGIEIATFRPAEVDLLIGSPAKAKTRLGWDPRTSLDQLIKEMVE-------------- 135629894 ----------------------------------------------------------------QQYKPKTIFNFAAETHVDNSIDNVAPFIDTNIIGTINLLKLSVKYDVQMFHHISTDEVYGALEEPPFTENSPYDPQNPYAASKAASDHFVMSYHNTYGLPVMITNCSNNYGPR--------QHREKLIPKTINNILDGKKIPIYSQGE--------NIRDWIYVEDHCAGI---LGISYAGDVGQKYNIGGECEMTNLELVKMIIKLMNSEDLIEFVDDRPGHDLRYAIDNAKIYKTISFQPEFNIEDGLKRTIDWYEKN--------- 119358376 -KPRVALITGITGQDGSYLAEFLLERGYDVHGIKRFNTQRIDHLYQDRHEYGDLTDSSNLTRIISEVQPDEVYNLGAQSHVAVSFESPEYTADVDAMGTLRLLEAIRFEKKTRFYQASTSELYGLVQEIPQRESTPFYPRSPYAVAKLYAYWITVNYREAYGMYACNGILFNHESSRRGETFV------TRKITRCLANITQGLEECLYVGNMNALRDWGHAKDYVRMQWMMLQQEQPRDYVIATGVQYSVRQFIENEVGIVDSLSIQHSALSTGSVIVRVDPRPAEVETLLGDPAKAKADLGWVPEITLDEMVEEMVAYDLDLAR------- 310778602 MENSKIYLAGHNGLVGSSLLNNLKSKNYKNIVTKDFS-------------ELDLRKQDQVEKFFEKERPEYVFLIAAVGGIHANNTYPAEFIYDNLMIEANVINSAHKYGVKKLLFLGSACIYPKFAGQPIKEEGELEPTNKAYAAKISGIELCKSYRRQYGDDFICAMPTNLYGPKDNFDLKTSHVMPALIRKFHDGKKNGSK-------EVVVWGTGKPTREFLFVEDLTDALIHLMNNYSEEG---HINIGTGIEIELNNLVHLIKKVVGYEGEVVFDTTKPDGTPRRSLDVAKIHA-TGWRHQIELEEGIREVYKWYLEN--------- 196232650 -KSDTIFVAGHRGLAGGAIFRELQSAGY-------------ANLLTRTRAEVDLSQREKVRAFFEKERPQVVVVAAAKVGGIKANNDFVEFLLENLNIQNNLIEAAYDFGAEKLLFLGSSCIYPKFAPQPIPETGALEPTNPYALAKIAGIKLCQAYAREYGRNFISAMPTNLYGPGDNFDLNTSHVLPALLRKVHEAKDRGDA-------TVSVWGTGTPRREFLHVEDLAHACRFLLE---NYDQPEFVNVGCGSDVTIRELVELICEVVGFKGELAFDSTKPDGTPRKLLDTSKL-TQLGWRPRISLKDGIRQTYNWYCQ---------- 139206379 -----ILVTGAAGFIGSALVKRLLKEKYYVIGIDNINDYYDVNLKEWIFKKCDLVDSDCLEKIYSDYNPDIVVNLAAQAGVRNSICNPNDYIQSNLVGFYNVLEASKKFKVKNLVYASSSSVYGGNTNIPFKEEQSVHPINLYAATKISNELMAHSYSHLYEIPSTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 135512782 ---KNILVTGGMGFIGSHLAEFLTKKNYNVTVFDRYNSNNNWGNLENSKYKGDIRDYDSTLKSLK--NIDTIFHLAALIGIPYSYVSPLAYIKTNIEGTYNILEAAKEKNISQTIITSTSETYGSAIKTPMDENHPLQAQSPYAASKIAADQIAMSYYRSFGQNIKIIRPFNTYGPRQSS----------RAVIPTIISQLLNKNKFIKLGNTKPSRDYTYVEDLCEAYLKLSKAKKLTGHVVNVGTNKEIQ--------------------------------------------------------------------------------- 135492129 -RNKKVLVTGGSGFIGTHFIQELLNRGANVR------TSIHKTPLKIQDDRIEVLDLTKLDDTMKLVDVDIVIHCAGNIGHPSSIPTDYQIGLNQITVITNVLEACYKQGVKRF-LDLNSSTGYPDRRYPITEDEYWDSYYSYGWMRRYREKLMEHTSHLTDMHIGIARGTAIFGPHDNFDLKTCHV-----VPALIKRCLSDENPFIVWGSSDVV------RDFLYVKDVVKGCLLVLEKGESM---RPYNVGYGSTITIGDIVNTILKVTGKTPEVQWDNSKPTTIPFRSCSTERIETELGFKPDYTFEQGIRETMEWYLN---------- 136566065 --KKKLLILGSTGMVGSSVAR-LAKNDFNVLI--------------PSRKKLNLFDKNKVDNFFKKEKPFYVINCAAKAGIYANYTYPADFIYENLLIQNNVISASHKYKVKRLMFLGSSCIYPRDCNQPIKENFLLKTNEYYAIAKIAGLKMIEAFNKQYNHNFISIMPTNIYGINDNFHLNNSHVIPALIEKIYTG-FKENKKNIKLWGSGKAL------RDFLYVDDLSRAILLLIKRY---NKHELVNVGSGKEITIKLLSKLISEIIGYKGKIIFDKLHPDGTPRKILDISRIKA-TGWKPVYSLKEGLKKTIEWYILNKK------- 119899888 FEGKRIWVTGHRGMLGSAMVRSLAGEGAE--------------LLLTTREEVDLCNQAAVYAWMEANRPELVFHIAKVGGIHANATLPADFVRENLLIQCNVIDGAYRSGVQKLVFVASNCTYPSLAPQPIAEDALLGPLDANIRSKIAGIEMCSAYRRQYGCNFVAVIPPNLYGPGDNYHPQHSHVVAGILRRAHEAKMQGAD-------EFVVWGDGTPRRELLHVDDLADAMKYVMRAPTTHD---LYNVGCGHDLAISELASIIADVVGFKGRIVYDASKPNGTMRKLLDSSRIRA-LGWVPKVDERLGLRSAYQ-------------- 116794470 -KAKKALITGITGQDGSYLTEFLLKKNYEVHGISNFNTQRLDHIYIDPHHYGDLTDASSLRKWVDAICPDEVYNLAAQSHVGVSFENPDYTADVVAKGALSLLEAVRIHIRQVKYYQAGSSEMYGATPPPQNENTPFHPRSPYAVSKLAAHWYSVNYREAYGMFACNGILFNHESPRRGENFV------------TRKITRAVGRIKIGLQKKLYLGNLKASRDWGFAGDYVEAMWLMVQQDKPDDYVVATDDSHTVEEFLKEAFGYVGLDWKDHVLIDPRYFRPAEVDNLRGDSSKARKLLGWAPKVGFKQLVAMMVD-------------- 153834367 MSKKIAFITGITGQDGSYLAELLIEKGYEVHGLRRASSYNTERVDELCNHYGDLTDSSNLIRLIKEIQPDEVYNLGAMSHVAVSFESPEYAADVDAMGTIRLLEAIRINNKTRFYQASTSELYGEVQEIPQRETTPFHPRSPYAVAKMYAYWITVNYRESYGMYACNGILFNHESPRRGETFV------TRKITRAIANISQGLESVLELGNMDALRDWGHAKDYVRMQWMMLQQDVADDFVIATGKQISVREFVGEGVNEIATVSQVDSVGDVIVRVNPKFYRPAEVETLLGDPTKAKEKLGWVPEITVEAMCAEMVQ-------------- 219117593 -EGKKVCVGGGAGFIGSHIARRLKAVGYYVVVVDWKKNEFMENSEF--CDEFILGDLRKLEACSACEGCAQVYNLAAMGGMGFIVSNESVLAFNNTAISMNMLEAARRNKVKDFFYSSSACVYNDPANPGLIEADAWRPQDMYGLEKLYAEEMALAYGRDFDMNVRIARFHNIYGPRGTWKGGREKAPAAFCRKAITSTE-----------HFEMWGDGKQTRSFTYIDDCVEGVLRLMFSDCD----VPINLGSTEMVDMIEFAQIALSFEAKKLPIKHIEGPMG-VRGRNSNNKLIMEKLGWEPTMQIKDGLRLTYFW------------- 303291304 IARKKIIITGGCGFIGHHVVEHLAKTTDCNVVIDKLSYGYDRLRDTGVLDRVKVFTTDLVQPIPEGINIEFIVHMAAETHVDNSIADPVPFIRNNVESTISLLEYTRGCDLKAFFYFSTDEVFGPAFGTMFDEWDRHKPTNPYSSSKSAAENICIAYENTYKIPLMIVNVMNAFGER--------QHPEKFIPKCIKKVI----DNEKVFIHSYPDRKMSGTRFYIHARNIAAAVVFLL---NEGAIGEKYNITGESEVSNLELAHFIADTAKKTEMVNHHATRPGHDLRYGLSGEKMAK-MGWVPPFGFEESLRKCIQWTIANSHWLN---- 137831918 -----ILITGVAGFIGFHLCKKILENNYKVIGLDNLNNYYDINLKKNRFKKVDLLDSDHINKIFEQYKPEIIIHLAAQVGVRYSLKNPKSYINSNIVGFSNILESSKNIDAKHLIFASSSSVYGGNEKIPFAEDQVDKPVSLYAATKKANELMAYSYSHLYKIPTTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 134790167 -KNMQIIVTGGAGFIGCHLVELLLKKKHKVTVIDNLSTGRFENIHKFKFIKADISKKGKWINVFK--KAEVVYHLAALADIVPSIQNPNKYFQSNVIGTKNIIEASIKYNLKKVIYSASSSCYGIPKKYPTSEDSKIDPRYPYALTKMLGESILLHYGKVYGINILSLRLFNVYGTKSRTSGTYGAMFGVFLKQMIAKQPLT------------VVGSGKQKRDFTYVTDIVNAFY------------------------------------------------------------------------------------------------- 115526255 ---KKALITGVTGQDGAYLSKLLLAKGYEVYGLVRRSSTSEVNDLRLRMVDGNLLDLSGLIRTFRDVRPDEVYNLAAQSFVKSSWQQPILTGQVTALGVTNVLEALRLEAPQRFYQASSSEMYGLIREPIQSETTPFYPRSPYAVAKLYGHWLTVNYRESYQLHASSGILFNHESP-----LRGVEFVTRKVTDGVARIKLGKAKELRL-------GNIDSKRDWGHSRDYVEAMWLMLQ----QDTPDDYVVATGRSVTVRDMCEIAFKHVGLPQHLVIDPKRPAEVDVLLGDASKAKRVLGWQATTTLEEMITEMVD-------------- 136661037 MKNKTILVTGAAGFIGFHLAKALIKQGYTVVGLDNINAYYDVNLKYARFIRMNLEDRNALPKLFKELKFDMVCNLAAQAGVRYSLENPEAYIDSNINGFLNILECCRHHNVKRLVYASSSSVYGNSATVPFDESANVDPISLYAATKKSNELMAHTYTHLYGIESIGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 255261296 ---KKAIITGITGQDGSYLAELLLEKGYEVHGIKRFNTSRIDHIYEDPHHYGDLTDSSNLTRIISEVQPDEIYNLGAQSHVAVSFEAPEYTADVDAMGTLRLLEAVRFDKKTRFYQASTSELYGLVQETPQTETTPFHPRSPYAVAKMYAYWICVNYREAYDMFACNGILFNHESPRRGETFV------TRKITRGLANIAMGLEDCLYMGNIDSLRDWGHAKDYVRMQWMMLQQDRPEDFVIATGSVRDFIQWTASELGVDDEIATVAKITGDKAPMRIDPRRPAEVETLLGNPAKAKKVLGWEPEITAQEMCAEMVA-------------- 143440116 MKEK-VLVCGAGGFIGGHLVNDLLKDGFEVICADIKPLEFWFQLSDKKNYSLDLKEYENCIKVTEGVK--YIYNMACNMGGMGFIENNKAECMLSVLINTNLLRACLINKVTRYFYSSSACVYNAQKQGLKEEDAYPEPEDGYGWEKLFSERMCRHFTEDFGLETRVVRYHNVYGPIGTYDGGREKAPAAICRKIAQAKMNNKR-------EIEVWGDGEQTRSFMYITDCIEG----TRKLFNSNLIEPYNVGSDEQVSINQMIDIIEDIADYKVSRNYLLDKPKGVRGRSSDNTKIIKDLSWSPSISLKEGLKETYTW------------- 241772126 ----RVVVTGAAGFLGFHLTRYLAEKGYEVIAVDNFVRGENDPHLAALSEELDLSDPAKVATL--PVDVDYVYHLAALNGTQNFYERPMDVIKSCTLPNIYLAEHYRNAKLKRFIYAGTSTVTKFNWPVPTAEDDVTNPRWSYAASKMHGEVVTAQAGRSFSMPYSIVRYHNAYGPRMG-----------DRHVVPDFYVRAKEGRFELFG-------YEDTRAFLYVDDAVRGTTLIGEN--ERTVNEIVNLGGGREITMLDLAKEMMAVRGMEGEIVLHPSPAGSVKRRLPELAKIRELTGFQETVSLSEGLELTAKFYLD---------- 134291906 MNRKVALITGVTGQDGSYLAELLLNKGYEVHGIKRFNTDRIDHLYQDPHHHGDLTDSTSLVRIIQRVQPDEIYNLAAQSHVAVSFEEPEYTANADGIGALRILEAIRIEKKTRFYQASTSELYGLVQEIPQKETTPFYPRSPYAVAKMYAYWITVNYREAYGIYACNGILFNHESP------VRGETFVTRKITRAIARIAAGLQDCLYLGNMSALRDWGHARDYVEMQWLMLQQEQPEDFVIATGELGITVRFDGEGVDEVGIVDKVEKVKPGAVIVRVDPRRPTEVETLLGDPTKAHEKLGWKPTTSFESLVTEMVR-------------- 304404180 -QGKRVLITGHSGFKGTWLVLWLRRLGAEVIGYSNLPSAPSMYRLCGAWVRGDIRDEGKLLQTVLAAKPEIVFHLAAQPLVRRSYAEPIETIAVNVVGTANVLNAIRSAPSVRSVIIATSDKCYANHGHVLKETDRLGGRDPYSASKASAELIVDAYRHSYRQAGSGQRALAVATVRAGNVIGGGDFAIDRIVPDCVRAAQ--------HGWAPLLRNPDAVRPWQHVLEPLSGYMMLAERLFDAAGAGNFGPEAGQEMTVGELAHRISGWVGASGPIVSDSEGPYEAAMLRIDSSKAHSRLGWQPVWSTQEAVEKTGEWYQQWRKGAS---- 119899875 -KDARIYVAGHRGMVGSAIERRLVAAGYSNV-------------LARSHDELDLTNQADVFAFLREERPDFIFLAAGVGGIHANNTYRADFIYRNLMIEANVIHGAWLAGVRRLLFIGSSCIYPRQCPQPIHEDYLLKTNEPYALAKIAGVKLCESYNAQYGTSYFSVMATNLYGPNDNYDPDNSHVLPSLIRKAHDAKVTGSH-------ELVVWGSGAPRREFLYVDDMADACVFLMERGLGDG---LVNVGTGADLTIRELAEMVMDVVGFEGAIRFDSAKPDGTPRKLLNVDR-MTEMGWQAKTGLREGIAKAYQDYLQ---------- 160889688 ---KNVLITGGAGFIGSNIASKLVDKGVNVTVLDSLSEQHGQKPEETSPLYLSIKDKVKFDWLKAIDGQEAIIHLAAETGTGQSMYEIEKYVNTNIGGTALMLDTNAKHNVKRVIVAESRAIYGEGKYVATTEDSKIHPSSVYGITKQVQGQLVHLVCPSIGIDAVSYRYQNVYGPGQSL------SNPYTGILSIFSTRIKNGNEINIFEDGKET------RDFVFIEDVVDATILGLETKEAAGHAFNI--GTGVSTDVLTVANTLCEKYNIKVPISIGNYRLGDIRHNYANITLARTILGYEPKWSFSDGIGEFCKW------------- 141071120 ----KILVTGAAGFIGFHLCQQLCHRGNEVIGIDNLNNYYDVRLKHAHFIKLDLADATGIATLFDQHAFTHVVNLAAQAGVRYSIENPMAYIQSNIVGFTNILEGCRHHRIQHLVYASSSSVYGSNTHYPFQENSVDHPVALYAASKKSNELLAHSYAHLYRLPCTGLRFFTVYGP--------WGRPDMALFKFAKNILAGE--------PIDVYNHGNMQRDFTYIDDIVEGILRAIDHPAQPDPN------------------------------------------------------------------------------------- 153868865 -KDKKVLLTGHTGFKGSWLALWLQHLGANVIGYSNPNLFHAEVAQNMNSVQGDIRDLTHLISCLADHQPEIIIHLAAQSLVKASYNNPVETYSTNVMGTVNVLEAVRHSNTVKVVIIVTSDKCYDNKEWFWSENEPMGGYDPYSNSKGCAELVTSSYRNAYFNPNDYATHGVAASVRAGNVIGGGDWAKDRLIPDIMNAFLE--------NQPVTIRYPNAIRPWQHVLEPLNGYLTLAEQLWTAGPHFAEAWNFGPDERDAKPVSWIVEHLAQLWDKKWESDRTSHPHELKLDCSKAKTRLNWWPKLSLETSLKWIIEWYQAYRQ------- 139191250 -------------------------------------------------------------------KFDLVLHFAGLIRVDESVKKPKKYLNYNFNKSKVFFKTCYDNGIKKIIFSSTASVYGNLAKPKVNEKDRLKPINPYAISKLLFEKYIIKFSKTNDIKYVILRYFNVAGADKKLRSGLISKHSTHLIKIACEVATNKRKKLIINGSDYNTPDGTTIRDYIHVSDLADIHLVSTKHLLKGGVSQIFNCGYGKGFSVKQVINAMNKILKKKLPTSIGKRRKGDIEKVVADVRKFKKFFLWKPKFSLSTILMTALKWEQKF--------- 163749801 -TTKRVFIAGHNGMVGSAIIRQLEKLDDIEIVV-------------RTRQELDLMNQQAVLEFFQQQKIDQVYLAAAVGGIVANSTYPADFIYQNLMIQCNIIHSAHLADIQQLLFLGSSCIYPKLAQQPMAESTLEETNEPYAIAKIAGIKLCESYNRQYGRDYRSVMPTNLYGAH-DNFHPENSHVIPALIRRFHEAKLNNDKEVVAWGSGKPMREFLYVDDMAAASIHVMNLDKTIYDENTEPMLSHINVGTGVDCTIRELVETVAKVVGFEGKITFDATKPDGAPRKLMNVDRLKS-LGWSYSIELEDGLRLAYQWFIDNQNKF----- 139949692 --NKRILITGGSGFIGGHLVRKLLKETNSLINIDNLSYASDESKDRYFFYKVDLKDSQNVSKAIQESKPDFIFHLAAETHVDRSIDSPLIFLESNILGTFNILQAALDYFNFRFHHVSTDEVFGLNKRGKFDEKTKYDPRSPYSASKASSDHIVRAWFHSYKLPILITNCSNNYGP--------FQFPEKLIPLSIIKALKGESIPIYGDGQ--------NIRDWIFVEDHIEAILCVAKK---GKIGQTYCIGGNCEKSNKELINIVCELLN------------------------------------------------------------ 136242578 -KKTRSLVTGGAGFIGSHLCERLIERGNEVVCVDNYFTGNIAHLLDDPYFELIRHDYIEVDEIYNLACPASPVHYQ---------RDPVQTTKTNVHGAINILGLAKRLRI-RVLQASTSEVYGDPQVHPQTEDNPVGPRACYDEGKRCAETLFFDYHRQHGLPIKVARIFNTYGPRM--------MPDDGRVVSNFIVQAMHGEDITIYGD------GSQTRSFCYIDDMVAGLIRLME--GSGDETGPVNLGNPVETPIRDLAEQIMALVGGEASVVHQP--------------------------------------------------- 257459982 -KGKTVLLTGHTGFKGSWLSLWLQRLGAKVIGLPAPTEPNHIRLLNLNIVQVDIRDLAKLNEVFAAYKPDIVFHFAAQALVRPSYADPITTYETNVIGTLKVFEACRKAGVLAIVNITSDKAYENKEWVWYRESDPMGGYDPYSSSKGCADILASSYRNFNEKDYGTKHQTLLATCRAGNVIGGGDWA--QDRLICDVMRAAAKNEAVTIRNPHATRPWQHVLEPLGGYLLVG--QKLLEGRKKFGSAWNFGPSDDGAIRVLDVLKSAKRYWDKEFQINSDINQPHEANLLKLDCSKAHALLGWKPVWDSETAFEKTVKWYKNFYEN------ 137756313 -----IIVTGAAGFIGSNFVLDWLALGEPVVTLDLLTYAGNRANLRHRFVQGDIGDAELMARLFAEYRPRAIVHFAAESHVDRSIHGPEAFIQTNVVGTFRLLEAARGYWAFRFLHVSTDEVYGAPGAPAFTELHRYEPNSPYAASKAGSDHLVRAYHHTYGLPVLTTNCSNNYGP--------YQFPEKLIPLVIHHALSGKPLPIYGDGQ--------QVRDWLYVGDHCAAIRRVLEA-------------------------------------------------------------------------------------------- 86356411 ---KKALITGVTGQDGSYLAELLLSKGYEVHGIKRFNTDRIDHLYQDPHEDGDMTDSSSLIRIMQNVQPDEIYNLAAQSHVAVSFEEPEYTANSDALGALRILEAIRIEKKSRFYQASTSELYGLVQETPQRETTPFYPRSPYAVAKLYAYWITVNYREAYGIYACNGILFN------------HESPVRGETFVTRKITRALARIKLGLQDCLYLGNLDAKRDWGHAKDYVEMQWLMLQ----QEQPEDFVIATGIQYSVRDFVNAAAREIGLKCIVSVDPRRPTEVETLLGDASKAKDKLGWEPQISFEALVAEMVR-------------- 142060384 ----KVLITGGSGFIGFHLAKFHANKGDEIVILDNLFKAKEEILSNVTFHKVDLTKEISLD---VKGEFDFIYHLAAINGTKLFYEMPYELCLTNLLITINFLKFLEAINFKKLIFSSTSEVYPTAEDVPVVFSQPTNTRFSYASSKFVSEYLCFQFGKKFKRETSIIRYHNIYGPRMGFN---------HAVPEIILRMLNDENPFKVIG-ADETRAFCYVEDAVEASYLVAKSNNTCDQIIHIGDQEA-------EINILELTKLIKDVLGKDLQLIPSEGLPNSVKRRCPNTSKLRKLTNFKIKTGLIEGIKKSADWYRDYY-------- 144203850 ----NILVTGGAGYIGSHIVELLIKLKAKVFIYDNLSTGYKKLIKKAKFIKGDIKNFKDLSLIINKNRIHSIIHLAAYLNINEGEKNKKKYFKNNVGGTLNLVKACNNNSVKNIIFSSSCSIYGNKKNS-VNEKTKPKPKSYYAFTKFKSEEIIKKYSKKFKYKYGILRYFNVAGASKSGKIGEVEKSHGHLIKNIAIQSQKAKPQINVYGNDYNTKDGTCVRDYIHIMDLAEIHLKILKNISISKKSLILNCGYGKGYSVQEIIE------------------------------------------------------------------- 139089382 ---KKVFITGIAGFLGSHVADEFIHLGYEVAGNDNLIGGYEDNVPKDAIFYPFDCRSEQIKTIFNEFKPDILVHTAATAYEGLSVFSPSFVTSNIYEASISVFSAAISCGVERIVNCSSMARYGEIA-IPFKEDMIPKPQDPYGIAKYAAEQTLECLAKVHNIDFVTLVPHNIIGPRQ------KYDDPYRNVASIMINRCLQGLPPIIYGDGMQTRCFSFIQDVLNCLMTACLDDNPLGNVINIGPDEEV-------ISINDLASKICKITNFKGMPKYLPARPQEVKHAICSSDKARQILDY----------------------------- 258591703 ---KTALITGITGQDGSYLTELLLAKGYTVHGLIRFHTERIEHLYQDPHHYGDLADSSQLTNLFYQVRPDEVYHLGAQSHVRVSFDMPDYTGDITGLGTVRLLEAIRKSGVGCRIYQASSSEMFGDAPAPQNEQSAFQPRSPYAAAKLYAYWMVRNYREGYGLFACNGIMFNHESPRRGETFV------TRKITRAVSAILAGRQKMLFLGNLEARRDWGFAPEYVEGMWLMLQQSAPCDLVFGTGETHSVREFVEEGYVNLDWRDYVTE--------DPRYRRPTEVPLLLADPSEAKRRLGWQPTVAFRDLVRIMMD-------------- 90410680 ---KIALITGITGQDGSYLAELLLEKGYKVHGLSSLNTERVDAVCNIHLHYGDLTDSSNLIRLVKEIQPDEVYNLGAMSHVAVSFESPEYTADVDAMGTIRLLEAIRINKKTRFYQASTSELYGEVQEIPQRETTPFHPRSPYAVAKMYAYWITVNYRESYGMYACNGILFNHESPRRGETFV------TRKITRTLANISQGLESCLELGNMDALRDWGHAKDYVRMQWMMLQQDVADDFVIATGKQISVREFVGEGVDEIATVIAVEKVSGVAIGDVIVRFRPAEVETLLGDPSKAKEKLGWVPEITVEEMCIEMVQ-------------- 135400869 ----KIYLAGHQGLVGSAIYRMLDDQGFSNVIV-------------RTHKELDLTSQADVDIFFQKERPDYVILAAAKVGGIYANNNYADFIYQNLMIQSNVIHSSFLSNVKRLLFLGSTCIYPKAVEQPMREEALLEPTNPYAIAKIAGIKLCESYNRQHGTDFRSVMPTNLYGIN-DNFYDKNSHVIPALIRRFHEAKNNNNKEVVVWGTGNALREFLYVDDMALASIFVLGVDKDLYEDNTKPMLSHINIGSGEEISISALAKMIKNIVGFEGRIVFDDSKPDGPPRKFIDISTISK-LGWQSSTDLSEGITITYDWLKKHFK------- 220926125 ---KTALVTGATGQDGYYLVELLLRKGYSVHGVDRLLYDATENGLPFYLHYGDLTDSTNLIRLVQSVQPDEIYNLAAQSHVAVSFETPEYTANADAVGTLRLLEALRMTDKTRFYQASTSELYGKVQEIPQRETTPFYPRSPYGAAKLYAYWITVNYREAYGIHASNGILFNHESP-----YRGETFVTRKITRAVAKIHHGLQKKL-LLGNLNSLRDWGHARDYVEGMWLMLQQEKGDDYVLATGEMHSVFQQIGRSIFWREEQGVDERTGEVLVAVDPRYFRPTEVDLLIGDPTKAREKLGWSHRTSFEELVREMIQ-------------- 143925741 ----KILVTGGAGFVGTNLVKRLVTNGHEVVVLDDYSTGTKSNHVKGATYYED--DVKWISSWFSFEDIDLIYHLAGLSRIQPSFENPSETFDVNTLGTQRVLDFARKKGI-KVIYAGSSSKHHDPYQ------------SPYAACKYLGEELCKLYKKTYGMSIEICRFYNVYGPH------EVIDGDWAAVIGIWRRQIRDNQPITIVGD------GEQKRDFTHVHDIVDAL-LKLNAHDTKPTFDAWELGTGTNYSINQVADMFEKYSGCKR--KYIPNQQGNYKETLRESDEAIEFLDWEPQDRLEEYIKSLY--------------- 142548764 ----KIFITGIAGFLGANLSDYYLAKNFKVSGCDTLIGGTLDNIKKVNFFKADCENLDEMTKIMK--DVDVVIHAASYPHEGLSSFSPYLICKSNYVGSVSVFTAAIQNNVKRIVFCSSMARYGDVE-PPFFEEQKVRPVDPYGVSKLAAEKTLKILADTHNIEYNIAVPHNIIGP------KQKYDDPYRNVVSIMTNLILQNRRPIIYGDGEQTRSFSDVDDCIYCLDKLATDKNINKQTFNIGPDE-------ENVTINELYKIICNKLQYNEPAIHTKDRPNEVKHAVCSADKARKYLGYQTTVKLDDSIQKVINY------------- 310003923 MDKKTALVLGAGGFIGSHMVKRLRSEGYWVRGVD-IKYPDFSMSAADDFVQGDLREVGLVAQVLEIYQFAADMGGAGYIFTDEHIMHNSATINLNVLNEQVQLNRLLGTNKTKIFYSSSACMYPDPDNPDCRENSAYNPDSEYGWEKLFSERLYFAYARNYDLDVSVARYHNIFGPEGTWDGGKEKAPAAICRKVASLPETG--------GTIEVWGDGLQTRSFLYIDECIEATRRLIDSDFE----GPVNIGSEEMVTINELVETAAKVSGKVVKKAHKLDAPLGVRGRNSNNDLIREKLGWDYSQTLEEGIAKTYAW------------- 294917216 -ENRKVLITGITGQDGSYLAELLLDKGYEVHGISHFNTSRIDHLFDRLHHYGDMVDSSNLCSVMGRVRPTEIYNLAAQSHVKVSFEMSEYTSDVVAIGTLRLLEAIRTCKDCKFYQASTSELYGLVAETPQTEKTPFHPRSPYGVSKLYAYWIVVNFRESYGMFACNGILFNHESPRRGGTFVTKKVTDAVAS------IVAGRQKDVVLGNLDAKRDWGHAKDYVRAMWLMLQQDEPVDYVIATGEQMTVREFVERGITIEWRGHGLQEVSRVLVRVSERYFRPAEVESLLGDATKAREGLGWEPEVHIDELI------------------- 121606080 ---KVALITGITGQDGSYLAEFLLEKGYIVHGIKRFNTARVDHIYQDPHHYGDLSDSSNLTRIIQQTQPDEIYNLGAQSHVAVSFESPEYTADVDGMGTLRILEAIRIEKKTRFYQASTSELYGLVQEIPQKETTPFYPRSPYAVAKLYAYWITVNYREAYGMYACNGILFNHESPRRGETFV------TRKITRGLANISMGLEDCLYMGNIDALRDWGHAKDYVRMQWMMLQQDQAEDFVIATGVQYSVRQFIEWGMQLRWEGQGVNEVWNDKPIVKIDPRRPAEVETLLGDPTKAKEKLGWIPEITVQEMCKEMVA-------------- 223985420 -KGKKVLVTGHTGFKGSWLCSILLECGAEVYGIGLQPNTDPDLENRIQSHILDIRDLQNVKRIFNEFKPEIVFHLAAQPLVIDSYKNPVYTFDVNVIGTVNILECIRNPCVKSFVNVTTDKVYENQEQENYVETDRLNGYDPYSNSKSCSELVTASYCKSF----FSDRDLAVSTCRAGNVIGGGDFSENRIVPDCI--------KYTVKNIPIIVRNPNSVRPYQHVLEADMFYLMLAMKQYNNAGNYNIGPDDSDCVTTSQLCELFCHFWEGAKWKATDYQGPHEANFLKLNCEKAKSILNWHPRWHVAEAVKKTIEW------------- 195970736 MTSKTALITGITGQDGSYLAELLLSKGYTVHGVIRRSSGSRDEEVRMRLHHGDLSDGARLIALLDRVVPSEIYHLAAQSDVHVSFEQPEYTGAITALGTTRLLEALRITGLPARYYQASSSEMFGATPPPQAEGSPLQPRSPYAVAKAYGYWMARNYRESYALHTVNGIMFNHESPRRGEAFVTRKIARAAARIACGQQ------------RFLDLGNLEARRDWGYAPEYVEAMWRMLQRDRAD----DYVVATGTSYSVRDFVTFCFEHVGLDWHVRIDDRRPADVAELVGDASKAQRVLGWKPEV------------------------- 116619788 -----ILVLGASGFVGANLLRMLLEERSDVYGAFALPAWRLEGIPKKNLLSVDLLVPQSADALVRELAPATVFDFVAFGAYSF-ERDVARIYETNVTFKVRLLELLRETKVHCYIHAGSSSEYGNRADRP-TEDTALLPNSHYSVTKSTTSGLIYYAGQSLGVRCANLRLYSVYGPM-----------EERSRLVPSLIVKG------LNGEYPPLVDPAVSRDFIYIDDACEAFVDCALNLTPTWYGESFNIGTGTSTTIGELAEIARDLLHIDISPPFMPKRLWDTTSVWADASRATQHLGWSPRTTIREGLQRTIEWYRSLPKSIYERH- 253701211 ---KKAIITGITGQDGSYLAELLLEKGYEVHGIKRFNTQRVDHIYQDPHIKGDLTDSSNLTRIMQEVQPDEVYNLGAQSHVAVSFESPEYTVETDGVGTLRILEAVRLDKKTRFYQASTSELYGLVQETPQKETTPFYPRSPYAVAKLYAYWITVNYREAYGMYACNGILFNHESPRRG------------ETFVTRKITRGLANIAQGLEQCLYMGNIDSLRDWGHAKDYVKMQWLMLQ----QDKPEDFVIATGVQYTVRQFIIWTAAELGVDIVVRIDPRRPSEVETLLGDPTKAKQKLGWFPETTVQEMCAEMVA-------------- 136067138 LTNKRIWVAGHNGMVGSAVCRALESENCE--------------ILSISRTDLDLLRQSDVEDWYAQAKPDAVIVCAAVGGILANDTYPADFIYQNLMLEANIIHGAYKTGIEKLIFLGSSCIYPKHATQPMAETGPLEPTNEWYIAKIAGIKLCQAYRKQFGCDFISAMPTNLYGPGDNFNLETSHVIPALLSKCHEAHVNGQE-------SMEVWGTGQVRREFLYVDDCASGIVFLL---KNYSDAQHVNLGFGTDITIEELAECIKKTVGFTGELKYDHSKPDGAPRKLLDSSLL-NEMGWQAKFTIKRGLADTYEYFLE---------- 136509423 -SNRSVVVTGGNGFLGRVVVARLGQLGARVVA--------------PTHDEYDLTVPGAAEAMLAAYSPTHVIHLAAVGGIGYNQVAPAPLYLDNLMMGTHIIEATRAAGTEKTVLLGTVCSYPKLTPVPFKEESPEETNAPYGIAKKAHLIHAQVNAIQYGQKFAYLIPTNLYGPG-----DKFHESVSHVIPALIKKCIEAKESGADHIDVWGTG--SASREYLYVEDAAAAIVRAAEAHE---GTEPINLGSDSEITIRETAETIASVVGYTGTLQWDATKPDGQPRRRIDATRAEQLLGWKAAMKFRDGLAATVDWYLAN--------- 153811212 -KGKKVLVTGHTGFKGTWLCRILQELGAEVTGYDPSIFTLTDLESQIHSVIGDIRDLEHMIQVFKETQPEIVFHLAAQPIVRESYKNPVNTYETNVMGTVNVLEAVRQCSVRSFLNVTTDKVYKNNEWEWYRETDELDGYDPYSNSKSCSELVTHSYEKSF----LKDMDIAVSTARAGNVIGGGDFAADRIIPDCVRAVSG--------GKKIAVRNPHSTRPYQHVLEPLGAYLLIAEEQYRKAGSYNVGPDDRSCLTTGELADLFCTAWGGAAWENLCQGGPHEANFLKLDCSRIRNRLGWKPRWQVDEAVVNTAKWYQAW--------- 135228407 --------------------------------------------------------------------------VAAQAGVRYSLSHPFVYEKTNILGTLNILELVKEHNIKQFVYASSSSVYGGNKKIPFSEDHVDNPVSLYATTKKANELMAHTYHHLYGIHCTGLRFFTVYGP--------WGRPDMALFLFTKAILENKPIDVYNFG--------KMERDFTYIDDIVSGVLKAIDHCR---GYEIYNFGNNKPVQLMYLIECIEKNLGKKAEKNLMEIQPGDVERTWADIEKAEKRLGWKPNVSIEEGVDRFIKWYKEY--------- 136514203 ----RILVTGGAGFIGSHLCERLIAEGHIVSAIDNFSTGRASNLASLAQVQGSILDTKTLIPLVN--DADYVFHLAAAVGVFNIVNNPLASLLTNIRGTENILEAAYNSNTPVF-LTSSSEVYGKNISDSLRETDDRSPVTLRWEAKAIDESLAYAYFVEKQLETRIVRFFNTVGPRQLGAY--------GMVVPRFVKAALANEPITIYGDGNQTR--------CFAHVYDVVDAVVKVAFSKNTVGKVINIGNDFEISINGLATKIIDETRSASEIVYIPYEQADMERRVPNIDLIKHLVGWSPQRDLSSMISDI---FCRNEKGF----- 143465271 ----KIFVTGIAGFLGGHVAEKLLNDGHEVSGCDNLIGGYLDNVPEAEFFQVDAIYLNQMRKMTQ--DSDVIIHTACTAYEGLSVFSPYLVGQNTYQISMSVFSAAAENKTPKVINFSSMARYGEQEITPFTEDMIPQPQDPYGIAKLSAEKTLEVLSEVHNFKYVNLVPHNIIGPKQ-----KYDDPYRNVVSIMINRVLNGKAP-------IVYGDGEQVRCFSDIDDVVNPLVNSLTNKKAEGETINVGP-DEDAISIKDLSFKILNVLNSDLEPIFVDPRPQEVKFAHCSAEKSRKLLDYKTTVSLDESIEKIANW------------- 142403504 ------------------------------------------------MQKVDLTDAAAVEAAVQEADPDLVMHLAAESHVDRSISGPGVFIESNVNGTYNLLQAVRSHYAFRMHHISTDEVFRLGAEGRFSETTPYDPRSPYSASKSASDHLVQAWHHTFGLPVVHTNCSNNYGP--------WQFPEKLIPVVTLKAAGGESIPL--------YGDGLNVRDWLYVEDHVDAL---LLAAHNGALGRSYCVGGHGERTNKEVVHAICQQLDHADLITPVTDRPGHDRRYAIDPGRISSELGWRPRHNVEQGLAETVHWYLTHQEWCSKVRE 142516261 ---KKIIVTGGNGFIGSNLIKFLIKKNFFIINIDNNKYSKDPYLLKYRFYKMDIRN-NNIFKLLTKFKPNAIFNLAAETHVDRSIENPKDFLNSNIYGTFNILERKKFKKKIKLVHVSTDEVYGDLKNNFRSENSAYHPSSPYSATKAGADHLVKSYSRTYGIDAVISNCCNNFGPGQF---------PEKLLPTLIFNILNNK-------NLPLYGKGKNTREWLYVEDHCRGLFTI---YKKGKSGESYNIGSGNNISNLNLTKLLLKIVKKRKFIKFVKDRPGHDFRYALNSKKILKKLKWKSSINFESALDQTFNWYLNNYKFFEN--- 134505153 ---KKILITGAAGFIGSHLAEHMVKSGYDVVVFDRYNPNNSEVVNDIEVILGDIRDYDSVYKAMLTC--EAVIHLAALIGIPYSYISPLAYIKTNIEGTYNVLESAKNLDLNNILLASTSETYGSAQYVPIDENHPLVGQSPYAASKIAADNLGLSYYSSFELPVKIFRPFNTYGPRQS---------------------------------------------------------------------------------------------------------------------------------------------------------- 138378562 -------------------------------------------------------------------------------------------------------DVMDNFSCKKIVFSSSATIYGRGNEFNLYEDTPIKPINPYGKTKATIEDFLTDIFNNPKWAIANLRYFNPIGAHPSGLIGENPMGPNNIFPFITQVALGKLKELSIFGNDWSTPDGTGIRDYIHVMDLAEGHICAMEKLNNESKIFNINLGTGQGTSVLELVEIFENINKVKVPYVFTNRRKGDYPIVVANNELAKKELNWLPKRNIEDMCKDGWNWQIKNPNGFD---- 136168360 ----KILVTGHTGFKGSWLTLMLHKLGHEVFGLDSLSGGIFELAKLNSDIRNDIRDKRQLDKAVEQIQPEIVFHLAAQPLVLTSYDQIYETYSTNVSGTLNVLDASLKSKALKGIIIITTDKVYLNNEQAFVEEDQLGGKDPYSSSKALADQLTQD-------WGLHNPSIPLAIARAGNVIGGGDVSANRLIPDLITSISS--------GRIPIIRNPMSVRPWQHVMDCLNGYLALMDFVV-KGKSGAFNFGPNEGHSVEEVTTHVLSQYGKSNWDKGDVTGKKEAGFLTLDSRKAMKELNWSNRISFTKGLDLTVDWYKA---------- 297530667 -----ILVTGGAGYVGAQLVEELIRDGYKVRVLDWFNPYSPEIDAVIEKIKGDIRNAETVKKALNGV--DTIFHLAAISNDPSGDLNPHLTWEVNYHGTLQLLQLAKNAGVRRFINASTASVYGVQEADRVTEDCVLRPITVYAETKARAEDEVLA---------CNSKEFTTVSVRPGTLVGYSRRLRLDLVANIFVCQAMTKGRILVMGGH-------QMRPLLAIEDMVSVYKMLLRANPNVVGRHTFNVNTDN-FSVMKIAELVVNCVGEPVEIEVAP--TNDSRSYRLYSGKITKILEWTPRRDIRTSIECLVQ---RFRQGIENPHE 163740052 ----KIYVAGHRGMVGGAILRRLQARQD---------AGADIQLVTRTSAELDLTNQAAVQAFFAAERPDQVVLAAAVGGIHANNAYPAQFIYENLMMECNVIHAAHQQGVERLLQLGSSCIYPKHAPQPMAESDVLEATNPYAIAKIAGIKLCESYNRQYGRDYRSVMPTNLYGPG-----DNFHPENSHVLPALIRRFDAAARAGEDHVTIWGTG--TPRREFLHVDDMAAASLFVLDLDPATYQREHINVGCGQDISILELAQMVAGVVGFDGEIRTNPEQPDGTPRKLMDVSRLAR-LGWQAEIALRDGIAQTYEWYLQ---------- 141184898 --SKKFLVTGGAGFIGSAFIRYIIKNNHFIFNVDKLNYASNKNNKRYFFEKLDISNASRVQKILKNFQPDIILHLAAETHVDRSIDSPVEFLKTNVIGTFVMLEEARKYFNFRFHHVSTDEVFGDGKNNFFKEETSYAPSSPYSASKASSDHFVRAWQRTFKLPTLITNCSNNYGP--------YQYPEKLIPLVILNALSGKELP--------VYGNGKQIRDWLYVDDHAKAL---LQVALHGEIGETYNIGGHNEVENIEVVKKICNILDKLHPSKIK---------------------------------------------------- 143524061 ----HIFITGIAGFLGANLADYYIAKKYKVSGCDNLVGGTKKNIKKVKFYKADCENLEQMSKIMKGV--DVVIHSAAYPHEGLSTFAPYLICKSNFIGSVSVFTAAIQNKVKRIVFCSSMARYGNVK-PPFAENQNVNPVDPYGVSKLAAEQVLKILADSHGVEYNIAVPHNIIGP------KQKYDDPYRNVVSIMINLMLQKRRPIIYGDGKQTRNFSDVVDCIYCLDKLATDKKIKSEIFNIGPDE-------DTISINELYEILCNKLQFNEQAKYVEDRPNEVKNAICSSDKARKFLNYKTTVNLYDSIDKVINFIKENPKKFE---- 134765820 ---KKALITGITGQDGSYLAEFLLEKGYEVHGIVRRSSLINTHRIDHIYDKGDLTDSTNLVKIIQQIKPEEIYNLGAQSHVKVSFEMPEYTGQVDGLGTLRVLEAVRLEEHTRIYQASTSELYGLVQETPQTEKTPFYPRSPYGVAKIYGFWIVKNYRESYGMHCSSGILFNHESPRRGETFVTRKIVRGLKAISEGKQVLLR------LGNLNAKRDWGHAKDFVRGMWMILQQDIPDDYVIATGHQYSVRCAPHFGYNIEWYGEGMEEIGTKKTIIQVDPKRPAEVETLLGDATKAKEKLGWEPEISFEQLVKDM---------------- 142190693 ----TALITGITGQDGSYLAELLLEKGYAVHGIKRFNTSRIDHLYQDPHEEGDLTDSTNLIRIIQQVQPDEIYNLGAQSHVAVSFEAPEYTANSDALGTLRILEAVRMTHKTRIYQASTSELYGLVQEIPQKESTPFYPRSPYGVAKLYAYWITVNYREAYGMYACNGILFNHESPRRGETFV------TRKITRGLARIDAGLEPCLFMGNLDSRRDWGHARDYVEMQWRMLQQEQPEDFVIATGRQESVRRFTGAALGWAEQASSPAIVWEGEVVVRIDPRRPAEVETLLGDPSRAHERLGWTPTTSLEDLVAEMV--------------- 15802514 --TKVALITGVTGQDGSYLAEFLLDKGYEVHGIKRFNTERIDHIYQDPHHYGDLTDSSNLTRILKEVQPDEVYNLAAMSHVAVSFESPEYTADVDAIGTLRLLEAIRFENKTRFYQASTSELYGLVQEIPQKESTPFYPRSPYAVAKLYAYWITVNYRESYGIYACNGILFNHESPRRG------------ETFVTRKITRGLANIAQGLESCLYLGNMDSLRDWGHAKDYVRMQWLMLQ----QEQPEDFVIATGVQYSVRQFVEMAAAQLGIKMSFVVDPRRPAEVDTLLGDPSKANLKLGWRPEITLAEMISEMVA-------------- 189500857 ---KKALITGITGQDGSYLTELLLDKGYEVHGIVRRSSSFNQYNEQFFFHHGDVTDASNLNRLLEKIQPDEIYNLAAQSHVKVSFELPDYTAQVDALGTLRFLDAIQETHIHKFYQASTSELYGKVQEIPQTEKTPFYPRSPYAVAKLYGYWIIVNYREAYNLYACNGILFNHESERRGETFV------TRKITQAASRIKAGLQEKLLLGNLSAKRDWGYAPEFVEGMWRMLQQEQPDDYVLATGETHSVREF--TDLSFKELDMELEWVGDGAEEKGIDPYRPTDVDLLIGDPAKAKEKLGWEPQVKFEELVRIMVDW------------- 157413749 ---QKAIITGITGQDGSYLAEFLLKKGYEVHGVKRFNTSRIDHIYKDPHIEGDLTDSTNLIRIIQQVKPDEIYNLGAQSHVAVSFETPEYTANSDALGTLRILEAIRIEKHTKFYQASTSELYGLVQESPQTEKTPFYPRSPYAVAKLYSYWITINYREAYKMFACNGILFNHESPRRGETFV------TRKITRGLTRIEKGLEKYLYLGNIDAQRDWGHARDYIEMQWMMLQLDKPEDFVIATGRMETWNKGCENGIIWENNNEIGRRADNGEIVIKIDPRRPTEVQELLGDATKAKNILNWEPKITLEELVSEMVD-------------- 136366349 --KKKVLITGGTGFIGSHLAEFLSKKNFKVTVFDRYNPIYNLGNLKNSKYQGDIRDYDSTNKAVKNNDI--IIHLAALIGIPYSYHSPLAYIKTNLEGTYNILESVKNNKKKKLITTSTSEVYGSANYTPIDEKHTLKPQSPYSASKIASDNLALSYYNSFKTPVIILRPFNTFGPRQS---------ERAIIPTIINQILRSKNNTITLGNLYPKREFNYVEDICEAF-------------------------------------------------------------------------------------------------------- 143071257 MYTMKVLITGVAGLLGSRLADWIIENKVEVWGFDDLSGGYEENINSKVNF-LNITDSDYISDVFDDQKFDYVFHFAAYAAEGLSPFIRTYNYDNNLRATAGIVNECIKNNVKRLVFTSTLAVYGHGVGGRFDESQVPNPIDPYGVAKYGCEMDIQIAGEQHGLDWCIIRPHNVYG------VKQNIWDKYRNVLGIWMYQHLNGEPMTIFGDGTQTRAFSFIDDSLEPLWNS--------AVREEASKEIINLGGIEEISIKDASTIVREVIGEGNIVHLEPR--HEVKHSIPTFQKSIDLLGFEYKTSMKDGLTQMWEWVKEQP-------- 34499490 ---------------------MLVKQGYKVKALSQYNSGWLEDVDCLPEIEVDVRDPHYCKHITK--GIDTVYHLAALIAIPYSYVAPDSYVDTNVKGTLNICQAALDNGVSRVIHTSTSEVYGTAQYVPIDEKHPLQPQSPYSASKIGADAMAMSFYNAFDLPLTIARPFNTYGPRQS---------ARAVIPTIISQIANGKKQIKL-------GDVTPTRDFNYVEDTCRGFLALANCEQAIGETINI--GSNYEISVGDTLNLIRELMNSDVEFLTDEQRISEVFRLWCDNRKINQLTGFMPQHDIRSGLAKTIEWFT-NPVNLAK--- 93115464 MKMKKIYIAGHRGMVGSAIKRQLMMN-------------SSVELIFRSSHELDLMNQDAVQNFFNTERIDEVYLAAAVGGIYANNKYPADFIYNNILIQANVINSAHRAGVQKLLFLGSSCIYPKFAEQPIKEESSLEPTNPYAIAKITGIKLCESYNRQYGRDYRSVMPTNLYGPN-DNFHHENSHVLPALIRRFHEAKINNLPEVSVWGSGNALREFLHVDDMAAASIYIMNLPNERYKEYTKPECSHINVGSGKDYSIRQLAEMVAEITNYKGNIYFDSTKPDGTPRKLMSNERLSK-LGWSPKIELYDGVKNTYQWFLNNIENIRK--- 167769552 -RGRRVLVTGHTGFKGTWLCKWLDLLGARVLGFSLPPDGYSLYPSAGESVYGDVSDWQAFHAAYRAFDPEIVFHLAAQAIVGEAAKHPRETFLSNAMGAVNVLECMRASDTVRAAVIVTSDKVYENNETAFLEDARLGGDEPYAASKSCQELAAGAYRRIY----FAPRGVGVATARASNVYCGGDLHYDRLIPHLIRAALA--------GQPAQLRSPDAVRPWQYLPDLLRGYLTLAERLYKEPECFSQAWNFGPSSGELYTVGDIARMIG-GQAPLPGERRFSEAGLLRIDSEKSRRLLGWAPHTALPEGLRRTMAFYR----------- 136076351 -------------------------------VLDSLERGQAKALLGAELIVGSISDESLIEKTCRQHDVSAILHFAAYKSVSESMSSPGLYWQNNVAGTVHLAEGALAAGVQHMVFSSSASVYGSPEATPITESAEIRPENVYAESKATMERVLSWFGETHGLRSVSLRYFNAAGASLDGVIGENWSVTTNLVPLVMKAALGVSGPVQVFGNDYPTPDGTGIRDYIHVEDLARAHVAALDYLAAGGASTTLNLGTGVGSSVVDILVRTANEAGHEVPYEMVERR------------------------------------------------- 256831031 ---KKALITGITGQDGAYLAEFLIKKGYEVHGVKRFNTDRIDHLYEDPHVDGDLTDSTNLIRIIQEVQPDEIYNLAAQSHVQVSFDSPEYTANTDALGTLRVLEAIRIDKKTRFYQASTSELYGLVQEIPQTEKTPFYPRSPYACAKLYAYWITVNYREAYGI----------YGCN--GILFNHESPMRGETFVTRKITRALARIVLGLQDKVFLGNMNAKRDWGHAKDYVEMQWLMLQQDTPRAELGVHLEWRGEGVDEKAVISAVDEAVLRSHHVEVDPRRPTEVETLLGDPSQAKRELGWEPKISFEEMVKEMV--------------- 143516593 ----KFLVTGCFGFIGFNFINYIKDKGNDVFIIDSVESNCSEKNKEISKEKININDIDQ----ESFDKVDIIINFAAESHVDNSIYNPQLFIDTNVKGTAKVANYAIKNNIDKFIHISTDEVYGSNENDYSKENDRLNPSSPYSSSKAAAEMILNGYTKTYGYEFITVRPANNYG---------IFQQPEKLIPFSVANILSG-------NSIEIYGKGNNIRHWLHVDDTCSAIDHLIEK---GKINEVYNIGSGFYLSNIELAKKLLMILDKEDMIEFVEDRPGHDFRYAVNLDKISS-TGWKPISVFDEKLEETVNWYQNNENWWKNEYE 183219589 ---KKALITGITGQDGSYLAELLLQKGYEVHGIVRFNRNRIEQLHGNPNHYGDLTDSSNLNRILEKIQPSEIYNLAAQSHVQVSFEVPEYTAEVDAVGTLRILDAIKQTGISRFYQASTSELYGLVQEVPQTEKTPFYPRSPYAVAKLYAYWAVVNYREAYNLHASNGILFNHESPRRGETFV------TRKITLGVSAVKAGKLPFITMGNIDSKRDWGYAPDYVEMMWMMLQQDKPDDYVVATNETHTVREFIEESYKIANEIGKDKKTGQVLVKIDPKYYRPTEVELLIGNPEKAKRQLGWEPKVKFKELVKIMME-------------- 135045378 MTG-RVFITGAAGFIGFHLAKRMLCDGWQVIGFDGMTPYYSPKLKEARFEQGMLEEEGRLTDLVGSFDPDVIVHLAAQAGVRYSIDAPRSYVASNLIGSHEVLEAARACKPKHLMMASTSSAYGANTEMPYAETHRVHPMSFYAATKIANEAMAHSYAHLFDIPTTMFRFFTVYGT--------WGRPDMALFKFTKAILNGE--------PIDVYNHGNMQRDFTYVEDLVEGIVRSVDSLSPVAPFRVVNIGNGLPIALNEFIAAIEAATGREAQRNLMPMQPG----------------------------------------------- 144137972 --TQTVLITGSAGFIGYHTAHRYLSDGWRVIGLDAMTDYYEVALKEARQARLEVEDPELLEHVLAEYRPDVVIHLAAQAGVRFSIEEPESYMRSNIDGTFRLLEAVRHHPVGHLMIASTSSVYGANEEMPYRETDKDHPMSFYAATKKATEAMAHSYAHLYRIPVTMFRFFTVYGP----------WGRPDMALFKFTRAILEGQPIELYNRGDMRRDFTYVDDLVEGM-------------------------------------------------------------------------------------------------------- 135704678 IKMKKILITGGAGFIASHFAKKICNYKNLNIIIDNLNNYYDKNLKKSRIEKIDICNKKKINDLFKYNKFEYVFHFAAQAGVRYSIENPLIYYENNIEGFFNILDSCRIYKVKSLFFASSSSVYGDQKKFPIKENNSSNPKSFYAATKKCNEIIARAYSNIYNMRIIGFRFFTVYGS----------FGRPDMTPYIFLEKNRKKKTINIFNKGI------HERDFTHITDVVNF--------------------------------------------------------------------------------------------------- 219848975 MASRRALITGITGQDGSYLAEFLLAKGYEVIGVRRSSTVNFERIKHIQDVTGDLLDEVSLIHVLREHRPHEVYNLAAQSFVQTSWPQPVFTGETTALGVTRLLDAVRIVDPIRFYQASSSEMFGKVVEVPQKETTPFYPRSPYGVAKVYGHWITVNYRESYGMHATSGILFNHESPRRGLEFV------------TRKISHGVARIKLGLDKELRLGNLEARRDWGFAGDYVEAMWLMLQ----QDQPEDYVIATGETHSVREFCELAFGCVGLDYRIDQRFMRPAEVDLLIGDASKARQKLGWKPRTSFPELVQMMVE-------------- 158522476 ---KNILVTGGAGYLGTVLCEKLLDKGFTVLCLDRLCFGHMKNNARFSLVQADILEMNAHSNIFT--DIEAIIHLAGLSDDVSADLDRDLTRAVNYEASLNLARMAKNCGVKKFLFASTCSVYGANGDTMVNETSPLQPLSWYARLKADTERDVLALAGSGFFPAAL---------RLATLYGVSPRMRFDLAVNRMALHACATNAVSVFGEGL------QWRPFLHVSDAADAFVHCLGLPDDTLSGRTYNLGTTAENYRILDVARLIQKHTQDARINMVPGTP-DRRSYRVDFSDFAQ-LGWHAEHTIEKSL------------------- 140072818 -------------------------------------------------------------------------HLAGLKSVEESEMYPEKYYENNIIGTISILKSMDKAKTKNIIFSSSATVYGDGNKNKINENTPASYKNSYGLTKLVSEELIKNFCLRKKLKTVVLRYFNPVGSHKSFLIGDDPLKPRNLMPILNKSAFTKEKKIFIYGNDYKTRDGTAVRDYIHITDLASSHIECLKIFNKIENFEILNVGTGKPYSVLEIVKCYEKVNNIKFNIIYKKRRKGDAPVLFADNSKILKKTRWRPKYDIQEMCISAYKF------------- 219852610 -------VTGGAGFIGSHIVDALVAQGDRVLVIDDLSTGDRTNLAAAERSQVEILTADLLADGWQQAGADRVFHIAADPDVRGGASSPDAMFRYSVVMTERVLEAMREQHVSNLIFTSTSTVYGEATVIPTPESTPMEPISVYGAGKLASEAIISAYCHSFQMKAWVFRFANVIGARSNHGILWDFMHKLKQNPT----------------DLEILGDGTQTKSYIEVHACVEAILYVLAHTDQTVNTYNIGSEDWIDVTIADLVVAAMELPGVTYHYTGGKRWVGDVPKMQLAVDRLKT-LGWTPTINSRESME------------------ 135187466 --GMKVLVTGGAGYIGSHTVLDLLEDGHDVTVVDDLSNSSPIALERAGLVVADVTDRAALAAAFEGRGIEAVIHFAGLKSVGESSESPLDYWRVNVGGTITLLGVMVDHGVFDLVFSSSATVYGRPEHVPVTEDAPIGEVNPYGRTKLVIEQLLEDVQAADDWSIARLRYFNPVGAHESGRIGEAPQDTPNNLMPFITQVGVRRQPY------------------------------------------------------------------------------------------------------------------------------ 254461039 ----------------------MLSDGFDVIGLDAMTDYYDVTLKKRRHALLETHERGTLEDLFATHKPAMVVHLAAQAGVRYSIDAPRSYVESNISGTFELLEAARNHTPKHLLIASTSSVYGANTEMPYREIHKVDTMSFYAATKKATEAMAQTYAHLYEIPTTMFRFFTVYGP--------WGRPDMALFKFTDAIQNNRA--------IDVYNHGDMLRDFTYVTDLVDAVRRLMDAIPGKAPWRAVNIGNGSPTKLTDFIEALEEVLGKAAQKNMLDIQPGDMPATWADASLLNDLIGPVNKTDLKDGVANFVNWY------------ 81300151 MTRKRALITGVTGQDGAYLAEFLLDKGYEVHGIKRFNTDRIDHLYEDPHVDGDLTDSTNLIRIVQQIQPHEIYNLGAQSHVQVSFDSPEYTANTDGLGTLRILEAIRIEQQTRFYQASTSELYGLVQEIPQTETTPFYPRSPYAVAKLYAYWITVNYREAYGIYACNGILFNHESP-----LRGETFVTRKITRALARIKLGLQD-CLYLGNLDAKRDWGHARDYVEMQWLMLQQEQPDDYVIATG--VQFSVRQFVEIAAAEVGLTIAWATGQAI-VAIDPRRPTEVETLLGDPSKAKRQLGWEPRISFEQLVSEMMR-------------- 141726354 --------------------------------------------------RINLEDYESVKNIFKKEKIDVVVNLAAQAGVRYSLENPVSYVNSNILGFTHLLEECRYNNIKHLVYASTSSVYGLNTKMPYSVKDPNHPVSFYAATKKANELMAHTYSHLFKLPTTGLRFFTVYGP----------WGRPDMALFKFTNSILKKERITIFNNGKHKRDFTYIDDIVHVIDNPKWSGNDPEANTSTAPWRIFNIGNNKKTDLMDYISEIEKELEMNADKEFLPLQLGDVPDTHADVEELISEFNYKPSTSIRDGIKEFIKWYKIYYR------- 149278274 -KSAKIYVAGHRGMVGSAIYRKLVSAGFDNII-------------TRSSAELDLRNQQNVADFFEAEQPDYVFLAAAVGGIVANNTYRADFLYENLSIQNNVIHQAYKTGVKKLMFLGSSCIYPKLAPQPLKEEALLETNEPYAIAKIAGIKMCDAYRAQYGCNFISVMPTNLYGYN-----DNYHPQNSHVLPALIRKFHEAKESNATEVNIWGSG--TPMREFLFADDLAEACYFLMQHYDEPG---FLNIGTGEDLTIKELALLIKKVVGFEGELTFDSSKPDGTPRKLMDVSKLHA-LGWKHQVQLEEGIGLAYQDFLNKVNSLS---- 134534467 ----KILVTGSAGFIGSMLSIKLLERGEEVIGLDNHNDYYDPKIKDARHYKMDITDQADLTKVFKKHSPDKVVNLAAQAGVRYSIENPMAYINSNIVGFANILENCCQYKVKHLVYASTSSVYGANTKMPFSEHDSNHPLSVYAASKKSNELMSHAYSYLYNLPTTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 136241562 ----TILVTGSAGFIGFHLCRKLIELNKKVIGLDNFNSYYDVNLKESRQSNLDICNAVELQEVFEKYNPEYVVHLAAQPGVRYSLDNPNSYISNNLVGFGNILENCKKYKIKHLIFASSSAVYGGNINTPFKENHVDHPLNLYAATKKSNELMAHVYSHLYELPCTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 238928049 -KNAKIYVAGHRGMVGSAICRELERQGYIHVI-------------TRTHAQLDLCRQDAVEAFFAEEKPEYVFLAAAVGGIQANAEAPADFMYQNMMLEMNVIHAAWRNGCRKLEFLGSSCIYPRLAPQPMKEDCLLQTNEAYALAKIAGLKYCACLNKQYGADYISVMPTNLYGPN-----DNYHPEHSHVIPALIRRFHEAKETHAASVTCW--GDGSPLREFLYVDDLANLCVFLMNHYS---GDETVNAGTGKELSIHALAEMVARVVGYHGEILWDRAKPNGTPRKLLDVSKAER-LGWHYKTELEEGIRLAYQDFLAHP-------- 116250597 --SKVALITGVTGQDGSYLAEFLLEKGYTVHGIKRFNTQRVDHIYQDPHHYGDLTDTSNLIRIMQETQPDEVYNLGAQSHVAVSFESPEYTGDTDALGTLRLLEAIRFEKKTRFYQASTSELYGLVQETPQKETTPFYPRSPYAVAKLYAYWITINYRESYGLYACNGILFNHESPRRGETFV------TRKITRGLSNIAQGLEDCLYMGNLDALRDWGHAKDYVRMQWMMLQQDQPRDFVIASGKQYSVRQFIQWGITLRFEGEIVETVTGDKAHVQIDPRRPAEVETLLGDPTKARELLGWVPEISAREMCREMV--------------- 295107852 -KGKKVLVTGHTGFKGAWLCRILLGLGAEVTGYDPELFGLLDLEKNMNSVKGDIRDLSRLSGVLKETQPEIVLHLAAQPIVRESYANPVYTYETNVMGTVNILEAVRNGSVVSFLNVTTDKVYKNNEWEWYRETDELDGYDPYSNSKSCSELVTHSYEKAF----LKEAGIAVSTARAGNVIGGGDFAADRIIPDCVRAMAD--------GREIAVRNPHSTRPYQHVLEPLGAYLMIAYQDSSKASSYNVGPGDEDCITTGELADLFCEAWGGAAWKNLYQGGPHEANFLKLDCSRIRSTFGWRPHWHVKDAVRHTVQWYQAWLEG------ 138784708 LMNNNILVTGGAGYIGSHVVEILVKKNKNIFIIDNLSTGYKKLIKKAKFFKYNILDTKKIREIIIENNIDSIIHLAANLIIGEGEKQPKKYFKNNVEGTQSILDSIKGTKVKNFLFSSTAAVYKDG-MYRVTENSPIKPKSVYGKTKLKAENLIKSNCKKLRINYGILRYFNIVGASPSGKYGLINISD-HLFKNFSTQIIKKNPVLKIYGTTYDTKDGSCIRDFIHVSDIAEIHEKVLNKIDKAKKS------------------------------------------------------------------------------------- 156740389 MTPSTFLITGGAGFLGINLVRYLLERGHPVVSLDIADFNYPERN-RVRAIKGDIRDRSSVDRAMEGVQI--VVHAAAA----LPLYKKEDIFSTDLDGTRNVLQSALEHGVERVIHISSTAVYGIPDHHPLYEDDPLHGVGPYGEAKVKAEQICLEY-RAKGMCVPIIRPKSFVGPERLGVFALLYDWDGKNFPMIGSGNNRYQLLDVEDLCEAIYRCATLDRDRV--NDTFNIGAKEFTTMREDYQAVLDAAGFGKKIPVIWTLRILEALRLSPLYKWVYETAATD---SFVSIEKAERVLGFTPKYSNKEALVRNYRWYIEHVQEFE---- 307352237 -------VTGGAGFIGSHIVDALVKKGDRVLVIDNLSAGATTNIMKAEFLQADLLDDGWQERISGAGR---VFHLAADPDVRASAISPARVFDNNVLATERVLDAMRVHGAKEFVFTSTSTVYGEAGVIPTPENYSPMPISIYGASKLACEAMIAGYAHTYGMKSWVFRFANIIGERSGGVIWD-----------FVHKLIDNPEELEILGDGKQIKSYLSVGACIEAVLFAIENSDEAFNFFNIGSEDWIDVTALAEIVVEEM-----DLSGVRFTYTGGDRWVGDVPKMQLGVDKLKT-LGWKPETGSVESVRLAVK-------------- 239993220 ---KKVFVAGHRGMVGAAIVRNLEQRNDIEIVTRTRS-------------ELNLIEQKEVSDFFAENNIDEVYLAAAVGGIHANNTYPAEFIYENLMIEANIIHAAHKNNVQKLLFLGSSCIYPKLAEQPMTESTLEETNEPYAVAKIAGIKLCESYNRQYGRDYRSVMPTNLYGPHDNFHPENSHVIPALLRRFHEAAQRGD-------SEVVAWGSGNPMREFLHVDDMAAIHVMELDDATYQANTQHINVGTGEDCTIRELVETVAKVTDFKGKIVWDTTKPDGAPRKLMNVSRLH-DLGWKHTYDLESGLKNAFEWFLANQDNF----- 136575875 MMPSTILVTGSAGFIGQALSLRLLARGDQVIGLDNLNDYYDVNLKKARLEKLSLEDAAAVTEIFRKHKPQRVVNLAAQAGVRYSLENPQAYISSNIQGFVNILEACRHHAVEHLVYASSSSVYGANTHMPFSVHHNDHPVSLYAATKKANELMAHTYSHLFGLPTTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 136505981 ---KTCLITGGTGFIGSALTRLMLEKGYLVRVIDDNSRGNFRRLTDVDVRIGDIRDQSLVKSALK--GTDIVIHLAYINGTINFYERPREVLDVAILGIQNILAGMEIHEVPELYLASSSEVYPTPEDVPLTVPDPFNPRYSYGLGKIVQE-FLSIHAGGFLKNLAIFRPHNIYGPDMG--------------FQHVIPEICANISQEKNGKVTLKGDGLQTRSFCHISDFVNGFELILESKLGR---EMFNIGTREEITISHLAEVISVSLGREIIFSSSVAPLGETNRRVPDIAKLEK-LGFRQTVAIKDGVKEYCDWYL----------- 302669523 ---KKALIFGMDGFVGKYMAEELIQNGYEVYACSRF--GNHDHPYDG-WYSCDLNSTDQVKNVIAEVGPTHVINLAGQSNVGISWRIPKLTIETNVCGAINIIEAVHECDLNISILMIGSSEEYGVSDTDLSEDSLLNASNPYGISKMMLERFCEIYRKRYGMKLHYVRPFNHTGVGQN---------DNFVIPSWCKQVAAISKSGKPG--CMFVGNLDIVRDFSNVKDIVRAYRLIIESDDSE---IVYNVGSGNCIPLKEILNHVISLCDQEITVQVDPKRPIENPYICCNASLLRKRLGWEPQYDIRRTVEEIYGYFIE---------- 141897823 -----ILITGAAGFIGFNLAKKLIENGKKIIGLDNFNSYYDPKLKEARYEKLDLENNEKLENIFSNHNITTVINLAAQAGVRYSIKNPRAYIQSNLVGFGNILECCRKYQIKHLIYASSSSVYGGNTNMPFSENDVDHPISLYAASKRSNELMAHTYSHLYQLPTTGLRFFTVYGP----------WGRPDMALFLFVKAILEDKPINVFNNGEMIRDFTYIDD------------------------------------------------------------------------------------------------------------- 142314764 ----KVLITGVAGLLGSRLADWIIENKVEVWGFDDLSGGYEENINPKVNF-LNITDGDYISDVFDDQKFDYVFHFAAYAAEGLSPFIRTYNYDNNLRATAGIVNECIKNNVKRLVFTSTLAVYGHQDGNMFDEVQVPKPIDPYGVAKYGCEMDIKIAGEQHGLDWCIIRPHNVYG------IKQNIWDKYRNVLGIWMFQYLNGEKLTIFGDGLQTRAFSYIDDSLEPLWNSATNKFASKQIINLGG--------IKEVSIINACNTLIKIMG-GGEISFEEER-HEVKHSIPTWEKSVELLGFEHKTDLEEGLTKMWEWAKQQP-------- 117927659 -------IAGHRGLVGSAVWRHFAASGFT-------------TLIGRTSAELDLRDRAATFAFFEEVRPRYVVLAAAVGGILANATEPVEFLSDNLRIQVNVLDAALATGVERLLFLGSSCIYPKHAPQPIPEEAPLEPTNEAYAAKIAGVLQVQAARREYGVRWISAMPTNLYGPGDNFDLRTAHVLPALIRRFHEAVQSGAE-------EVVLWGTGTPRREFLHVDDLARACELLLDCYD---DPLPINVGVGEDISIAELAELIAEIVGYSGKIRFDPSKPDGTPRKLLDVRRI-TALGFRPRIGLAEGIRATYAWYRE---------- 193215765 ---KKALITGVTGQDGSYLAEFLLEKGYEVHGIKRFNTQRVDHIYQDLHEEGDLTDTSNLTRILQEVQPDEVYNLGAQSHVAVSFESPEYTADVDALGTLRLLEAIRFENKTRFYQASTSELYGLVQEIPQKETTPFYPRSPYAVAKLYAYWITVNYRESYNMYACNGILFNHESPRRGETFV------TRKITRGLTNIAQGLEKCLYMGNLASLRDWGHAKDYVRMQWMMLQQDTPEDFVIATGYQYSVRQFIEWGIALRDEIGVIDAITGEDAPVRVDPRRPAEVETLLGDPTKAKQQLGWTPKITAQEMCAEMVA-------------- 24212935 ----KCLITGAGGFVGSYLLKELKRSYTDFLGIGIQSTEDLELPKSYRSVVCDIRNLNQVCSIIHEFSPDVIFHLAAQPFVPKAVEDPGETLEINIHGTLNLLESLRSKKKVRFVYISSSDVYGNVPESPVTESVVPAPLNPYSSSKYCAEIYCLQYHRWQDLEIVIARPFNHTGPKQGLNFVI---------PNFCSQVLKALKRPESERKILV-GDLSSSRDFLDVRDVVCAYRILAER---GKPGEIYNICSGKEVIIRDVLDGIISTSGQKIPVEVDSFRPAEMRRLFGDNQKLRQ-LGWEPRFELLDTIQDIYN-------------- 310762353 ---KRALITGVTGQDGAYLAEFLLAKGYEVHGIKRFNTARIDHLYQDPHHHGDMTDSCSLIRIVQSVQPDEIYNLAAQSHVGVSFEEPEYTANADGLGTLRLLEAIRIENKARFYQASTSELYGLVQETPQKETTPFYPRSPYAAAKLYAYWISVNYREAYGMYACNGILFN------------HESPKRGETFVTRKITRGLARIVLGLQECLYLGNLSALRDWGHARDYVEMQWLMLQ----QDTPEDYVIATGMQYSVREFINTAARELGILLAWEVDPRRPTEVETLLGDPTKAREKLGWSPRTSFAQLVKEMVE-------------- 136127610 MKDKKILVTGCAGFIGMHLSSALLDRNYHVIGVDNLNHYYDIKLKKDRFIKLDISNKNDLEEIFKHNNIDKVVNLAAQAGVRYSLENPHSYIESNVLGFMNVLECCRHHKIKGLIYASSSSVYGGNKKIPFSVEHIVDPISIYAASKKSNELMAHAYNHLFGLRSTGLRFFTVYGP----------WGRPDMAMYIFAKKINNGEPIPVFNNGNMKRDFTY---------------------------------------------------------------------------------------------------------------- 259414774 ---KKALITGVTGQDGSYLAEFLLEKGYEVHGIKRFNTQRVDHIYQDPHVDGDLTDSSNLTRIIQDVQPDEVYNLGAQSHVAVSFESPEYTADVDAMGTLRLLEAIRFEKKTRFYQASTSELYGLVQETPQRETTPFYPRSPYAVAKLYSYWITVNYREAYGMYACNGILFNHESPRRGETFV------TRKITRGLANIAQGLEPCLYMGNIDALRDWGHAKDYVRMQWMMLQQEMAEDFVIATGKQYSVRQFIGSGIEEIATVVAIEALKPGSVIMRIDPRRPAEVETLLGDPSKAKENLEWVPEITAQEMCAEMVN-------------- 55821362 ----------------------------------------------------------------------------------------------------------------------------------------------------MMETIMKWSDQAYGIKYVPLRYFNVAGAKPDGSIGEAHGPETHLLPIILQVAQGVREKIIIFGDDYNTPDGTNVRDYVHPFDLADAHLLAVEYLRKGNESTAFNLGSSTGFSNLQILEAARKVTGKEIPAEKAGRRPGDPDTLIASSEKARTVLGWKPQFDIEKIIESAWAWHSSHPKGYDDR-- 143963949 MSDKKIFIAGHRGMVGSAVLNHLKESGYKNII-------------TKTRQELDLTDSSSVKKFFNEESIDVVLLCAAVGGILANNTFRGDFIYDNIQIASNIVKYSHDSGVKKLINLGSSCIYPRNARIPIKEEELEYTNEPYAIAKIAALKMCESFYRQYGDNFYSIMPCNLYGPRDNFDLKSSHVLPALINKIHCA-------KEKELPSVQVWGSGKPLREFLYVEDLAKAITHCLKEINSKDGISHLNCGSHNEVSIKELAVIIKNIIKYKGELSFDHSKPDGTFRKKMDNSRMES-MGFTPDTSLEIGIEKTYNWFINNKK------- 143167782 MDKKTALVLGAGGFIGSHMVKRLRSEGYWVRGVD-IKYPDFSMSAADDFVQGDLREVGLVAQVLEIYQFAADMGGAGYIFTDEHIMHNSATINLNVLNEQVQLNRLLGTNKTKIFYSSSACMYPDPDNPDCRENSAYNPDSEYGWEKLFSERLYFAYARNYDLDVSVARYHNIFGPEGTWDGGKEKAPAAICRKVASLPETG--------GTIEVWGDGLQTRSFLYIDECIEATRRLIDSDFE----GPVNIGSEEMVTINELVETAAKVSGKVVRKAHKLDAPLGVRGRNSNNDLIREKLGWDYSQTLEEGIAETYAW------------- 300770506 --NSKIYIAGHRGMVGSAIERVLRARGYTNII-------------GKTSSELDLRDQQQVGDFFEKENPQVVIDAAAVGGILANSTYPYQFLIENLQIQNNLIDFAVKGNVEKFIFLGSSCIYPKFAAQPLTEDDTLEPTNEAYAAKIAGVKACEAVRKQYGKDFVSLMPTNLYGVNDNFDLNSSHVLPAMIRKFHEAKISGDQP-------VILWGSGTPLREFLFVDDLAEATIFALENTLPE---HLYNVGTGEDLSIRDLAITIQEIVGHKGEILWDTEKPDGTPRKLMDVSKMHA-LGWKHRVELKAGIQTTYQWFLANTDRYKE--- 142758441 ----KVLITGSEGFIGSHLVEELIKSGYKVRVLYNLNNLEKEILNNCEVIFGDIRDANCVKNAVRGM--DVIIHLAALIGIPYSYTASESYIDTNIKGTLNLLNAAKGSNINKFIHTSTSEVFGSAQYLPMNENHPINCQSPYAASKAGADSLVMSYKKSFDLPVTILRPFNSFGPRQSL---------RAVIPTIISQTLFNHGKISL-------GNIKTRRDYTYVKDTTRAFKLAIRNFKCIGQSINI--GNNFDISILEIVKLVEKITGIKIKIEIDSKRV------------------------------------------------ 142163264 ---------------------------------------------------------------MIDNKVDSVIHFAARKQVGESVQLPEFYYQSNVGGLANLLIAMREAGVKKMVFSSSAAVYGMPAVEKVSEQTPTGPINPYGETKLIGEWMIQNA-AIWGLSAISLRYFNVAGSG----WPDLADRQALNLIPIIFDRISNGRFVEVFGDDYETADGSCIRDYIHVLDLARAHTLAMEQLSEPG-NQIFNVGTGHGSSVFEVIAEIKRVSGLDFEVKISDRRAGDPPKLVAAADKISQQFNWKAEFGLSEIVSSAWE-------------- 143667545 MMSKVALITGITGQDGSYLAELLLEKGYEVHGIVRINTHRIDHIYNDLHHYGDLTDATNIIGVIKKVEPDEIYNLGAQSHVKVSFETPEYTGQVDGLGTLRVLEAVRMEKKTRIYQASTSELYGLVQATPQTETTPFYPRSPYGVAKLYGYWIVKNYRESYGMHCSSGILFNHESPRRGETFVTRKITRGLSKISTGNQHEL------ILGNLNARRDWGHAKDFVRAMWLMLQQDEPDDYVIATGKQYSVKDFIREAAPYFGFSIDFRWANGGEDIIKTDERRPAEVESLLGDPSKAKEKLGWEPTISFNELVEDM---------------- 27381118 -------VTGANGFIGRHLVRELAGVGRTVHGVGHLDPAEARALGLQTWINGEV-DAANLSALAATHGPSQIFHLAGGSSVGLSIARPFEDFSRTVTSTARLLEWLRSFAPERLIVASSAAVYGADHAGPIAESAALAPMSPYGHHKLMMEQLCRSYAQSFGLHCTVVRLFSVYGPNLR----------KQLLWDICSRLSSEERSLNLGGTGAEIRDWTDVRDVVRLLVCAAE-------EVQQEDFRLINGGSGRGTSVAEIAGGLIRNWGSSTVVRYSGARPGDPASLLADDGRLRDMFDW--RLPLERGLADYVEWFRSQARA------ 154151303 MADKKALITGITGQDGSYLADFLLDRGYEVHGIIRRSSSFNRQRLEHLYIDGDLSDAEALSHIIYNIRPDEVYNLGAQSHVRVSFDMPEYTTNVTALGVTRLLEIVRRSNHNVRIYQASSSEMFGSATPPQGEETPFCPRSPYACSKLYAYWMVKNYREGYKLFASNGILFNHESPRRGETFV------TRKITYSIARLLTKKDRHLYLGNLESKRDWGYAPEYVEAMWKMLQQESADDFVIGTGESHSVQEFLDQAFAYAD--------LDVGEYVKIDPFRPTEVEVLIADTKKSEKKLGWKPKIKFNELVKIMID-------------- 139482489 MMSKKVLVTGGAGFIGSNLVDKLIKLNYNVTVIDNKSANSNATFYWNDNYVYDICDYKSIRSLFDGVEC--VFHLAAEARIQPTLENPILAAQTNTVGTCTVLQCAKEAGVERVIYSSTSSAYGKNKNLPLLESEPNNCLNPYSVTKTSGEELCKMYYNLYGLKTIIFRYFNVYGPR------------------------------------------------------------------------------------------------------------------------------------------------------------ 136764361 ----KILITGGAGFIGSQLGLLLVKEGDDVQLLDNMSDGYIDNILGAPFAKIKIKDVRDPELRDEIQGVETIFHFAGTSSLPKCQANPAQAYDNNVNGLINVLEWGRKCKVKRVIFSSTSATYENNTKVPFHESDIVSPNLVYSASKLAGEDICKAYAATYNMDIIIARFFNVYGEHQD------------IHRTMPPFISYLAKESFLDRQPVIYNQSDAVRDYIYVEDVVRAC-------------------------------------------------------------------------------------------------- 84515103 ----RIYIAGHTGMVGSAILRHLA-----------ITGGHD--LITADRQQLDLTDQRAVRDFMQAQRPDAVVLAAAVGGIMANATYPADFIHDNLLIAANVIHAAHSAGVRRLLQLGSSCIYPRDAPQPITEDALLEPTNPYAIAKIAAIKLCESYNRQHGTDYRSIMPTNLYGPG-DNFHPDNAHVLPALLARFHDAVQSGADHVTIWGSGTPRREFLHVDDLAAAAVFVMGLDATILATETQPMQSHLNIGWGTDISIIDLARMIADVTGFTGQIVCDTTKPDGTPRKLLDCARMQR-LGWVPKISLQQGLHTTYGWVLR---------- 256831014 -KTDKILVAGAAGMVGSALVRALLAHGFENI----LGTIHRKAPDFGPEEPLDLMDQASVHAFFERERPDHVFLAAAVGGIHANSTYPADFIHANLAIQTGAIHSAYQAGAQRLLFLGSSCIYPRDCPQPIRETGPLEATNPYAVAKIAGIEMCWAYNRQYGTRYVAVMPTNLYGPGDNYDLQTSHVLPALIRKAHEAKTRGDR-------SFTVWGTGTPRREFLYSDDLANACVYLMESLFNDQEPPLVNIGCGEDVTIAELAGMVAEAVGFEGEIVFDAGKPDGTPQKLLDVSRL-NGMGWRQGVALPEGIGLTY--------------- 138321971 --NKNIIVTGGMGFIGSNLVNLLLKKNFKVINIDKITYSSNEKNKNYRFIKSDIGNSTKIKKILLKYKPITIFNLAAETHVDRSIDKPKNFIDSNIISVFNFIKYYEKEKKTKLIHISTDEVFGDVLKGRSKENDPYKPSSPYAASKAASDHLVYSYYRTFNLPIIITNCSNNYGPN--------QHPEKLIPKLIYNILNNLPLP--------IYGNGKNSREWIHVQD----HCKALFKVFKKGKGQTYNIGSNQNLDNITIAKILLKISQNKIHIKFIKDRPGHDKRYALNSNKI----------------------------------- 135745978 FKLKKIVITGAAGFIGSNLVDRLINEGFFVYGIDNLITGSLDNLTHNSNKNFDFINHDVTKYIDIKDKINYVFHFASPASPIDYLEYPIQTLKANAIGGHNALGLAKKNNA-KFILASTSEVYGDPLEHPQKESNPIGPRSIYDEAKRFIESMTISYHIYHKLRVSIVRIFNTYGPRM--------KIDDGRVLPTLIKQAASNKALTINGD------GTQTRSFCYIDDLVNGIIHLM----NSEYSYPVNIGNDDEVTINEIASILISIFNSESKIIYKNLPEDDP--------------------------------------------- 260171249 -RGKRVLVTGHTGFKGSWLSIWLHELGAEVIGVKDPATDKDNYVLSGIDLRADVRDSQRMKDIFQEYQPEIVFHLAAQPLVRLSYEIPVETYETNVMGTINILEAIRITDSVKVGVMITTDKCYENKEQLWRENEPMGGYDPYSSSKGAAEIAIASWRRFFNPEQYEKHGKSIASVRAGNVIGGGDWALDRIIPDCIRALESNKP--------IEIRSPKAIRPWQHVLEPLNGYMLLASKMWNEPTEYCEGWNFGNIISVWEVGTRILENYGKGELKDLDSNALHEAELLMLDISKAKFRLGWEPRMDIEQCIQLVIDWYRCY--------- 304438195 -KGKRVLLTGHTGFKGMWLTLLLLKAGAEVTGLDAPTEGGREILKEIRDVRGDVRDLAALSRAFHETRPEVVFHLAAQPIVRESYRQPVDTFAANVMGTVNVLECIRTSDTVRSALIVTTDKVYENKEWAWRENEPLMGYDPYSASKSCAEIAVYSYRQSF----LAAQDVRISTARAGNVIGGGDFAPDRIIPDCIRA--------AVRGQTIDIRNPHSTRPYQHVLEPLSVYLTIAARQTECAGAWNVGPDDADCVTTGELADIFCRTWGAGVRWEAQADGPHEAHFLKLDCSKIKSGLGWKPRWHIVEAVEKTVEWAKAWEQG------ 142478610 ----NVMVTGGCGFIASNFLNLMKERYPHSINVDKLDYSNVDNVKPGTFVQGNVGNRELIEHLISIYKFDAIFHFAAQSHVDNSFNDALSFTMDNTHATHVLVEACRKHIPNVFIHFSTDEVYGEKTDVPFTEDEVLRPTNPYSASKAAAEMIVRSYIESFGMNIKVIRCNNVYGPN--------QYPEKLIPKFKRLLKQGEKC------TIHGSRSATVKRAFMHVEDVVNAVEIV---WKNGTPGDVYNIASDDELTVMEIIETIRNTQEYDQWIEYVEDRPFNDQRYYICANKLKS-LGWKQQKTREDLV------------------- 136308999 ---KKALVTGITGQDGSYLAELLLEKGYEVHGIVRFNTARLEHLYKDPLVDGDLADAVQLVKLLYDLQPDEIYNLGAQSHVRVSFDIPEYTGDVTALGAVRILEAVREVEKVRFYQASSSEMFGKVQAVPQTESTPFWPRSPYACAKVCAHWLTVNYRESYAMHASSGILFNHESPRRGETFV------TRKITRAATRIKLGLQKKLILGNLDSKRDWGYAKEYVEAMWLMLQQDKPDDYVIATNQTHTVREFLEETFACLDM--DYEEFVGFDKKYE----RPAEVDLLIGDPTKAREQLGWEPKTTFKELVALMVR-------------- 118595022 -KNAKIYVAGHRGLVGGALTRQLRKQGYTNI-------------LARTHAELDLTNQLAVQTFFKKEKPEYVFLAAAVGGIHANKTYPAEFIFSNLAIQTNVIHESYVNGVKRVIFLGSSCIYPRDCPQPIKEEYLLTGPLEYALAKIAGVEMCGSYNRQYGTQNIAVMPTNLYGPGDNYDIEDNHVMPALIRK-FHEAKTNNHPRVVIWGTGSPRREFLYSEDLAEACVYIAQLPSVRFENLLKASPPLINVGYGEDLTIKDLALTIQKIIGFKGEIDFDVNRPNGTPRKIMDSTTINQ-LGWSPKVSLTDGIKMAYQDFLKNKN------- 119358378 ---QKIYIAGHRGMVGSAIVRNLLAGG--------LSS---DNLLFRTHVELDLTCQAAVRSFFEKEMPDQVYLAAAVGGIHANNTYPAEFIYQNLMIEANIIHEAWRAGVKKLLFLGSSCIYPKMVPQPMSETGPLEPTNPYAIAKIAGIKLCESYNRQYG-ESHGTDYRSVMPTNLYGPGDNYHPENSHVIPALIRRFHEAKLSMECTAYFPIWGTGTPRREFLNVDDMAAVHVMNLDKAVYEANTQHINVGCGEDITIKELAETIAKVVGYTGNIDFDPSKPDGTPRKLMDSTRLNK-LGWRPKIDLVSGLRVAYDDFLK---------- 89890419 --NKT-LITGVAGNVGSALAHYLLAKGNQVVGVDNLSTGNISKLPEDKFIKLDVNKYEELAEVMLSHKFHYVFHYAAMVGVQRTLDNPIKVLQ-DIDGIKNILRLSKNTGVKKVAYSSSSEVYGEPVSLPQIEDTPLNSKLPYAVVKNLGEAYFRSYHQEYGLDYTIFRFFNTYGP---------QQSKDFVIPKLLHQALHNED-------ITIYGDGLQSRTFCYIDDNIEASYRI--FSDDSLKNEVFNIGSDLVYNIKELVDVILKVTGSNSQIIHLPAEEGDMTRRQPDNSKMKAVLN-RELIPLENGIVKLME-HLK---------- 118593125 ----TVLVTGGLGFIGRSLAHELRMRGKTVRILDNQLRGNAKYLEAAEEVLGDIREREVVKK--AVEGCSEIYHLAAINGTKNFYNIPRQVLEVGVIGTHLLLDESISQGIKKFLFMSSSEVYQTPKVVPTDESDAVNPRYSYGGSKIAGELMTMNYCRDAMEKAIVVRPHNVYGPNM-GFDHVVPELAMKILQARKANPQAKTVDVPLEGNGAASRSFVFIDDFV---------NGTLLAMDKGEHLHTYHVGTDQEISILDLAKRIAKALEIDTNFVPSGLPSGGTMRRCPDISRLRS-LGYEPQVELDRGLEMALN-------------- 143142573 ----KIFVAGHKGLVGSAIVRILREKGY-------------ENVFYIPHRDLDLRDEERTLEFFKKTKPDYIFLAAACGGIKDNISHPVEFLEDNLKIQTSVISAAYKIKVKKLLFLGSACIYPRECKQPIHESEYLEPTNEYSLAKIAGIKLCQAYNKQYGTKFICAQPSNVYGPR-DNFNPETSHVIAGLINRLHLAKVGKAESIECWGT------GSARREFIYVEDLADALIFLM---NNYDDSEIVNVGVGRDVSIKELVAHIVDVVGYEGKVKWDASKPEGMPQRLLDTTKL-NDLGWSSKTNLDHGLHMTYEY------------- 134527690 ---KKILITGAAGFIGSHLTERCVEEGFEVIAFDRYNSENHWGWLENSKHKGDIRDFDSVSNSMQNV--DCIFHLAALIGIPYSYNSPLAYIKTNIEGTYNVLQSAREKSVENILITSTSETYGTAQKIPMDEDHPKVGQSPYSATKIAADQLAISFHKSFDLPIKIVRPFNTYGPRQSS--------------------------------------------------------------------------------------------------------------------------------------------------------- 144014451 MSKETILITGVAGLLGSRLAERINYPDTKIVGIDNLSGGFRENVHKDIFYETDLV-SENIQGIFSAHQPDYVYHFAAYAAEGLSPFIRTYNYNNNIVATAKIVNECIRANVKRLVFTSSMAVYGHGWEGPFDEGDIPKPIDPYGIAKYACEMDIQVAGEQHGLDWCIIRPHNVYG------IGQNIWDKYRNVLGIWMYQYMNGQAMTIFGDGTQTRAFSNIEDCLEPLYKASKIKEASKQIINLGGIKF--------HSINESNAILRDVIKNGKIEHVEGR--HEVKDAYPTWAKSQEILGYEYTVELNEGLSEMWEWAQKQPK------- 137746566 -------------------------------------------------IEADIASVDSISKVFSSFKPNNVIHLAAQAGIRRALTDPYSYGQSNLIGFLNVIEASAKQGVEHFVFASTSSVYGSNATTPFSEHSATHPVSLYSATKIANESIAHSYSATHGLACTGLRFFTVYGP----------WGRPDMAPVKFSKSILLGQPIQIYNNGNHSRDFTYIDDIVRGVINVLKVPPSLNLHYDAHNPRVFNIGGESPIALMDFVEKLEAALNRKATIEFVTRQIGDMENTSADCSALREATGWSAEFNLDQGLAVMAKWCEEN--------- 136295485 -----------------------------------------------------------------------IIHLAAFKSAGESMINPSVYTQNNIEGTLRLIDVMLSYNKKNIIFSSTAAVYGNPQYLPVDENHQTNPINYYGFTKLMVENTLSWYKHLNGFNFIAMRYFNAAGYDKEKRILNLENKPQNLIPIVMECAAGIRQSMQIFGDDYNTPDGTCLRDYIHVTDLAKAHIKAL-RRINDNNTIFINLGTGYTHSVYDVIRTVEKITSEKINYSVTDRREGDPSNLYSSSSFAKESLDWIAKNSLENIINTTWSIYKA---------- 140381122 MQMKKALITGADGFIGSHLTEMLVSRGYQVKALSQYNSFNNWGWLEDICCKGDIRDPHYCEHITQ--DINIIFHLAALIAIPYSYVSTNSYVDTNIKGTLNICQAAKQKGNIRVIHTSTSEVYGTAQYVPIDEKHPLQPQSPYSATKIAADAMAMSFFNAFELPVTIARPFNTYGPRQS---------ARAVIPTIITQIANGMKKIKL-------GDVSPTRDFNYVEDTCRGLIALAETDKTIGETVNI--GSNFEISVGDTFNIIKKL-------------------------------------------------------------- 135208354 ---KRALITGITGQDGAYLSELLLERGYEVHGIKRFNTGRVDHLYRDPHEEGDLTDSTNLIRIIQETQPEEIYNLAAQSHVKVSFESPEYTANVDALGTLRLLEAIRIENKTRFYQASTSELYGKVQEVPQKETTPFYPRSPYAVAKLYAYWITVNYREAYGIYACNGILFN------------HESPIRGETFVTRKITRALARIKTGMLETLHIGNLDAKRDWGHAQDYVEMQWLMLQ----QDAPEDYVIATGEHYSVRQFIEAAAQQLDLPIRWEVDPRRPTEVQDLLGDAAKARQKLGWKPRLTFQQLVAEMVE-------------- 41054187 -DRRTVLVTGGAGFIGSHLICALAGRHWRIINVDNLQYCSNLKNLRYSFIPGDVCDPLFIKHLFSTEHIDVVFHCAAETHVENSFVCPSRFMRVNVDGTAVLVRAALEASVQRFIYISTDEVYGDSVDQPFDELSPKRPTNPYSRSKAAAESIVTSYWLKHKFPAVITRSSNVYGPR----------QHHEKVIPRFLSLLQQQQKCTIQGSGL------QSRHFLYVSDVTDAFLTVMEKGIL---GEIYNIGTGFEIPIIQLARELVQMVRAD---------------------------------------------------------- 135214213 MKIQKILVTGGAGFIGSNLALFLEKQEHEVVVADNFSSGHKDNLKEGKVLKVDVSEPFEFDT-----DFDVIFHQAAIADPRYEI--DEEMLKQNVFGFKKILNLAKKCSA-KFIYASTAGLYG-NTPAPQKEYQEKELLSAYGQSKLIMDEMASHF--FSKMHIVGLRYFNVYGLN------EEHKGRPASMVYHLTKQMLSGKNPRIF------KMGEQKRDHIYIRDCITANVKALD-----APSGIYNIGTGVGTSFNELVKMLNKALGTDLSPEYFEMPYDKQRSTQADTEKAEKVLKFKAKYSLFEG-------------------- 135414834 ---KNILITGGAGFIAHHIISYMIKKNWNITTLDRLDISGNLNRLHDISKDFSDQEKNRLKIVFHDGKPDIILHLAAASHVDRSIKYPLEFVNDNVLGTVNLLEFARQNNLEKFVYFSTDEIFGNPEGISYKEYDRYNSTNPYSASKAAAEEFCVAYENTFKMPIYVTHTMNVFGERQ------------HPEKFIPMVIQRVRDNQKVVIHANHEKTKAGSRHYIHAQDVADMFILNLNNYLHKGDFGNAKCPKFNEVDNLTLAQLIAKVQNKELIFELVDNRPGHDLRYSLSPELLQS-LGWEPKIKLSTRIEEVVKWSLKNSRWLSE--- 166363834 ----KVLITGASGFVGSHVARLLVAEGCEVHVLVRESSNRWDILPSMYLWQSDLVAFENVNTYLQEIKPELCIHLAWYAVPGKYLNSQENLDSI--QASINLLSQLAELGCKRFVGIGTCFEYDLSL-GYLSESSLTKPITLYAATKVALSTILQQFAQITEMEVAWIRLFYQYGPMED-----------------ERRLIPGIISSLLRDEVVKTTKGEQIRDFLHIEDVASAIW----AVAKSNVSGVVNVGSGQPVTVGQIALELGNLLGKPDLIHLGPYRPNDPMFICANNELLRKKTDWTQKYNLTTGLKNTIKWYKDH--------- 123966533 ----KAFITGINGQDGSYLAEHLLSLGYEVGGLVRRSSVAENQTYRINPIEGDLIDQNSLADAFRHFKPDLIFNLAAQSHVRLSFDMPGFTAQVNAVGALNFTAAKENCEGARIYQASSSEMFGVDPDNYQRETTPMHPVSPYGCSKLYAYSIARNFRRAYGLHISNGILFNHESPRRGTNFV------------TAKVVKGALDIKYKKEKFLELGNLDSYRDWGHSYDYTKAMIKLLEYS----EPLDIVISTGKAHSVKDLCKVVFSKLGMDYHIKINPKRPQELPYLQGDSTKAKQILGWEPEFTFETLVEDMI--------------- 135012985 -ETMRILVTGGAGFLGSSLVESLVENGHDVVVIDNCWRGTKGNLRNITFIEGDACVSTTYEMIANPSSVDIVYHLAAINGTKWFHEEARMVMDVNLNSTLRSLEFAEQNNC-RYVFTSSPEAFGESEIMPLGGDEHEHQRHAYGASKYLGE-LAVQHAVRQGLDARIVRPFNGYGPRLVGN-------EYGQVVAMMLQRAVHQGEIIVHGDGSQTRSLTYVDDIARGVEAAG--------LLDGLEGIIMNLGSEEERTMLELAKQVAKLTGQSIRIRYEQGHPGDSKRRLPNLEQTKKHLNWEAQIPLEDGLVQTLR-------------- 219852771 ---KTAIITGITGQDGAYLSQLLLKKGYRVIGFVRNSKGYLRITDQIIFEECNLLDLVNIVGLLKRYKPDEIYNLAAQSSVKASFDQPIATIEFNIISVINLLEAIRVDQKIKYYQASSSEMFGKVDDLPITENTPMHPLSPYAISKAAAHWIAINYRESYGIFTCCGILFNHESILRDKNFFTKKVINDSIEISRSQRGVLR------------VGNIDIRRDFGYAPRYVEAMWLMLQHPTPD----DYIICSGKSIQLRQILHHIFKRLGIDQNKIIIDERPTEIENIYGDNSKVKKVLGWEYTTDFFEVIDILIDEQLKY--------- 140361091 -----ILVTGSAGFIGFHLCKRLIRENYEVIGIDNLNSYYEVSLKEARFYKGDIADLKFIEKIFQSRKPKIIINLAAQAGVRYSIENPNSYLFSNLVGFFNILECCRNFNIKHLIYASSSSVYGGNQKLPFSETDFDNPVSLYAATKKSNELMAYSYSHLYSIPSTGLRFFTVYGP----------WGRPDMAPMIFAKALLNNEPLRVF--------------------------------------------------------------------------------------------------------------------------- 55469111 MAKKRVFVAGHLGMVGQALCRQL-------TMLD------SVELVTATRGELDLTDQQAVKRFLMRQQIDEIVAAAKVGGIYANESFPAEFIYQNLMIEANLIHSAHLVDVQKMLFLGSSCIYPKLTPQPISEEAPLEPTNPYAIAKIAGIKLCESYNRQYGRDYRCAMPTNLYGP-----YDNFHPQYSHVIPALIGKFYRAIEQGDKFVEIWGSG--NARREFMHVDDLAAACLHIMSQSLTDPRCSHINIGTGIDCSIREVAELLKAISGFTGEFCFDENKLEGTPVKRLDVTRLNK-LGWQATTTLELGMRNAWDWYVNN--------- 307721488 LKDKTILITGGAGFIGSNLAFYFQENDAKVVVLDSFSFGHFKNLIGGEIISGDINDKDLLLDLEVNYNFDYIFHEAAISDTTAQEQD--LMIKTNVNAYKDLLDLAVAHNAN-MIYASSAATYGNAESPQRV--GREAPNNVYGFSKLSMDYLSRDYMKDCDINIVGLRYFNVYGAR------EYFKNTTASMVLQFGHQILAGKNPKLF-----EGSDRILRDFIYIEDIIQANIKAM----APNTSGIYNVGTGKARSFQDIVDILQKELGTSLACEYIPNPFVGQFHTEADIATTKEALGYAPAYEMEEGIKAYV--------------- 135550179 ----KILVTGSAGFIGFHTAKFFLKKGHQVFGLDNLNRYYDVNLKKARFKKININSFKKVEKFFLKNKFDLVIHLAAQAGVRYSLKNPSVYIDTNLRGFFNIIENCKKNNIKKFIYASSSSVYGVNKNKFFKETDSVSPIQLYAATKRSNELIAHAYSSLYKMNTIGLRFFTVYGP--------WGRPDMSFFLFTKKILNNEIIDIFNFGKHV--------RDFTYIDDVVRGIFLCSKKNLKKSTNKIVNIACGKPVKLINFISIIE---------------------------------------------------------------- 135377532 -KNKKILVVGGGGFISGHLIKKILENGNTVVAADIKPYWFQDFDEVENHYSLDMKDISNCRKVIK--DIDYVFNMACNMGGMGFIENNKAECMQSVLINTNLLMASKEANVKRYFFSSSACIYKASKQEALKEDDAYDPEDGYGWEKLFSERMCRHFNEDYGLEVRVARYHNVYGPYGTYDGGREKAPAALCRKVIEAKNNNKD-------TMDVWGDGEQTRSFLYIDDCIEGTLKLFE----SDYSDPINIGSDEQVSINQMINIIENISGSELKKNYQLDKPKGVRGRSSNNDLVKKVLNWNYQIKLEEGLKKTYDW------------- 140925786 ------LVTGGAGFIGSNLVDRLLSLGHEVICIDNEFADNEYWNKKAKNFKLDITKYDEIKGLFKSV--DYVFHMAAESRIGPAIQNPQKAFEINILGTTNVLQCSRENNIKRLVYSSTSSGYGLN-NLPNIETQSDDCLNPYSVSKISAEKICKMYSDFYNLPTIIFRYFNVYGERA---------PTKGQYAPVIGIFLRQKKEGKKL---TIIGDGEQKRDFIYVGDVIEANICAALKQIEKKYFGQI---------------------------------------------------------------------------------- 78355472 -----IFVAGHRGLVGSAIVRCLQGHG-------------ARNLLLKTHAELDLTDQHAVAGFFARHRPQVVFLAAAVGGILANDTYPADFIHINLAIQNNVLHQAYVHGARRLLFLGSSCIYPRLAPQPLREESLEQTNSAYAVAKIAGIEMCRAYNRQYGTSFVPVMPTNLYGPGDSFSLESSHVLPALLRKCHLARMVMQGDMQQVFGPLPAWGSGNALREFLHVDDLAAACVHLVFRTQ---ETALVNIGTGEDLTIRELAELVRSVVGVDAPLVFDAAKPDGTPRKVLDVSRMHS-LGWRPSIGLRQGIAQVYRWYLD---------- 225431177 -KRKIALITGITGQDGSYLTEFLLNKGYEVHGLSNFNTQRLNHIYIDPHHYADLSDASSLRRWIDTIAPDEVYNLAAQSHVAVSFEIPDYTADVVATGALRLLEAVRSHIMHIRYYQAGSSEMFGSTSPPQSETSPFHPRSPYAASKCAAHWYTVNYREAYGLFACNGILFNHESPRRGENFV------------TRKITRAVGRIKIGLQSKLFMGNLQASRDWGFAGDYVEAMWMMLQQEKPDDYVVATEESHTVEEFLEVAFGSVGLNWRDHVVIDKRYFRPAEVDNLKGDSSKARKVLGWKPKVDFEQLVKMMVD-------------- 142434546 -KQCSVMVTGGSGFIGSAVIRRLVAEQYQIVNIDILTYAGNEATLGYKHEKADIRDAQAMRSLVNDYQPDAIMHLAAESHVDRSIDGPGEFINTNIQGTYNLLEADQGRRRVRFHHISTDEVYGTGATGYFHEESPYQPNSPYSASKAASDHLVRAWAETFGTDIVVSNCSNNYGP--------YQYPEKLIPVVIHRALAEDAIP--------VYGEGDNVRDWLFVDDHASAL---LAVMSRGKSGRTYNIGGDSERTNLEVVETICRVLD------------------------------------------------------------ 136142755 LNSKRALVTGITGQDGSYLAEFLLDKGYEVHGLVRRSTQRIEHLYRDIHEHGDMADGNGLARLIREIRPTEVYNLAAQSHVRVSFDQPTYTADVTAVGTLRLLEAIRDFYQIRFYQASSSEMYGKVVETPQSETTPFYPRSPYGVAKLYGHWITVNYRESYGLHGSCGILFNHESPRRGETFV------TRKITRAATRIKLGLQKKLYLGNLDAKRDWGFAGDYVEAMWLMLQHDEPDDYVISTDETHSVREFCEKVFGRLDM--------DYRDFVEIDPRRPAEVDLLLGTSQKARQKLGWKPRVSFDELVALMVE-------------- 135076369 ----RVLVTGAAGFIGSATTLRLLKSGANVMGIDNHNDYYDTGLKEARHERLDIAASPAMDQLFTKWRPDFVVHLAAQAGVRYGLENPHAYVDSNLIGFTNILEGCRGAKVRHLVYASSSSVYGANSPAPFSERERADPLSLYAATKRANELMAHSYSHQFQIPTTGLRFFTVYGP--------WGRPDMALFKFARAILEGSKIQLHNYGRH--------SRDFTYIDDVVEGLIRVIQRPPNSEPFRVLNIGNSRPVELSDYLATLEKFLGQK---------------------------------------------------------- 140062250 ----------------------LLSKGFSVRIIDNLTGGHKKNLKHCKFRNFDICKLKPNDNFFK--QVSYVFHFAGIGDIVPSIENPDRYMLNNVQGTIKVLEASRYNNIKKFVYAASASCYGK-TKGLTNENQKISIEHPYGLSKYLGEKAVMHWNKVYKLPANSLRIFNAYGPRVRT------TGAYGAVIGVFLKQKIKKKPLTIVGNG------KQSRDFVYVSDVAKA---FFAASTTKISGQIYNVGTGKP----ETVNTLAKLVG--GNKTFIPDRPGEPRVSKADIRKIKKELKWKPTVKFKDGI------------------- 143115514 MNRMKIFITGIAGFLGGHIAETLIKEGHEVSGCDNLIGGYLENVPDDANFYQ--TDAIYLNQMVKMTKDDLVVHTACTAYEGLSVFSPYFVGNNTYQISLSVFSAMAKNNIPKIINFSSMARYGTQEKTPFTEDQIPKPQDPYGIAKVAVENTLDVLSEVHNFEYVNLVPHNIIGPRQ------KYDDPYRNVVSIMINRVLNGKPPIIYGN------GEQERSFSDIDDVVNPMMNSIFNEKCVKETINVGP-DDNVITINELAEKVLKTLNSKLEPIHVDPRPQEVLIAHCSADKARKLLDYETSITLDESILKIADW------------- 219852718 ---KTALITGITGQDGSYLAELLLSKGYEVHGIIRFNTGRIDHIYTDLHHYGDLSDSEQINNIMYNLKPDEVYHLGAQSHVRVSFDTPEYTGNVTGLGTARILEAVRRSNNNVRFYQASSSELFGSSAPPQSETTPFQPRSPYACAKLYAYWMTRNYRDGYNIFASNGILFNHESPRRGGTFV------TRKITRAIARIRAKKEEFLYLGNLEARRDWGFSPEYVEAMWRILQQDRADDFVMGTGTSYSVQDFLDESFAY--------AGLKVEEHVKIDPRRPTEVESLIADPTKAKKELNWAPKITFTDLVRIMVD-------------- 136295941 ----KVLITGGAGFIGSHTADRLLKQGYEVRVLDSLQKPIHNAIPEYLDERIEFTDVRALTEALQGV--DYVYHLAA---FQDYLPYFSKFVDVNVSSTARIYELIVRDNIKKVIVASSQATLGEGPDMRLEEDLKKNPQNPYGMSKIAEEMFALQLGKRYGIPSVAMRYSIVQGSRQS-----FYNAYSGACRIFCLAFHQGKEPQ-------IYEDGNQIRDFVNIHDVVDANLLVLE--DDRANYEMFNVGGGAPITVKHFAETVAEVFGIKDYKPCGKYRFGDTRHIWSDISKIES-LGWKPTRTIYDSVSEYKEW------------- 86261630 ----RIFVTGAAGYVGQAVLARLLAAGHDVTAV-----GHRTPVGWPPSRQADLTDAPAVLRALDGA--EAVCHLAGLTRVRGSGVDVDRYYRVNVVGTLNVLDALVARHPARLVFLSSGAVYGRTGDAPVREDHPTLPTSAYGATKLAAEQAVGWYAGTGALSAVSLRLFTAAG----SVRPGCRPDDSTLVARALSVAAGENAVLPVNGD------GSTVRDFVHVADVADAVARAVEAPARR--PAEVVNLGAVAASVREVVAAVERVTGRRVPVAHGPANSADQPWLAADTGAARELLGWAPTRSLERMIEDQWR-------------- 135062486 ----HYLITGGAGFIGSHLAEKLISEGHGVTILDNLSSGKMSNIEQIMRDDVDIRDYDLISRLMSSC--DGVFHLAALVSVPQSIIKPEDSFNVNLQGTVNLFEASRNQRKQKIVFASSAAIYGDIQQHPVKEQCRGVPLSPYGLHKWMCEEHATLYSQLYGVSSIGLRFFNVFGPRQDP--------------------------------------------------------------------------------------------------------------------------------------------------------- 228915977 --KKKVFITGHTGFKGSWLTLFLTSLGAEVVGFSSHPPSQGNVAKECITIHGNITDYDSLFHALKQHNPDILFHLAAQPIVTTSYKDPIETFKTNVLGTVHVLEAAKHTESIRGIINVTSDKCYENDNRAFVEIDRLGGFDPYSASKACAEIVATSYQKSF-FRTNTQKLASV---RAGNVIGGGDWAEDRLFPDVIRAYLQDG--------TLTIRNKKAIRPWQHVLDPLHGYILWTDAAYAYAEAWNFGPLNEPNRTVHDVIQSVIKLWNKQLTITPSTNTPYESPVLTLDSTKAVTKLGWTPKLSTDKAITWTVDWYKKY--------- 22298176 -DRKRALITGITGQDGSYLSELLLEKGYEVHGIIRFNTDRIDHIYVDPHQEGDLTDGSTLRRIIELSQPDEIYNLGAQSHVRVSFDAPQYTVDTVAMGTLRLLEAIREYQQVKFYQAGSSEMFGLVQEVPQRETTPFYPRSPYACAKVYAHWQTVNYREAYNLFACNGILFNHESPRRGETFV------TRKITRAVARIVAGQQNKLYLGNLDAKRDWGYAKDYVRAMWLMLQQEQPDDYVVATGETHSVREFLELAFGYVD--------LNWEDYVEFDPRRPTEVDLLLGDPTKAKTVLGWQPSVTFPELVALMVE-------------- 27376741 LRGKSVYVAGHRGMVGAALVRRLAREEVRLVTVDR--------------REVDLCNQAAVFDWFARTRPQVIFLAAAVGGIVANNTLRAEFIYDNIAIAANVIQAAHQNGAEKLMFLGSSCIYPKLAPQPLREDSPLEPTNPYAIAKIAGIKMAEAYRSQYGSDFISVMPTNLYGPGDNYHPELSHVVAALIRRFHEAKVSGAKR-------VIVWGTGTPRREFLYVDDMADACVHLMKTYSS---PEPVNIGTGEDITIADLALMVAAAVGFRGEISLDTSRPDGTPRKLLDVSRLSR-LGWRATTSLTEGIQLAYR-------------- 135284498 ----KIFVTGHGGMVGKTMLGVLEEEGYENVVF-------------VSSEDLDLRNQIAVFDFFEREKPEYVFHFAAVGGIMANINNPAVYLYDNLMISCNVVEAARRFGVKKLLNLGSSCVYPRGCEQPMKEDGKPEPTNEGYAAKIAALKLCEKYNKQYGTNFISLMPCNLYGVNEHFDAVNSHVVAALIMK-FDEAKRKNLEEVSLWGTGSVRREFLYVRDLVEICLQAMKKLNGDDF-----EEGFVNVGSGEDISIRDLAEMIREVVGFEGKIAWDSSKPDGMPRKLVDVSKMRKH-GLESSVGLREGIGLTYEYFLK---------- 290559485 ----KAIVTGGAGFIGSHIAERLVNEGYEVSILDILNTG-DKNNFRSISDKIKFLNVNS-SYISEMEKVDVVFHEGIYSSTPMYRKDNSLVWKAIQEFMAVMGYCVKNDCKLVF---ASSSSIYNGYPPPHTESMQPKVKDFYTEARYSMERLAELFNQMYGLRYCALRYFSVYGDR------EESKKEFANMVSQIIWKGLLDKEVVIYGDGSQKRDLTNINDVVDANLIAAKSNI----------TGVFNVGTGKSYSFNEIMDKIETVIGKKIRKNIDNPLKNYVDIVHADTTKSKEQLGFSAKIKAEDGIKNAFDYYKK---------- 307942669 MSKKVALITGVTGQDGAYLSELLLSKGYVVHGIDHLYRDPHETNVRFFLHHGDLTDGSSLTRIIQQVQPDEIYNLAAQSHVAVSFQEPEYTANSDALGALRILEAIRLGESCRFYQASSSELYGLVQESPQKETTPFYPRSPYAVAKLYAYWITVNYREAYGLYACNGILFN------------HESPIRGETFVTRKISRGLARIKLGLQECLYLGNLNAKRDWGHARDYVEMQWMMLQ----QQKPEDFVIATGLQYSVRDFVDAAAKEIGIEISWKVDPRRPAEVETLLGDARKAHEKLGWTPKTSFEELVAEMAR-------------- 142849132 -KKKTILITGGAGAIGSNLAISLSKRG-RIIVLDNLSAIRDEELENMIFVKGDIRNDEDLKRVFK-ERPEIIFHLAAFFANQNSVDYPENSASVDVLGQIKLLEYATLTNVERFVYASSCAIYGSYPKLPLVEDFIMHLTTPYQINKMTGEMYCNFYNHHYGLKTVNCRFFNSYGPGEVPGQYRNVIPNFLFWSRNGQ-------------HLPITGDGKETRDFTFCLDLVQGLIRSGFYEKAIGEN--FNLASGKETSIIKMAKLVKKVTNNNKDFLFKPRRKWDTPRLLASIKKAE---------------------------------- 135190750 ----KLLVTGGAGFIGSAVIRLAVSRGVAIVNVDALTYAACLENPLYRFEQADIRDRAALDRIFADHAPDAVMHLAAESHVDRSIDGPGTFVETNVIGTYTLLEAARSYWGFRFHHVSTDEVFGLGPTGRFTEDTPYAPNSPYSATKAGSDHLVRAWGETYGLPVLVTNCSNNYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 135959915 MKKKIALVSGGAGFIGSHMVDLLLKKNFQVRVIDNLSTGTAKNLLHFKFKKIDIVKLKKTDQIFKNV--DYVYHFAGIADLVPSIEDPDKYIQTNLNGTINVLQASRFHNVKKFVYAASASCYGMN-NSKVKESDEIKLEHPYSLSKYLGEKAVFHWSKVYKLNANSIRIFNAYGPRSRT------SGAYGAVIGVFLKQKLSNKPFTVVGN------GNQSRDFIHVKDVVN---------------------------------------------------------------------------------------------------- 142633989 ----TVLVTGGCGFIGSNFIHGLLKKYPEIINVDSLTYAGNKENLNYKFEQCDIASAQNLEKVFKRYDIDAVVHFAAESHVDRSIENPNIFINTNIYGTYNLLNALKKNSEIIFLHVSTDEVYGEIDESPFKESNQYMPNSPYSASKASSDHLVRAWHHTYNLKTLTTNCSNNYGP--------YQFPEKLIPSIIFKSLKG--EPITIYGEGLAI------RDWLYVDDHCEAIEAVLDR---GKFGETYNIGGGFERTNIDLTSKICFLLD------------------------------------------------------------ 86608573 --TQRALITGITGQDGSYLAELLLKKNYEVHGIIRFNTDRIDHIYVDAHHYGDLTDGTTLRRILEQVQPTEVYNLGAQSHVRVSFDAPEYTVDAVAMGTLRLLEAIRDYQQRKFYQAGSSEMFGLVQEIPQKETTPFYPRSPYACAKVYGYWQTVNYREAYNLFACNGILFNHESPRRGETFV------TRKITRAVSRIVAGKQDKLYLGNLDAKRDWGYAKDYVEGMWMMLQQPQPDDYVLATGETHTVREFVGLPITWKGSGQAEQGLYKDQVLIEIDPRRPTEVDLLLGDASKAKRVLGWEPKVSFPQLVELMV--------------- 134912777 MNDKKIVVTGVTGQDGSNMVDFLLNNGNIHIGARRLSISNHENIKHLEGHNLDITDASCITRVVKDLNPDYFINFAAQSFVGSSWDFPVQTWDTNATAVLHMLEAIRYNPDCRFYQAGSSEEYGDVMYSPQDENHPPRPVSPYGATKVAARQLVKVYRDSYGLYACCGLLFNHEGVR-RGEEFVTRKISKGVAKIKKSMELGIRFKPLQLGNINSKRDWSDSEDFIRGVWLMLNREKAEDFVLSSNETHEISKFVSLAFDAAGITGRWEGEGLDAKFLNYQDYRPAEVDVLYGDSTKAREEMGWNPRTSFPELVRKMV--------------- 224001660 -EGKVALITGITGQDGSYLTELLLSKGYTVHGISSFNTGRIDHIYRDRHEYGDLCDATNLITIIANVKPDEIYNLGAMSHVKVSFDMPEYTADCDGVGVLRMLDAIRACKSCRFYQASTSELYGKVQEVPQSETTPFYPRSPYAVAKQYAFWILVNYREAYGMHLTNGILFNHESPRRGATFV------TRKITIAVARITEGLDKCLYLGNIDAKRDWGHARDYVEGMWRMLQHDTPDDYVLATGETHTVREFVEKAFAHAGTTIAWKGETGTVNEYRIDPRRPTEVDLLLGDPSKAKKTLGWEATLGFEGLVKDM---------------- 140679869 MKEK-ILVTGCSGFIGMHLSKVLLDQNYEVIGVDNLNDYYSLKLKNDRFVKLDISFKDDLEKIFKENPIDKVVNLAAQAGVRYSLENPNSYIESNVLGFMNVLECCRHYKVKGLIYASSSSVYGGNKKTPFSEHNVDSPISIYAASKKSNELMAHAYNHLFGLRSTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 134893980 -----------------------------------------------------------LDYIFNSYKFSIVVHLAAQAGVRYSITNPHSYFNSNLIGFGNILESVRVNEISNFIFASSSSVYGANRSVPFKETQKVDPISLYAATKKSNELMAYSYSHLYKIPTTGLRFFTVYGP----------WGRPDMAPMIFTKSIINGENLKIFNNGLMKRDFTFIDDIVEGIFRCCYKPPENDKNISSAPYKVFNIGYGKPIDLIYFIELLEANLGIKAKKEFLEIQQGEVIETYASTNALKDWIDYSPKTSIENGIKKFITWYLKYY-------- 83582736 ------LILGGCGFIGRHVALALARRGESVVVAD-VAAPPAELANVPVTFRQVVPGQTDWQAL--TEDCDVIHHYAWSTIPQTANDDPIRDLDDNVRTTLGLLDVLRHQGKKRLIFASSGTVYGRLKRIPAEEHHSLAPITAYGASKACVELYLGFYRAHHGMDCRVARISNPFGAGQDARRKQQGAASAFLFK------ALAGEEITIWGDGSVI------RDYIHIADLTRGLIALSDASADHHDLPIYNLGSGVGISLNEIVETLRNRLGLTATVNYLPSRNFDIPASILDIRKSKDLLEWSPQMSFAEG-------------------- 144100004 MMVKNILITGGAGYIGSHVAEILLKKNKEVFLLDNLSTGHRKLIKKAKFFKLDIHRKDKVKKIIKKNKIDSIIHLAANLIIGEGQKKPKKYFKNNVLGTKNLLEACKDTTVKNLIFSSTAAIYKEGQYK-VSEKSLIKPKSIYGKTKIKAENLIKNFAKTNKINYGILRYFNIAGSSPSGKIGLINKNSDHLFKNYSIEILKKKPKLKIYGTNYKTKDGSCIRDFIHVSDIAEIHYLVLEKN------------------------------------------------------------------------------------------- 143572951 ------LVTGGAGFIGSHLVDALVKQGKKVRVIDNFSSGREEFLLDGGSVEIFNEDLLNKKAIMAMEGIDTVHHMAANPDIRLGTEVTDTDLKQGTLATYNVLEAMRLNGVGRISFASSSAVYGEASVMPTPESGPVMPISLYGASKLASESLITAWAGTFGAKGFIHRFANIVGPRGTHGVIFDFIHKLKSDPS----------------RLEVLGDGNQEKSYMSAHDCVRSMLHII--SMDEEGAVMYNLGTGDTCSVSKIAQIVIELEGVEISYTGGKRWAGDVPKTYLDVTRLLDS-GFEPTAMSEDAIRETAR-------------- 140768779 ----KILITGAAGFIGFHISKRLLETGFEVIGLDNLNDYYDINLKTDRFYKKNLEDKTSVDQIFQEHHPHRVIHLAAQAGVRYSIDHPDIYIQRNIIGTFNILEACRHNEIEHLVYASSSSVYGLNTRYPFSQDNASHPVSLYGATKVSNELMSHSYSHLYGIPTTGIRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 139014233 -----IIVTGCAGFIGSNLVDHLLKFNNNIIGLDNLSTGQKKFILKNKNFKFIKCDLNLKKLKKIIRKVDIVFHFAANADVRYGPNQTHKDLEQNTIVTYNVLEAMKINNVKNIVFCSTGSVYGEPRKFPTPENSFPIQTSFYGASKLAGESLIQAYCEAFKFKSWIFRFVSILGNRYT----------HGHVYDFVKQLRKNSKKLKVLGD------GNQRKSYLHVSDCVKAIIVAVSKANNKVN--IFNLGTNEFITVKDSIKVICKTLNVDPKLYFVRGWIGDSPFIFLNIKKISS-LGWRPKLTIKDSL------------------- 163853528 --SKSAVVTGAGGFIGGHLVTYLRRHGYHVRGVDDFGNSDADEFMLADLRSFEEC-REAVKGVDEVYNLAADMGGIGYISGAHASITF-----NNTMISAQMLKAAFDARVERFLFSSSACVYPVPSVIPLKEEDPAAPEEGYGLEKLYTEKLCQYFTEDYGFPTRSVRFHNVYGPLGTYDGGKEKAPAAICRKIA---------RTPDGGTIDIWGDGQQTRSFMYVDDCVEGIYRIMQ----SDHHGPLNLGTDELVSISGLVDLVAEVSGKTIHKAFDLSKPQGVRGRNSDNTRLREVLGWEPLIHLREGLQPTYRW------------- 140460523 --TRTILVTGAAGFIGAALCIRLLQRGDRVVGLDNLNDYYDPPLKQARLRQIQLEDGDDLMALFAAEKPTVVVNLAAQAGVRYSLENPAAYIQSNLVGFGHLLEGCRHHGTENLVYASSSSVYGGNRNLPFDERQPVHPVSLYAASKKANELMAHTYSHLYGLPATGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 137833711 ----------AAGFIGMHCTDRLLARGDTVVGVDNLNDYYEVSLKENRLKRVSVEDSEAMAALFAAEKPDRVIHLAAQAGVRYSLENPHAYVDANLAGFMNILEGCRHNGIKHLVYASSSSVYGGNEQMPFSEHHVDHPVSLYAATKKANELMAHTYSHLFDLPTTGLRFFTVYGP----------WGRPDMALFKFTAAMLKGEPIDVYNNGQMVRDFTFIDDIVEGVIRVDFDPQSPDPQSSRAPFRVFNIGNGNPVPLMDYIGALETALG------------------------------------------------------------ 144899021 -----VLVTGGAGFVGTVLV-GLLRQHQPVVVVDDLSVGRPMPAQTDICYQADIRDRQAMIAIMECHRPASLVHLAAIHHIPTCERDPFHATDVNVMGFQSVLDACAKTGCRHVVLASSGAVY-DWHDGPLAENAALAPQDVYAASKAANEHQLAAWAKAGRGAGIIARMFNVIGPNDPN-------------GHLIPDLLRRMDAAPGGPLTLRMGNLDRRRDFVDVNDMAAGLACLVGRHSTDID--VYNLCSGREYSASDIALRLAAHRGAQVELFSDPARVMDRTSQLGDPGKSFRDFGWRADRDLDDSIAAIVRW------------- 27381090 -TGKRVLVTGHTGFKGGWMVAWLRHLGAEVAGLANLFD-LAQTARDTVSVFADIRDPLAVKAVFDRFQPEIVFHMAAQAIVRASYDDPVGTYATNVMGTVHVFEAVRTSGVRVVVNVTSDKCYDNKEWVWYRETDPLGGKDPYSSSKACAELVTAAYRSSFFSGTHRIGF---ASARAGNVIGGGDWAQDRLVPDVIAAIRN--------GQPVPVRNPDAVRPWQHVLEPLSGYILLAEHLWRDPAAFSSEWNFGPDRTVRWLVDRLALLWGVPSQQMPQPGQPHEARLLSLDSSKARAHLGWAPRWGLDRAASAVVEWYKAFEQG------ 170743293 MTRRRALITGITGQDGAYLAQLLLEKDYEVYGIVRRSSHYDHRLKWVHLIDGNLIDLSSLLRIVQQVRPDEVYNLAAQSFVTSSWQQPILTGQVTGLGATNLLEAVRIMAPQRYYQASSSEMFGLIQEQVQSEQTPFYPRSPYGVAKLYAHWMTINYRESFGLHASNGILFN------------HESPLRGVEFVTRKVTDGVARIKLGLETELRLGNIDAKRDWGHAKDYVRAMWLMLQQDAPDDYVVATGRTTTVRDMCRIAFDHVGLTMDDHLRIDEKLFRPAEVDVLLGNPAKAERILGWKPEISLEEMITEMVD-------------- 139936659 ---KNVLVTGGCGFIGSNFVKYLISKCYFPIILDKLTYAGSKENINQISEEGDICDEQLLLNLFKKYKFDGVFHLAAESHVDRSIDAPREFIDTNIIGTFNLLQASRNNNNFKFIHVSTDEVYGDGPDGYFDEESPYRPNSPYSASKAASDHLSRSWGKTFNLPVIITNCSNNYGANQF---------PEKLIPLMIINCIDWK-------QLPVYGDGENIRDWLF---------------------------------------------------------------------------------------------------------- 135069527 ---KKALITGITGQDGSYLAEFLLNKGYEVHGIKRLNTQRVDHLYEDPHHYGDLTDTSNFTRLIRDIEPDEIYNLGAQSHVAVSFEAPEYTADVDAVGTLRILEAIRFEQKTRFYQASTSELFGLVQEIPQRETTPFYPRSPYAVAKLYAYWIAVNYRESYGIYACNGILFNHESPRRG------------ETFVTRKITRGLSNIALGLEDCLYMGNMDSLRDWGHAKDYVRMQWMMLQ----QDAPEDFVIATGVQYSVREFIEWSGHELGLDVVIRIDPRRPADVETLLGDPTKAKENLGWVPEITAQEMCAEMVA-------------- 269126844 --SKRALITGITGQDGSYLAEHLLEQGYEVWGVRGQANPHRKHIKDVHITTGDLLDQGSLISAVERVQPDEVYNLGAISYVPMSWQQAELTAEVTGMGVLRMLEAIRVVSQIRFYQASSSEMFGMVRETPQNEKTPFHPRSPYGVAKTYGHYITQNYRESYGMFAVSGILFNHESPRRGAEF------------VTRKVSLGVARIKLGLAKELRMGNLEARRDWGFAGDYARAMHMMM----SQDKPEDFVIGTGRTQSVRDLVELAFSSVGLNWYVVLDPRRPAEVDLLCADPKKAREQLGWEPRVGFEELVTMMVE-------------- 114769651 ---KKALITGITGQDGSYLAEFLLKKGYEVHGIKRLNTQRIDHIFEDPHHYGDLTDSSNLTRIIRDIEPDEVYNLGAQSHVAVSFEAPEYTADVDAMGSLRILEAIRFENKTRFYQASTSELYGLVKEIPQTENTPFHPRSPYAVAKLYAYWIAVNYRESYGIYACNGILFNHESPRRGETFV------TRKITRGLTRIALGLETCLYMGNIDALRDWGHAKDYVRMQWMMLQQEKPDDFVIATGIQHWVASDLGIEIEFIDEIATVSKVGDVIMRIDSRYFRPAEVETLLGDPSKAKEKLGWVPEITAQEMCSEMVA-------------- 229591110 --NKVALITGITGQDGSYLAELLLEKGYTVHGLKRRSSSFNTQRIDHIYQDGDLADSSNLTRIIQQIQPDEIYNLGAQSHVAVSFDSPEYTADVDALGTLRILEAIRLEKKTRFYQASTSELYGLVQETPQKETTPFYPRSPYAVAKLYAYWITVNFREAYGLYACNGILFNHESPRRG------------ETFVTRKITRALTNIALGLEQCLYMGNMDALRDWGHAKDYVRMQWMMLQ----QDRPEDFVIATGVQYSVRDFIRWSAAELGLDVIVRVDPRRPAEVETLLGDPSKAKRSLGWVPEISAQEMCAEMVR-------------- 171058976 ----KVLVTGAAGFIGMHVAQAFLARGDEVVGIDDLSDQYEVALKYARLSRIDVSDRPAVNACFAANGFEQVVHLATQAGGGRAVSHPRESAQPNLVGFINMLEGCRQHGVPHMVYASSSNVYSGLRQMNLASRNLHGPASEVEAHKMPNELMAQTYSRLHGLATTGLRFFTVYGP--------WGRPDMAYYGFTRALLAGDPIPSTHGGA--VSHGLTYVDDIVAGILQALRLPMADERAHPGAQARVLNIGSHDPVRLLDFVAALENAVGREAELKMMPM-NFDDAGVPVDTTLQR-----QPTMPLAEGVQRFVHWYLGY--------- 188997314 MEKEKIFVAGHKGLVGSAIVRKLKEKGYTNIITKDKS-------------EVDLRRQEEVERFFEKEKPDYVFLAAAVGGILANNTYPAEFIYDNLAIALNVIHSAYEYRVKKLLNLGSSCIYPKYAPQPMKETGSLEPTNEAYAAKISAIKLVRYYNQQYGTNFISVMPTNLYGPNLPALIRKFHLAKLLEQGDIEGIKKDFRKYTIGFGLDKIWGSGEVYREFLYVDDLADACVYLMENMAKLCNDYFVNVGTGKDIKIKDLAILIKDIVGFKGEIIHDLTKPDGTPRKLLDVSKINQ-LGWKAKTSLEEGILKTYE---EYIRKLENEKQ 144085525 MKTK-ILVCGAGGFIGGHLVKDLLDEGHDVVCADIKPEFWFQKFDKAENYSLDLKEYENCLKVTDGVH--QIYNMACNMGGMGFIENNKAECMLSVLINTNVLRACLVNKVNKYFYSSSACVYNGQKQGLKEEDAYPEPEDGYGWEKLFSERMCRHFTEDFGLETRVLRYHNVYGPIGTYDGGREKAPAAICRKIALAKMNKEK-------NIEVWGDGEQTRSFMYIDDCLEGTKKIFNSNLKDP----YNLGSDEQVSINQMIEMIEDIAGYKVGRKYLLDKPKGVRGRSSDNTKIIKDLNWAPSIALKEGLKNTFNW------------- 118588490 ----RVLITGASGFIGSNLARACLQRGDEVSVVVRPRSSVARLAGIGSRYRLDLTDARALGNCLTKTRPEIVFHAGARTRFRPDLQDLAGSVEENVTPLVALLSALATCDCPPRSFVRTGTIEYGDCATPYTETARERPAGSYAASLLAGTHYLEMAQPRLPFLAVTARLALTYGPGQSESFLIP-----------------RAISQLLTGQKVSVRSPLDRRDLIHVDDVTRALMMLGDTPEDAGPVVNV--GTGHTPTVAEVMQTLIKLTGAGAGLVNFGDQTGDPVELIVSAERIRDRLGWSPEIQLQDGLERTVKWAREN--------- 141516269 LMNEKILITGCAGFIGMHISQSLLKDDYNVMGIDNMNDYYDTNLKEARLSQLDIASRSSIEEAFFDFKPNKVINLAAQAGVRYSIINPQAYIQVNVVGFMNILELCRAYEVKGLIYASSSSVYGGNKKIPFSEDSVDKPISIYAASKKANELMAHSYSHLYGLKTTGLRFFTVYGP--------WGRPDMALYIFTEKMTKGKPISVYNHG--------KMFRDFTYIDDIIQGIRNSIEKNYH----------------------------------------------------------------------------------------- 219852778 -RGRTVLITGHTGFKGGWLALWLESLGAHVIGLDPPTDPSTELSRRITDIRGDILDQTKLDRVINEYRPDFVFHLAAQPLVRASYQSPRETFNVNVMGTVNVLESIRVSQHPTVCVCITSDKCYENKEWDYRENDPIGGHDPYSASKGAAEIVIASYRKSFFEPDGSQPLCALSSARAGNIIGGGDWADDRIVPDCV--------RSLVNGETMLLRNPTAVRPWQFVLDPLFGYLLLAQRMKEYPGEYSGAWNFGPYYSNNVDVQTLTGKIFREWGIGRWENMPHEACFLKLDIAKSMTRLGWKPVYSIDDAIHKTIEWY------------ 158421678 --TKRALITGITGQDGAYLSQFLLEKGYEVHGVVRRSSGVADHRLRWLGVEKDVADLSSLIRLVEQVKPDEVYNLAAQSFVASSWQQPILTANITAVGVTNVLEAVRIVAPQRFYQASSSEMYGKIQEAAQSETTPFYPRSPYAVAKLYGHWITVNYRESFGLHAS------------SGILFNHESPLRGIEFVTRKVTDGVARIKLGLEKELRLGNIDAKRDWGHAKDYVRAMWLMLQQDAADDYVVATGTTTTVRDMCRIAFSHVGLNIDDHLVIDPAFFRPAEVDVLLGNPAKAKAKLGWEPQITLDQMIREMVD-------------- 141210103 ------------------------------VIVDNLVNSSKKVLSRIEFIEADLRDTSTIIEIFSDHPITSVVHFAGLKAVGESVEEPVKYYDNNLGSTLSLLDAMASSKVSTLVFSSSATVYSPSEIAPFDEAAELGPTNPYGMSKYFIEQILSDALGDDEWSIGLLRYFNPIGAHPTGLIGEMPTGPNNLVPYIMQVAVEKLEKLFIFGDDYQTPDGTCIRDYIHVVDLARGHLAALRFLEDANGSHVWNLGTGQGSSVHELVKMIEEVIGKPIPREVVGRRSGDI--------------------------------------------- 140399466 ----------------------------------NSVTSYKESNNKVSIVKGDIKNSKF--ALKLTKNIDYIIHLAAESGVDISISEPRKSFENNVIGAFNYLDACRINKVKRFIFASSGAVFGD-RKPPLTEDMPKQPISPYGSSKLTVETYAETFSNVFGFKATMLRFSNVYG---------RYSQHKDSVVSKFIKLANNSNKLTINGDGNHT------RDFIHVNDIINAIYMSF---SDKNNFSTYHVSTGVETSLNKLIKIFQKYYKKELNVLNVKERLGDAKKNYSSPLKIKRNLGWTPKIKLEEGVQDTIDWYMN---------- 144033316 -----------------------------------------------------------------------VIHLAAQAGVRYSLENPRAYIDSNVCGTFNVIDAARLNSVKHLMIASTSSVYGANERMPFNEREKADTLTIYSATKKAAESMAHSYSHIYRLPVTIFRFFTVYGP----------WGRPDMALFKFTKNILENKPIDIYNNGEMQRDFTFVDDLVSSIKLLMDIVPELGKPIDASDSRTVNIGNSRSVNLMDFIQAIETELGIKAIRNYMPMQTGDVPATWADATLLNNLTGYQPGTDYRSGVASFIKWYREFYN------- 135015825 ---KKILITGGAGYVGSRLTPQLLKLGYSIRILDTLFYGSQHLPLSDPNLEVDIRDVSHHPKFFEDVN--TIIHLGCISNDASFELDETLSRTINFDAFEPLVILAKTAGVKRFIYASSSSVYGISKEKDVTESHPLVPLTLYNKYKGLCEPLLFKHQTEDFVCTVI---------RPATLCGCAPRQRLDLTVNILTNWAINRRKITVFGG-------SQLRPNLHIQDMCDLYKKLLIEDRAKIRGQTFNVGYQN-MSVTDIALLVKRVVEEETPIRIEQSSSDDLRSYHINSDKIRETIGFEPKYTIEDAVRELCKAFKENPLSFDDDQ- 85704555 MSAMRVYVAGHRGMVGGAILRRLKLRQE---------TGEDLTLVTATHAELDLTNQAAVQNFMQRERPDVVVLAAAVGGIHANNTYPADFIYDNLMIECNVIHQAFSAGVRRLLQLGSSCIYPKAVPQPMREDATLEPTNPYAIAKIAGIKLCESYNRQHGTDYRSVMPTNLYGPG-DNFHPENSHVLPALIRRFHEAVKEGREEVTIWGSGKPMRELLHVDDMAEAALFVLDLDKPTYDANTEPMLSHINVGTGSDVSILELAQMVARVTGYQGRIVTDPSKPDGTLRKLMDVSRLAQ-MGWRARIGLEAGLTDTYQWFLEHQ-------- 135658628 ----NILLTGGAGYIGSSVAHYLIDQGHEITVIDNLITGNRKLVPEKANFKLDISDEKEISSIINNSKFDIVMHFAGLIRVDESIKEPEKYNEYNFTKAKVFFDTCFKNNLKKVVFSSTASIYGNPKNNIVNENDELKPINPYALSKYNLERYLIEQSKKSNIKFIILRYFNVAGA------------------------------------------------------------------------------------------------------------------------------------------------------------- 135305323 --TKKIIITGGAGFIGSNLISYLIKE-FEIIAIDNFDPFYSKEIKENHLFNVDISQKQELSEIFKKFQDICVIHLAAKAGVRPSIEDPEKYMNSNVVGTTNILEMCKTHNIKKLIFASSSSVYGNCDNPPFIETENINPISPYAASKVAGEALCYTYHHLYNIDTICFRFFTVYGPNQRPDLAIHKFCNLIQNNQ----------------QIQMYGNGSSKRDYTYVEDICE---------------------------------------------------------------------------------------------------- 242096560 -KSAKVFLAGHKGMLGTAIHRRLAALGFTNIV-------------GRTRAELDLTCEPAVHKFFDAEQPRYVILAAGVGGLHASSAAPADFMTENLRITVNVTAARRCGTVRKLLFLATSAVYPVDAPQPIPETGPPAPGNEWYAIKIVGIKMCQAYRADDGMDAIVATPNNLYGPRDPFPSESSHVIPALIRRFHDAKASGAP-------EVVVWGSGRQLREFTHADDAADAAVLLMERYS---GAEHVNVGSGREVTVRELAETVREVVGYEGRVVWDTSRPDSVMRRLLDSSK-MAALGWEPKVELRDGLKKLYEWYL----------- 136816031 MENKIALITGITGQDGSYLAEFLLDKGYEVHGIKRFNTDRIDHLYQDPIIEGDLSDSMNLVDVIKKIQPDEIYNLAAQSHVAVSFESPEYTADTVGIGALRILEAIRIEEKTKFYQASTSELYGQVQEIPQKETTPFYPRSPYAAAKLYAYWITVNYREAYGIYACNGILFN------------HESPVRGETFVTRKITRALARISLGLQDQLLLGNLDALRDWGHAKDYIEMQWLMLQ----QDKPDDFCIATGNQYSVRNFVNFAWQHIGRSINWDGEGFRPTEVETLLGDPSKAKEKLGWQPKITFKEMVSEMMD-------------- 153808780 FKGKKVLVTGHTGFKGSWLCIWLHELGAEVVGLDPFSE-RDNFVLSGIGNKIDIRDGKRMKEIFAEYQPDIVFHLAAQPLVRLSYEIPVETYEVNVMGTINIMEAIRATKSVKVGVMITTDKCYDNCEQLYVETDPFGGYDPYSSSKGACEVAIQSWRRSFFNPVDYGKKHTVASVRAGNVIGGGDWAKDRIIPDCIRALETTK--------VIDIRSPEAVRPWEHVLEPLSGYMLLAQKMWENPTEYCEGWNFGPELTVWEIASAIIENFGFGELKDIDPNALHEANLLMLNINKAKTRLGWYPRLNAKQTAVLTSDWYKRY--------- 137631869 -------------------------------------------VLKVPLVIGDIGDTKLVEKILNGAHITGVVHFAAFAYVGESVINPSKYFKNNVANTICLLDSLLRVSKKRIVFSSTCATYGIPDNYPILESTKQHPINPYGESKLIIEKLLIKYSEVYGLSSIIFRYFNAAGADPNGDLGENHLPETHLIPLAFDAAIKKIPYLKIYGDDYPTSDGTCIRDFIHVVDIAEAHVIGLKKILKNNGCYIYNLGTGKGSSVREIISTVEKITNLKIKTKVEKRR------------------------------------------------- 134858459 ----HIFITGVAGFLGSNLANYYVAKNFQVSGCDNLIGGDIDNVSKVKFYQGNCENLDFMTRITQ--KVDVICHSAAFAHEGLSSISPTLICNNNLTGSVSVFTAGIRNKVKRIVYCSSMARYGNIQ-MPFKESDTPNPVDPYGVSKLAAEKILKILCETHGVEYNIAVPHNIIGP------KQKYDDPFRNVVSIMVNLMLQKRKPTIYGDGMQTRCFSDIEDCIYCLDKLITDPNIRSEIVNIGPDE-------EKVSIKELFELISNKLQFNEGPVYFPDRPNEVKHATCSSEKAKKILNYKTQVSLSKSVDKVI--------------- 156743221 ----RALITGINGFVGGHLAEHLLATGWDVAGLARQPTAFGALDGRVTYIAADLNDRDQALMALASVRPDVIFHLAGQSNVPRAFADPHTTVQTNIGAQLNLFLSVLQLRLDPLMIIASSNEIYGPEELPLTEETPLRPVNPYAVSKAAQDLFAYQYYISHQLRTIRLRPFNHIGPRQTEAFV-----VPAFAAQIARIEAGLQPPTLRVGNLAAERDFSDVRDIARAYELAALYGEV---------GAAYNVGSEQAVSVRRLLEILLTFSTHDVQIEPDPMRPSDVPRVVCNASRFRAATGWKPLIPLEQTLFDTLEYWR----------- 139643360 ----KILVTGAAGFIGFHLTLALLERDDEVIGLDSLNDYYDPALKKFEFIKADISDRDLIEKLFSDNQFDVVVNLAAQAGVRYSLENPHAYVDSNLTGFVNILEGCRHSKVRHLVYASSSSVYGMNVKQPFHINDRTHPISFYAATKKSNELMAHTYSHLFGIPITGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 134667282 ----KFLITGGAGFIGSAVIRHLIDRGHEVINIDKLTYSGNERNELYSFKEIDICDSDQVRRVIADCRPNVVINLAAESSVDRSIVNPSKFIQTNIVGTFALLEEVRYYWKFRFLHISTDEVFGGAQEEPFNEGSHYSPNSPYSASKACSDHLVRSWWETYGLPVLITHCSNNYGP--------YQFPEKLIPLIIIKAIRGENFPIYGDGI--------QVRDWLHVNDH------------------------------------------------------------------------------------------------------ 136735299 ------LVTGGAGFIGSHLVDSLVAMGNSVRVLDNFSSGREEFLEHHKIFKADLLDLESVKKAMK--GIETVHHLAANPDIRLGTEITDTDLKQGTMATYNVLEAMRLNDVKRISFSSSSAIYGEANIMPTPETGPILPISLYGASKLASEALISSWCGTFGGEAWIHRFANIVGPRGTHGVIFDFIHKLKNNP----------------NRLEVLGNGLQEKSYMSAGDCVRAMIHLIDN--SKENVSLYNLGTGDTCSVRRIAEIVVEESGLKNVIEYGGDRAGDVPKTSLNVERLF-ETKFEPTMQSEDAIRYTVK-------------- 260430777 --TKTALITGITGQDGSYLAEFLLEKGYEVHGIKRFNTQRIDHIYQDPHEYGDLTDTSNLTRIIQEVQPDEVYNLGAQSHVAVSFEAPEYTADVDAIGTLRLLEAIRFESKTRFYQASTSELYGLVQETPQRETTPFYPRSPYAVAKLYSYWITVNYREAYGMYACNGILFNHESPRRGETFV------TRKITRGLANIAQGLEKCLYMGNIDSLRDWGHAKDYVRMQWMMLQQDQPEDFVIATGQQYSVRQFIEWSAAELDEIATVTNITGDKVRIDPKYFRPAEVDTLLGDPSKAKVKLGWEPELTAQEMCAEMVR-------------- 135115304 ------LVTGITGQDGSYLAELLLTKGYEVHGIKRLNTNRIDHIYQDPFEYGDLSDTSNVTRIIQEVQPDEIYNLGAQSHVAVSFEAPEYTADIDALGTLRLLEAIRFTDTTRFYQASTSELYGLVQETPQTERTTFYPRSPYGVAKLYAFWMCVNYREAYGVHASNGILFNHESPRRG------------ETFVSRKITRGLSLIAQGIESCLYMGNLNAKRDWGHAKDYVRMQWMMLQRDTPD----DYVIATGQQFSIREFITKAGACLGLEIVVRVSPRRPSEVETLLGDPSKARDELGWVPEISFDELVAEMVA-------------- 140367229 ----NILVTGGAGFIGSNFVRMALQNKFPNTVLDLLTYAGDKENLRFEFVKGDIRDLNLVTKLMSNH--EVVVHFAAESHVDRSIEGGSEFVSTNVMGTQVLLDAARNSNVKRFLHVSTDEVYGSISKGSWPEDHPLLPNSPYSASKAGSDLLVRAYNRTHKLDTVITRCSNNYG--------HFQFPEKVMPLFITNILEGKKVPL--------YGNGLNVRDWLHVDDHCRGIALAL---VKGKSGEVYNIGGGTELTNIELTHKI----------------------------------------------------------------- 134981718 ---QKIVVTGGAGFVGTNLIKRLIKEGHQVWSIDNYATGFESNHQEGCTYITD--DVQTTE--FDFSDINVIYHLAALARIQPSIKNPKEAIDANVVGTLNILDKAREHDIPVIYAGSSSKHHGLWG-------------SPYAWSKYGGEQLCELYSKVYGLNTVICRFYNVYGPHQ------------IESGTYATVLGIFQRQYRNNEPLTITGDGEQRRDFTHIDDIVDALYRCMGKTFSAD---IFELGRGENFSINE----IAKIFGCE--YKYIPARPGEYPYTLCDYSEAKEKLNWQPINNLQDYIKEVI--------------- 134347214 MMSKVALITGITGQDGSYLAELLLEKDYEVHGIVRRSSLINTHRIDHIYPQGDLTDATNLISVIKKVEPDEIYNLGAQSHVKVSFETPDYTAQVDGLGTLRVLEAVRMEKKTRIYQASTSELYGEVQETPQSETTPFHPRSPYGVAKLYGYWIIKNYRESYKMHCSSGILFNHESPRRG------------ETFVTRKITQGLSRISSGLQSSIELGNLNAKRDWGHAKDYVEAMWLMLQ----QDEPDDYVIATGQQYSVKEFIEEIEWMGEGLDEIGYDWFRPAEVESLLGDATKAKEKLGWEPKTTFKELVEDM---------------- 144155924 LAGRRVWVAGHRGMVGAALVRRLESEGCEILSVDR--------------SVLDLRDSEAVEWWMLNARPEVVFVAAAVGGIAANHAMPWDFLHANLAIASSVIGAAQRQGVAKLMFLGSSCIYPRLAAQPIAEEAPLEPTNEWYAAKIAGLKLVQAARRQHGCDFVSVMPTNLYGPGDNYDLETSHVLPALLRKAHDAKVRGER-------NLPIWGSGAPRREFMHVDDLADACVFLMKTWSED---IWINVGCGSDVSIRELAEMVMRVVGLEGEVLPDLSRPDGTPRKLMDSSRL-AALGWRPRIGLEEGIARVYA-------------- 296440595 MRKKKVIVTGATSMIGSALIEYLVDRNIKVLAVCRKETSKIENLILHPNVKVQFADLNELDQLESTEQYDACYHFAWQGTNAEYREDVYL-QNSNIKYTLDAVKMAKRLGCKVFIGAGSQSEYGRVEGKLSPET-KIAPENAYGISKYCAGKISAVYAKQLNIKHIWVRIVSVYGPR---------CGESSMIISTIQKLLKGEEPEFTKGE--------QVWDYLYCEDAARALYLLANKGKDQ---EVYCMGSGNPEPLITYLYKIRDAINPNISLRIGKKPYIDIMYLCADISKLTQDTGFIPKISFEEGIKKTINYCKK---------- 158521971 ---RRVLVTGHTGFKGSWLSFWLSQMGADVYGYETRPNHFSLLNPGDETPETDIRDIRQVIDCFQSFQPEIVFHLAAQSLVRRSYREPLDTFAANVMGTANILEACRLTKSVRAVVIVTSDKCYQNNEWEWRESDPMGGHDPYSASKGCAE-LVTAAFRNSFFSTGTGHPALMATARAGNVIGGGDWAEDRLIPDVARAFNKK--------ETMKIRNPHGLRPWQHVLEPLSGYLMLGQRLIEGDRGLADAWNFGSEEDTLPVITLLKRLSDLDFDVDQQPDQPHEAGLLRLDSSKARRKLGWQPVWNCDQALERTAAWYQAFYN------- 87308800 -SGKRVLVTGHTGFKGAWLTCLLHELGANVCGLPPETTPSAEVAAICDHHLGDIRDLSKLKQVFQDFDPEIVLHLAAQPLVRLSYEIPLETFDVNVLGTANVLEACRGLQSLQAAVMVTTDKCYENREWDWSETDPLGGKDPYSASKACAEIVTSSYRDSYPTDRFQQHGVVVASARAGNVFGGGDWAKDRLIPDCIRAFTA--------GDEVVLRMPSSVRPWQHVADALSGYLTLARACVEGGVDFARGWNFGPPAEQLLTTEELVRLTAVAWGDAYRCDPPQDMPRLLLDSGLAARALRWSPRLTMEAGVGHTVAWHKAH--------- 136445045 -KSSKIFVTGHKGLVGSSIVRRLKFHGYKNLIL-------------KTKNQLDLRNQNKVKNFFKKIKIDAVINAAATVGGIENNKFRANFIYDNLSIQNNIIHSCYENKIKNLIFLGSSCIYPRNCKQPIKESSLEKTNEAYAVAKIAGIKMCESYNFQYKTNYKCLMPCNLYGPNDNYDLETSHFFPALISKIHQSIV-------KKTHTLTLWGTGNPKRELMYVDDLADACIYFLNKQSKE---TLINVGSGNEMKIIGYAKFIIKELQLDLKIKLDRSKPDGTPRKIIDSSLARKY-GWKPKVSLKEGFKKTYIDYLK---------- 134979208 ----KILVAGAGGFIGGHLVKSLLNEGHEVICADKPIEYWFQSFEKCKNFSLDLKEYENCIKV--SNGVDYIYNMACNMGGMGFIENNKAECMLSVLINTNFLRACLKNSVKRYFFSSSACVYNGSKQKDVKEDDAYDPEDGYGWEKLFSERMCRHFTEDFGLATRVLRYHNVYGPEGTYDGGREKAPAAICRKIAL-AKLNKQSSIDVWGDGEQTRSFMYIDDCIHGTKMV----------FNSNSANVYNLGSDEQVSINQMINVIEDIAGYKVSRNYQLDKPKGVRGRSSDNTKIHNDFNWSPNIKLYDGLKITYDW------------- 26546922 -----VYIAGHRGLVGSALLRRFQAEGFT-------------NLLLRSHNELDLTDRAKTFDFVMEARPQIVIDAAAVGGIMANNTYPVDFLSENLQMQVNLLDAAVAARVPRLLFLGSSCIYPKFAPQPIKESALLSTNDAYAIAKIAGILQVQAVRRQYGLAWISAMPTNLYGPNDNFSEAGSHLLPALIRRYEEARCSGR-------SEVTNWGTGSPRRELLHVDDLASACLYLLEHYD---EPTHVNIGTGVDHTIREIAEMVASAVGYAGETHWDTTKPDGTPRKLLDISVLRQA-GWEPRIGLRDGIESTVAWYREN--------- 8050839 --SKRALITGITGQDGSYLAEHLLSQGYQVWGLIRRKSRVSRLASELDFIDGDLMDQGSLVSAVDTVQPDEVYNLGAISFVPMSWQQAELVTEVNGMGVLRMLEAIRMRGQIRFYQASSSEMFGKAAETPQRETTLFHPRSPYGAAKAYGHYITRNYRESFGMYAVSGMLFNHESPRRGQEFV------------TRKISLAVARIKQGLQDKLALGNLDAVRDWGYAGDYVRAMHLMLQ----QDAGDDYVIGTGQMHSVRDAVRIAFEHVGLNWYVVIDPDRPAEVEVLCADSAKAQDRLGWKPDVDFPTLMRMMVD-------------- 138339141 -------------------------------IYDNFSNCKKSVIKRIKYVNGDIRDEKKLVKLINKYKVKSVIHFAALKSVEKSILDPIEYYENNVGGTISLLSAMKITGVKKLLFSSTAAIYGEPKYLPLDENHPLKTLNPYGNTKLIIENILEDFNREDDWSILCLRYFNPVGSHPSFLIGDDPRIEKNTMPSIIKVVKGIEKYFEVFGNDYDTPDGSGIRDYIHIMDLASAHVSGVDFLSRKNTFEVFNIGTGYGISVFEFLKTFEGICGSKIPFKISSRRLGDP--------------------------------------------- 137144248 ----KYIVTGGAGFIGSNIVKKLVARGDNVVVIDNLNTGREENLASVKDKIIDILNVDLLEQ--HTDALDGIFHQAALASVQDSFSKPEEYNNVNVNGTENILKLAKKND-FKVVYASSSSVYGNPEKIPISESDSKNPINPYAETKLKKEGLAVKYSQI-GVKVIGLRYFNVFGKGQS--------KEYAGVLKLFLERIRDQLPPKINGD------GTQFRDFVYVEDVADANIMSMDSDVNH---EFFNVGTNTSITILQLAKTIIESAG------------------------------------------------------------ 308812911 -ENSWALVTGGAGFIGSEVVRQLLDIGMRVRVLDDLSTGSPAKLAFAQFVKGDVRKYEDVSRAMNGVDF--VFHLAAVSKVKPTLDFGREDVESNVRGTENVLRATRARRRARFVYVGSSTYYGNQPTPFDEERTHLRTTSPYATTKAQGEDLARLYYSLYGVEAVVTRIFMVYGPG------EPAEAEQAVVTGRFFAAASKGDALEIEGD------GSQFRDFIHVSDAARGI--VLAAFAEGAPGRTFNIGSGKSTTILDLAKMISK------RHTFVDAREPDLKGTLASTCAAKRVLGFEAKMSLTDYIRKT---------------- 138676828 --------------IGSHIVEQLIKTKARIIIIDNLATGYKKLIKKAIFVKGDIKNFKKLSKIIYKYKIDSIIHLAAYLNISEAERNKKKYYQNNVIGTLNLIKSCKSSNVKSIVFSSSCSIYGNAI-GAVDENRKANPKGYYARTKYKGEKIIIKYAKKYNYNYAILRYFNIAGASSSNKIGEINSSHGHLFKNIAIQSLKKKPVINVYGADYKTKDGTCVRDYMHVSDLSVAHVKALKYLKEKSKSVILNCGYGKGYSVLE---------------------------------------------------------------------- 28373938 -EPRKILITGITGQDGSYLTEFLLGKGYEVHGLSNFNTQRINHIYIDPHHYADLTDASSLRRWIDVIKPDEVYNLAAQSHVAVSFEIPDYTADVVATGALRLLEAVRSHTIDVKYYQAGSSEMFGSTPPPQSETTPFHPRSPYAASKCAAHWYTVNYREAYGLFACNGILFNHESPRRGENFV------------TRKITRALGRIKVGLQTKLFLGNLQASRDWGFAGDYVEAMWLMLQQEKPDDYVVATEEGHTVEEFLDVSFGYLGLNWKDYVEIDQRYFRPAEVDNLQGDASKAKEVLGWKPQVGFEKLVKMMVD-------------- 140138330 ----NIMVTGGAGFIGTNLIKRLLKDGHNVVSLDNYSTGTEDNHQEGCYVDADIRDVIDFD--YFMEDVDIIYHLAALARIQPSFQYPANTLEVGILGTMNILEWAKEKDC-KVVFAGSSSVHSGKLE------------NPYTFSKNVADDMCLLYKKHFDVDVSICRFYNVYGPHQLT------EGEYCTVVGIFENQYKNKEELTI------TGDGEQRRDFTHVDDIV-------EGMILTANSKDSWDNIELGRGKNHSINELAKMFD--WGYTYIPERPGEARETLCDVKETKEKIGYEPK-------------------------- 141499486 MKTKNILITGSSGFIGFHVSEFLLKKKINIIGLDNHNNYYDVSLKNKRFYKVDISKKKDLKKVFEKNKIDLVIHLAAQAGVRYSFINPNKYIDSNITGFTNTLELMKKNKIKKLVYASSSSVYGNCKKFPFRENFDLSPLNFYGQTKLFNEKMVKIYEENYNLKCIGLRFFTVYGP-------------YGRPDMFIPKILNKIKSNKVINLFNA---GKHSRDFTYVKDIAQLIGKIIIKFPQKRKG------------------------------------------------------------------------------------- 135069024 ----KVLVTGGAGFIGNALALSLHEAGKEVVIVDSVNDYYDPALKEAPVIRLDITDRAGLARVWQEHGPDRIAHLAAQAGVRYSLENPYAYADSNYLGTLTLFELARAHEVPVV-YASSSSVYGNSPDTPYREDMPVEPISAYAASKRATELLAHSYCHLFALDLTGLRFFTVYGP--------WGRPDMAFFKFTKAILSGEPIELYNGGDL--------QRDFTYIDDIVA---GFLAALAKPQGYRIYNLGNENPTHLTDFLATIEAALGQKAEVV------------------------------------------------------ 296270832 ---RRALLTGITGQDGSYLAEHLLREGYEVWGLVNPRVARVRKLLKDVRIRGDLLDQGSLIAAVEKVQPDEVYNLGAISYVPMSWEQAELTAEVTGMGVLRMLEAIRVCSQIRFYQASSSEMFGQVRETPQTELTPFHPRSPYGVAKAFGHFLTQNYRESYGMFAVSGILFNHESPRRG------------VEFVTRKVSLGVARIKLGMAKELRLGNLDARRDWGFAGDFVRAMH----LMVTAPKPEDYVIGTGRMHSVRDLVEVAFATVGLNWRDYVVTDRPAEVDLLCADPKKAKTQLGWEPKVSFEELVQMMVE-------------- 140787395 ----NILITGGAGYIGRQIINLIDKKKFNIVVVDNLNTTKKNYLPKNIKEKINILNKKKLEKLFSIYNFDGVIHLAAKCVVSESQKYPDIYYETNIIGTKNIIRYSKKFKVKHFIFSSSCSIYG-NSDGIVKENNKKKPVSYYGKTKLIGENLIKRSFKNTKIKFVILRYFNVVGADLKNKIGEIGDKDRLFN-NISKKIINKNFKINIYGNDYKTKDGTCIRDYMHVYDLA----------------------------------------------------------------------------------------------------- 136789298 ---QKILLTGSSGFIGKYLTKEL------------------NTIYNIYHLKSDLRDYDKVREEVLSFDPNIIIHLAARTEVESSFYEQNAFSEINYVGSVNLIESAKDCTDLQFLAASTMETYGWPEYWAFDENTPQNPLAPYAVAKVGMEKYLEYAKRAYGLPFAAIRTTNCYG-RWDNNFFVTESIISQMVKSKEIN----------------LGYKEPYRNFIYINDLLNLYKLMISNPEAATSGGFFCCGPNNPIKIEHYADKIANLMGWSGKINWDTRRPGEIYYLSSLNGKANTYLGWKPEVSLEEGLKNTINW------------- 56202559 ---------------------------------------------------MDILDQGALQRLFKKYSFMAVIHFAGLKAVGESVQKPLDYYRVNLTGTIQLLEIMKAHGVKNLVFSSSATVYGNPQYLPLDEAHPTGGTNPYGKSKFFIEEMIRDLCQADKWNAVLLRYFNPTGAHASGCIGEDPQGPNNLMPYVSQVAIGRREALNVFGNDYDTEDGTGVRDYIHVVDLAKGHIAALRK--------------------------LKEQCGCRIPYKVVARREGDVAACYANPSLAQEELGWTAALGLDRM-------------------- 141027779 MKHK-IFITGAAGFVGSHLTEQLIKKKYKVVAFDRYNSNNDFGWLNKIRSKGDIRDFDSVYNAMRGCK--VVFHLAALIGIPYSYISPVAYLKTNVEGTYNVLEAARRLKIKNVIVTSTSEVYGSAKYLPINENHPINSQSPYAASKAAADQLAMSFYKSFNLPVKIIRPFNIYGPRQS---------QRAIIPTIISQTLNKSKIITL-------GNVKPTRDFNYVLDVVDAFIKIMNSKKAIGQVLNV--GSNKKKYISYLCNEILKITNIK---------------------------------------------------------- 118086493 --KKVALITGITGQDGSYLAEFLLEKGYEVHGIVRFNTGRIEHLYKNSQAHGDLTDSTCLVKIINEVKPNEIYNLGAQSHVKISFDLAEYTADVDGVGTLRLLDAIKTCNSVKFYQASTSELFGKVQEIPQKETTPFYPRSPYGAAKLYAYWIVVNFREAYNLFAVNGILFNHESPRRGANFVTR-----KISRSVAKIHLGQMDSFSL-GNLDAKRDWGHARDYVEAMWLMLQTDEPEDFVIATGEVHSVREFVEKSFKNENEVGRCKETGKIHVTVNHKYYRPTEVDFLQGDCTKARQKLNWKPRVTFDELVREMVD-------------- 139042953 --KKKVLVTGGLGFIGSKICENLLKQNYEVVILDNFYSNTKKKILNCKIVKGDITNFDSLKKI-KIRNIDYIIHLAAQSSGPKSFLFPEKDININILGTINIIKYCNLKKIKRLVFSSSFTVYGNPLKPIVNETDNCKPRSFYAVSKFACENYIKLLCEKFKIDWVILRLFNVYGPGQD---------------------------------------------------------------------------------------------------------------------------------------------------------- 254478573 ----KVLVTGGAGFIGSHIADLLIENGYEVVIVDNLSTGKEEFIKKAIFYKKYITD-DDLYEIFERERPDYVIHQSAQIDVQKLIDNPVFDAKVNILGTVNLLECCRKSDVKKVVCASSAAVYGNPEYLPIDEKHKVNPISYYGVSKHTPEHYFEVYSQLYGLKYTIYAIQMCMG-------------------------------------------------------------------------------------------------------------------------------------------------------------- 310003126 ---KRVLITGGAGFIAHHLIGQILETDWEVITLDRLDYSGNLNRLHDLMLSFDPEVRKRVRVVHHDLKADYILHLAAGSHVDRSIEYPLEFVMDNVVGTCNILDFARTQKENRFIYFSTDEVFGPPDGIKYKENDRYNSTNPYSASKAGGEELAVAYENTYGLPIYITHTMNVFGERQ------------HPEKFIPMCIRKVRNGESVTIHSDSTKTVPGSRHYIHAEDVASNHEGGFEPTWGNAKCPKFNIVGAEELNNLELAQIIAESQGKEEMVDFHSSRPGHDLRYALDGDKMK-ELGWVPAKSVRERISEVTRWTLANERWL----- 141123430 -----------------------------------------------------------------------------------------------------------------FVFSSTCATYGDQDGIVLDEDSFQHPVNAYGASKRAVENILADYQATYGLNQVIFRYFNVAGADLEAEIGEFHQPETHLIPLILDAVDGQCEALTIFGTNYNTPDGTCIRDYVHVCDLVDAHVLGLNWLQEGRGSRVFNLGTGCGFSVREVVQHAGQVTKRPVPIIEGARRLGDCTKLVSGSFRAVSELGWSARSNMEQMITDAWRWHQN---------- 138549091 MKDK-ILITGSAGFIGYYLSKELIKQGFYVIGIDNLNDYYETNLKKARFYEIDILNLDELNAVLLEHNISCIIHLAAQAGVRYSLINPKSYIDNNIIGTFNILEICRTYSINKLIYASSSSVYGSNKKVPFKETDFDHPVSLYAATKKTNELLAQTYSHLYKIKTIGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 143206454 ----HIFITGVAGFLGSNLADYYINKGFKVSGCDNLVGGDIDNVNKVIFYQGD-CEKLDFMTQATKDNVDVLCHTAAYAHEGLSSISPTLICSSNVIGSTSVFTACIRNKVKRIVFCSSMARYGNIK-APFSEEDTLNPVDPYGVSKVAAENILKILCKTHGLEYNIAIPHNIIGP------KQKYDDPFRNVASIMINLMLQNRQPIIYGDGEQKRCFSDIDDCIYCLDKLITDKSIVSQTINIGPDEEY-------ISINQLFKIISNKLQFNQMPKYFKDRPNEVKEASCSSDKARRLLNYNTKVDLDKSLDKVINF------------- 140832857 ---------------------RLKLLGINNKNLKNKSINESTIFENFFFYKIDICDYDSLVNLFEIYKFDYVINLAAQAGVRYSIENPKAYLETNINGFFNILECSRKFKIKHLVYASSSSVYGNSKIVPFKEDENVDPVSFYAATKKSNELMAHSYGSIYNLKTTGLRFFTVYGP----------WGRPDMAPILFANSICKGSLIKVFNKGDL------ERDFTYIDDIIDGTVLTIFSQSKKNQIYNIMNGLGNPVNLMNFIKILENEFNIKAKLKMYPMQLGDVKKTWASIKKLKS-LKYKPKVEINNGIK------------------ 137215457 --NKKILVTGGAGFIGSALIRNIISNNHSVVNIDKLTYAGNESSDRYFFELADICDANKIKNIFEKYQPDLVIHLAAESHVDKSINAPSEFIQTNIIGTYVLLEHSRMYWKFRFLHVSTDEVYGDGTNDLFTETTRYAPSSPYSATKAGSDHLVRAWNRTYKLPTLITNCSNNYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 138074919 -SKMKVLVTGGAGFVGTNLIKRLLSDGHEVVSIDNYYTGKKENHQKGCKYNHDLRNLTDFMDV------DVVFHLAAIARIQPSFKLPKEYFTTNANATLNLVDWCANNNVPIIYAGSSSK-------------HSGRFKNPYTFSKDVGEDIISLYQQHYNLKSSITRFYNVYGPH------HLKEGGYCTLIGKWEKAIEDGNPLTIYGD------GTKRRDFTHIEDIVGALVKIME---QEAYGYDFELGRGKNYSIKDIADMFE-----YGDIVYEDNKPGEALITLCEDTLASEVLGWTPTLDINEYIKSYID-------------- 138149498 --------------------------------MDNLSGGHERNLEHRHFENLDICSLRPENAIFKDANL--VFHFAGIGDIVPSIENPIDYMQTNVQGTVRVLEAARSAKIEKFVYAASSSCYGLAT-TPTSEDHPIAPQYPYALSKYQGEQAAMHWNNVYGLPVNSIRIFNAYGTRVRT------TGAYGAVFGVFFKQKLAGKPFTVVGDG------NQKRDFIYVTDIARA---FLAAAQTSSAGQVFNLGAGAPQTINRLVELL------GGEIMYVPKRPGEPDCTWADIRKITTELEWHPTISFEEGVA------------------ 255534100 -KNAKIYVAGHRGMVGSAIYRKLQKEGYQNLIV-------------RTSAELDLRDQLAVTGFFQKEQPEYVFLAAAVGGIVANNTYRADFLYENLCIQNNVIHQAYKNEVKKLMFLGSSCIYPKLAPQPLKEEYLLETNEPYAIAKIAGIKMADAYRAQYHCDFISVMPTNLYGYNDNYHPQNSHVLPALIRKFHEAKINGSE-------EVNIWGSGTPMREFLFADDLADACYFLMQNFNEPG---FINIGTGKDLTIKDLALLIKNVIGFEGKLTFDSSKPDGTPRKLMDVSKLHS-LGWKHKTELEEGIKLAYADFLA---------- 262277590 -KSKKIFITGGAGYVGSVLIPKLLDKGHQVTSYDLMIFGQTQKHKKLNIIRGDIRDFNLLNKSLEGH--DTVIHLACISNDPSFELDPELGKKINLDSFAPLVESSIKNNIKKFIYASSSSVYGIKKMKNVTEEMPLEPLTDYSRFKADCEKILSKYTSDN---------FCTVVLRPSTVHGYSPRQRLDLVVNILTNLAFHKREISVFGG-------EQLRPNIHINDMVRAYELFVDLDVSKINGKIYNVGAEN-KTVNEIALEVKKIIGSDVTIKKVHS--DDNRSYHTSSNKILNELNFKTQYDVSQGIRDLLEAFKKLINTFDNDN- 170740319 MSGRSILITGGAGFIGANLADALAAEGRDVLIYDALVEANLDWLKRRHPRRVDVRDTAS--LSAAAARAGAVFHFAAQVAVTTSLVAPAEDFAVNLGATLALLEALRGRPDPALLFSSTNKVYGDLGDLAFREDRSLDFHTPYGCSKGGADQYVLDYARSFGVPTCVMRMSCIYGPRQMGT-------EDQGWVAHFLIRALEGQPIAIYGDGC------QVRDILHVADAVAAYRAALARDLVSGRAYNLGGGPANAVSLRQVLAAIRDIVGRPLDLSFHDWRAGDQRYYVSDTRRAGADLDLAAPIPWRRGLADLARW-LAEARGLA---- 136182183 -KNKKVFVTGVTGQDGSHMVDYLLKNTDHIIIVRRLSIKNHENIKHFKIVNFDLSDAHSISKIVETIKPDYFINLAAQTFVGSSWDFPAQTWECNTTGVIHILEAIKQHKPCRFYNAGSSEEYGNVEYIPQDEKHPSKPRSPYGASKSAARQLVKVYRESYNLYAIQGLLFNHEGTRRGEEFVTRKITKGVAKIKKSLLENKAFEPIEL-GNIEAKRDWSDAEDFVHGIWLMLNQDKPKEYVLSSNETHTIRTWHGNGLNEQFSISTNDYVSSVLVKINPKFFRPAEVDLLLGDSTKARTELNWSPKCSFEQLVEKMIK-------------- 309789738 ----RVFITGITGPVGSFLADHLLTPGLELHVFKRWRSDPRHLIGRVTIHEGDLEDAFAVDTAVRTAAPHRVFHLAAQSYPSASWQAPVLTMRANVEGTLNLLEALRRHAPHRIHLAGTSAQYGTPDSVPIREDHPMRPGSPYGVSKVAMELSGLQYHDNFGMHVLVTRSFNHVGPRQG-----DRCSIQTFCRQMAEIEAGRQPPILHVGNL------EPRRDFTHTRDVARALWLLLEH---GNPGEVYNLCSGRATRIGDIVDLVVARGRVPVEVRQDPARPSDEPILQGDNSKLCAATGWQPTISMEEIVEEVLDYWRA---------- 4097914 -EHKRALITGITGQDGSYLSEFLLGQGYEVHGIIRFNTDRIDHIYEDPHKEGDLTDGTTLRRILEEVQPTEIYNLGAQSHVRVSFDSPEYTVDSVGMGTLRLLEAIRDYQHRRFYQAGSSEMYGLVQAVPQSETTPFYPRSPYACAKVYAHWQTVNYRESYGLFACNGILFNHESPRRGETFV------TRKITRAVARIVAGKQKTLYMGNLDAKRDWGYAKDYVRAMWLMLQQDQPDDYVIATGETHSV----------REFLELAFSHVNLDWYVEFDERRPAEVDLLIGDSTKAQQKLGWRPSVTFKELVALMVD-------------- 297568342 ---KKAFITGVTGQDGAYLAELLLQKGYEVHGLDHLYEGPEIKNRRFILHHGDMTDSSSLIRIIQQVQPDEIYNLAAQSHVAVSFEEPEYTANSDALGVLRLLEAIRIENKTRFYQASTSELYGLVQETPQRENTPFYPRSPYAVAKLYAHWIVVNYREAYGMYACNGILFNHESP------VRGETFVTRKITRALARIKLGLQERLYLGNLNASRDWGHARDYVEMQWLMLQQQQPEDFVIASGRHYSVRDFVNTGISLNWQGEGVAEKGDGHCIVAIDPRRPTEVDTLLGDAGKAREKLGWTPKTSFKELVAEMVR-------------- 135371889 -----IIVTGSCGFIGFNLINSLSE-TYKIIGIDSLNNAYDNNLKKFEFKQINFSKESDLDENNEVFNCTAIVHLGARAGVRQSFADPEKYLIDNTLGTSNLCLKVKEFEIPRFILASTSSIYGDTGTKLAVEDELTQPPSVYASTKYFGETLAKNILEKTDTIVQIPRFFTVYGP--------FGRPDMSILRFIHWIFNDE--------DLILYGDGNQRRSFTFISDIVDG----LNKLLNFNEPGTFNFGSNQTWSLIDVIKIIENNLEKKARISIHERAYRDVDVVLPSLDLSKNLLSWEPTTNIEDGIRHTVEWYVEYSKVLKD--- 136526039 -TKETVLVTGGAGFIGSNFINVLFQHYHHIINFDALYYCANENKDRYRFIHGNLQSLDLLNYIFQTLPITHIVHFAAQSHVQNSFTDAIQYTQDNILGTHNLLEAVRLYCPKKFIHVSTDEVYGEADEQHKTEQTVLCPTNPYAATKAGAELLAQSYNHSFKIPIVITRGNNVYGLN--------QYPEKVIPRFIEQLKKGEKVTIQGNG--------SCVRAFLHAEDTAHAFLKILEK-GQVGEIYNIGCDEGMEYSILEVAKILIKEADCAQWITFIEDRPFNDQRYYISNQKLK-ELGWSIKIDFFAGL------------------- 142724623 ----RILITGTAGFIGFHLTKKLLEMGHEVTGLDNINDYHRLSILQTHRFNFHKTDINNIDSVKPKFDLA--INLAAQAGVRVNKDKEPYFQTTNVNGFKKFMKYCSDNSINKIIYASSSSVYDDSSNVEFTENDDLKPKSIYGKTKLFNETYADKFKM--DLDCLGLRFFSVYGP--------LGRPDMAYYSFTESLKKAEEIKLNNAG--------SMKRDMTYIDDAVNGIICSINFLMNSKGNEIFNIGNGFPISTNELLREIERNLNLKAKIKAVKV-SNESKYTHANLKKSRKILGYNPIVSFEVGIKRFLDWHKSFEK------- 77404745 ME-MKVYVAGHRGMVGGAILRRFEAAGTETV-----TRAHAE---------LDLTDQAAVRDFFQTEQPDAVVLAAAVGGIYANNTYPADFIYDNLMIQSNVIHQAHAAGVRKLLFLGSSCIYPRDVAQPMAEEALLEPTNPYAIAKIAGIKLCESYNRQYGTDYRSVMPTNLYGPG-DNFHPENSHVLPALMRRFHEAVVEGRDEVLIWGSGRPMREFLHVDDMAKGALFVMGLDAETYRANTQPMLSHVNLGTGEDISIADLARLIAEVIGFQGRIVFDTSKPDGAPRKLMDVGRL-TLMGWRAEIALQEGITETYRWFTKH--------- 141609019 -----------------------------------LSALGPDHVERHQLLKVDLADAEAVAAAVAQADPDLVMHLAAESHVDRSIAGPGVFIQSNVTGTYNLLQAARSHYQFRLHHISTDEVFGLGPEGRFSETTPYDPRSPYSSSKAASDHLVSAWHHTYGLPVVLTNCSNNYGP--------WQFPEKLIPVVTLKAAARKAIPL--------YGDGLNVRDWLYVEDHVDAL---LLAACRGESGRSYCVGGYGERTNREVVECICKHLDHADLIEMVNDRPGHDRRYAIDPTRIETELGWSPRHEFSTAIAETVRWYLKH--------- 136131154 -SDSRVLVCGANGMVGSAIVRNLEEKGYTNII-------------KAKRKYVDFTDELITDEYIQSVEPDYVFVAAAVGGIMANKNYKADFLTQNLRIQTNIIESSHRWGVKKLLFLGSSCIYPKFATQPITEDQALEPTNDAYAAKIAGIMMCQAYRQQHGFNAISLMPTNLYGPNDNFDLETSHVLPGMIAKFH-------RAKETQFPYVECWGDGSPMREFLHVDDLAEACYV---AMKNYDGEQHINVGTGKDVTIKELAETISEVVGYNGEIIWDELKPNGTPRKVLNIDKIKS-LGWNPRINLQ---------------------- 143723320 ---KKVIVTGGLGFIGSNLIKILLKKKYFVINIDKVSYSSNSKNKNYKFLKVDINNEKKILQILKKYKPNAIFNLAAETHVDRSIDEPENFIRSNIFGVFRLLQSFKKFIKKNLIHISTDEVYGDVLTGRSKEDDQYKPSSPYAASKAASDHLVYSYIRTFKIPAIVTNCSNNYGPR--------QHPEKLIPKLIYNIFNNKNLP--------IYGKGLNSREWIFVDDHCNALIKIFEKGEIGNFYNIGSNFNLENIKITKMLIKIAKTVGKNVKIKYVKDRPGHDIRYALNSNKLIK--------------------------------- 142924257 MEKKKALITGIAGQDGSYLAEYLLSLNYKVIVRRNSTPEHNPNLKNLETHYGDLSDISSLNKILLKVKPDEIYNLAAQSHVRISFDVPQYTVQTNAVGVLNLLEAYRQSCPKKFYQASSSEMFGVDEDGYQRETTPFKPVSPYGCAKVFAFNICNNYRNSYGLHITNGILFNHESPRRGSNF------------VTNKVVKTAVEIKKGLKNRLELGNMDSYRDWGHSKDYVKAMHLIINNKI--PDDFVVATGKTNSVREMTNYVFLKLDLDFDKYVFQNPKRPEELKYLKGDCSKLKNNFDWEPEYTFEKLMDEMIEFWLKN--------- 298372944 ---KTALITGITGQDGSYLAEFLLEKGYEVHGISSFNTGRIEHLYFEEWVRGDMTDSSSLLRIIQIVQPDEIYNLAAQSHVKVSFDVPEYTAETDAVGTLRLLEAVRMEKRVRIYQASTSELFGLVQEVPQKETTPFYPRSPYGVAKQYGFWITKNYRESYGMFCVNGILFNHESERRGETFV------TRKITLAAARIARGYQERLYLGNLDALRDWGYAKDYVECMWLMLQHPTPEDFVIATGEMHSVREFVEAGINIRWEGKGIDEVVTGKVLVEVDPKRPAEVEQLLGDPTKAKTLLGWNPKTSFDELIKKMVRYDLEY--------- 110668875 ----HVAVTGAAGYIGSRVVRLLQNAHWALTAIDNFYLGDVRGIGETDVVHVDVRNRSALEDALTGSDI--VLHLAAVSGVDDCETNADLAYEVNVTGTTNVAWFCRKTGA-ALAFPFSMAVLGDPESFPISVDDGRDPMNWYGRTKLIGERLVEEFAADT-FPAHLFLKSNLYGEHT----IDGQRVSKGTVINFFVNRVFDEKPLTVY------EPGTQSRNYIHVDDVARAYVRSAERNRDETGIEKYEIASKEDPGIMTVAETVREEIDTDVDVTLVENPRGGVDRFEVDISKAREQLNWEPQHTVESSIRRLLQ-------------- 218960959 --DKRVLITGHTGFKGSWLSLMLFELGAKVTGLDPVNCEDHFNLIKIEDIRGDILDFNKLSKVFEDFKPEIVFHLAAQTIVLESYQNPKDTFDINVQGTVNILECCRNSNSVKACIIVTSDKCYENKEWLWREIDRLGGKDPYSASKACAEFVTYSYLTSF-------RQLNLASVRAGNVIGGGDWANNRIVPDCMRALIA--------NEPIIIRNPLSIRPWQHVLDALYAYLLLAIKLSEDKNTYQGVWNVG---PNLDSMKTVEKLVEKKMKIEQKTNANPEAKYLFLDTTKIKEILHWKPSWNFDESVFYTVEWYKNYQAG------ 138294008 MKNKKILITGVAGFIGFHLAKHLISLGHNIIGLDNINDYYDVDLKFAHFVRLNLEDHDLLLKLFSKFNFDIVCNLAAQAGVRYSIENPSAYSSSNIVGFLNVLECCRHSNVKRLVYASSSSVYGNNTVAPFNENDFDNPISLYAATKRSNELMAYAYSHLFGFETIGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 144223675 --TKNILITGGCGFIGHHFVEHIHKTDWNIIIIDKLSYASNGFERLRDTKTIDLINPLSDGIKKEIGNVDIIVHMAAETHVDNSIKDPKLFIKNNIDSTYNMLEYAREKNLEIFFYFSTDEVFGPANDTLFKEWDRHKPTNPYSASKSAAEQICISYENTYKVPLIIVNVMNAFGERQ------------HVEKFIPLCIKKIMNNEKISIHSYPDKKTSGTRFYIHARNIAAAIYFLI---KNGKLGEKYNISGEREVSNLEMAQLIANFMDKKLNYEMVDNRPGHDLRYGLDGSKLFN-LGFKLPLNFEDSLRKTVHWTLENKKWLE---- 141166064 MKKIKSLVTGGAGFIGSNLVDELVNRGHYVTIVDNFVTGNKRNIAHHKKSKVDLSKHNNLNKIVKGNDF--VFHLAGLADIVPSIEKPKEYFKTNVEGTFKLLNACKDLKIRKFIYAASASCYGIPKKYPTDEKSKIDTKYPYALTKFLGEELVMHFAKIYKMPNLSFRFFNVYGLRSRT------SGAYGAVFGVFLAQKYAGMPLTIVGNGKQT------RDFIHVSDLV----------------------------------------------------------------------------------------------------- 143841779 MKNKRVLITGGAGFIAHHLIYYLLKNDWEIISLDRLDYSGNLNRLNDILSEFSEKQKSRIKVVYHDGKVDIILHLAAGSHVDRSIDFPMEFVLDNVVGTANILEYARKINQERFVYFSTDEVFGAPKGIDYKENDRYNSTNPYSASKAGGEELSVAYENTYGLPVYITHTMNVFGER--------QHPEKFIPMCIKKIRDGEL----VTIHSDKTKKIPGSRHYIHAEDVAEAIYFILTNNLESAKCPKFNIVGSEELNNLELAKIIANSQGKEEMVDFHSSRPGHDLRYSLSGEKMKQ-LGWQPSIKLTERIKQVVQWSLNNQNWIE---- 136174923 -----VLVTGAAGFVGSRITQKLIEQGRKVLALDCFLPNLYSAELKRSWKNLDSPNLVKLESMLEPYPIDSIINQAAMPGLAADWSNFAPYYECNLSALNRLLEFSRKIKINSFIQASTSSVYG--KRAIGSEDQELNPTSPYGVSKLAAEKLILAYSDWYSIPAKILRYFSIYGPNQRP----------DMAYAKIIDALLHDQEFNVYGDGEQKRSNTYIDDIVDATLLAEL----------KAPTASVLNICGDEISLNSAISILEKHTNRQLKRINSSGRIGDQRDTSGLNSQAKQLLSWHARVGIEEGL------------------- 135123626 -RNSRALVLGAGGFIGNHMVNRLKSEGYTVVGAD-LKYPEFQETNADEFVIGDLREKDFVLDLFDSLNFDEVYQFAADMGHDADIMHNSAVINLNVLDVLRMKALTTGKQETKVFYSGSACMYPDPDNPNLEESSAYDPDSEYGWEKLFSERLYLSYNRNYGIPIAIARYHNIYGPLGTWDGGREKAPAAICRKIAQIDGGS--------GEIEVWGDGTQTRSFLFIDDCVEATRRLMQ----SKYSQPVNIGSEEMVSINGLVEVVSGISGKQILKNHKLDAPVGVRGRNSDNRLIRKVLGWDYSVQLQDGLGKTYRW------------- 307942668 LANRRIWVAGHRGMIGSAIVRRLKAVGCEV--------------LTATRAELDLTNQATVFEWVRENKPQAVFLAAAVGGISANDTLRGEFIYENLAIALNVIHAAHLHCVEKLMFLGSTCIYPRLAPQPMNEEALLKTNEPYAIAKISGIKLCEAYRDQYNDDFISIMPTNIFGPGDNYHAEHSHVVAALIRRFHEAKANGDA-------EVVVWGSGTPRREFLYVDDLADACVFAMERYSDAG---VLNVGTGKDIEIGSFAKVVADVIGFKGNVVFDPSRPDGTPRKLVDVSR-MTDLGWTASTTLRAGLEAAYADYRN---------- 284051197 --NKPALITGITGQDGSYLSELLLEKGYEVHGISSFNTDRIEHIYKDPHHYGDLTDGTTLRRILEEVKPVEIYNLGAQSHVRVSFDCPEYTVDTVGLGVLRLLEAIRDYQHRRFYQAGSSEMFGKVQEIPQKETTHFYPRSPYACAKVYGHWQTVNYRESYGLFACNGILFNHESPRRGPTFVTRKITRAVARIVKGMQKELY------LGNLDAKRDWGYAKDYVRGMWMMLQHDQPDDYVLATNETHSIREFLDVAFNYVN--------LDWHDYVKFDERRPAEVELLIGDSSKAQNVLGWKPLVSFEELVKLMVD-------------- 136177017 ---------------------------DSVTVFDALTYGNLENLPRFGFVHGDIRNPSEVRGAMKGH--DCVVHFAAESHVDRSIDSASIFMETNVLGTQVLLEAALAESIHTFVHVSTDEVYGSIESGSWDEECPLLPNSPYAASKASSDLVARAYWRTFGLDVRITRCSNNFGP--------YQYPEKVIPLFVTNLMDGRQVPL--------YGDGMNVRDWLHVDDHCRGIDLVLRSGRA---GEIYNIGGGTELTNKALTELILRAMDSPEMIRPVDDRKGHDRRYSVDWSKINTQLGYSPQESLERSLPALVTWYRSNEAW------ 135172314 ---KKALITGITGQDGAYLGELLLEKGYEVHGIDHLYQDPHDPHRRFVLHHGDLTDSSSLLRIIQQVQPDEIYNLAAQSHVAVSFEEPEYTANSDALGALRILEAIRIEKQTRFYQASTSELFGLVQEVPQKETTPFYPRSPYAVAKLYAYWITVNYREAYGMYACNGILFNHESP-----IRGETFVTRKITRALARIKLGLQDTLYL-------GNLDAKRDWGHAKDYVEMQWLMLQ----QEKPEDFVIATGVQYSVRDFVDAVAKELGMEITWKVDPRRPTEVETLLGDPSNAKAKLGWTPKISFDELVAEMVR-------------- 83592822 MDGC-VLVTGGAGFIGSRVVAGLRAAGSRVAVLDDASAGRPLPARTEGLEVGDIRDADLTGRVVAALRPAAIVHLAALHHIPSCTKDPRRALDINVVGTQSVLDAAAAAGVARVVIASSGAVYDWG-VGALSEESPTSPHDIYALSKLTNEHQLALWARQPGRWARVARLFNVIGPGDPN---GHLIPEVLDRLAGAADTARAADPIVL-----RLGHLDHRRDYIALEDAAEGLIALLGDGDPAPFSID-NLCSGRELGVEDLVTRLADLLGLRITCLSDPRRPNDRPSQLGAPERAWRRLGWRVRRPVDSALAE----------------- 89900031 MTQKTALITGITGQDGSYLAEFLLEKGYIVHGIKRFNTQRVDHIYQDPHVNGDLSDTSNLVRIVQETQPDEIYNLGAQSHVAVSFESPEYTADVDGMGTLRILEAIRIEKKTRYYQASTSELYGLVQETPQRETTPFYPRSPYAVAKLYAYWITVNYREAYGMYACNGIMFNHESPRRGETFV------TRKITRGLANISQGLEDCLFMGNIDALRDWGHAKDYVRMQWMMLQQDKPDDFVIATGVQYSVWTATALGMQIRWEGSGVEEVSREAAIVRIDPRRPTEVETLLGDPTKAKQKLGWVPEITAQEMCAEMVA-------------- 254458147 MNKKKIYIAGHKGLVGSAIVENLQKKGYT-------------NLIYKTHKELDLINQQEVAAFFENEKPEYVILAAAVGGIVANNTYRADFIYENLQIQNNVIHQSYKHKVTKLLFLGSTCIYPKNAPQPMTEDSLLTSNEPYAIAKIAGIKMCESYNLQYGTNFISVMPTNLYGPN-DNFDLETSHVLPALLRKMHEAKLNNEPKVEIWGSGKPRREFLYSEDMADACVFLLENRDFKDTFLKDEKNTHINIGTGVDVSIEELAITVKDIVGYKGELYFNTDKPDGTMMKLTNPEKL-NDLGWKHKVNLESGIKKVYNWYKN---------- 149912446 ----KYYVAGHRGMVGGAILRRLKARAAQ---------GEALEIVTRTHAELDLTDQAAVRAFMQAERPDVVILAAAVGGIHANNTYPAEFIHDNLMIECNVIHQAHAAGVKRLLQLGSSCIYPREAAQPMAETGPLEPTNPYAIAKIAGIKLCESYNRQYGVDYRSVMPTNLYGPGDNFHPENSHVLPALMRRFHEAAQEGRD-------EVVIWGTGTPRREFLHVDDMAEASLFVLDLDQTEPMLSHINVGCGEDVSIRELAGMIAEVTGFGGQIRCDASKPDGMLRKLMDVSRL-SDMGWRAQIPLERGLEEMYQWFLD---------- 111221076 ----RVLVTGGAGFIGSHIVDAAVAAGDEVRILDALLPAVHRVAPGAELVVGNVTDRGQVEAALD--GIDVVYHEAAMVGLGVDLDDLPAYAANNDLGTAVLLAAMARAGIGRLVLASSMVVYGEGGSVPVREDAPIDPRNVYAATKVAQEHLAAAWAAATGGTVIALRYHNVYGPRM------PRDTPYAGVASIFRSALAAGRAPRVF------EDGGQLRDFVHVHDVAHANLLAARRPDRPGRLTALNIGSGTPRTVGDMADALARAVGGPSPVVTGGYRIGDVRHIVASSVGAAELLGYRARV------------------------- 134768833 ------------------------------------------------------------------------------------MLNPGKYAKNNIIGGLNLIESCVKNNIKNVIFSSSASVYGTPNYTPIDEDHPLLPINYYGYSKLIIEQNLKWFSKLKGIRYASLRYFNAAGYDSDCKKFQVESNPQNLIPLVMEVAIEKRHQLKVFGNDYATPDGTGIRDYIHVTDLAEGHLASIDYIINNNKNLEINLGTGEGFSVLDIIKKAEVISNKKINYVISDRRAGDPDIVLSSSKKAKLLIDWSPRRSLDNIIKSTWA-------------- 134446170 ----TILVTGSSGFIGFHISRELLQNNISVYGIDNFGSLNGDTLKNKRTKKIDICDFKKIENIVKKKNIKTILHLAAHTGVRNSIANSKKYLESNINGFYNILEISRKYKIKHLIFASSSSVYGDAKEFPLKEFNNTDSSSFYAATKKSNEVLAYSYSNIFKVPITALRFFTVYG--------EYGRQDMAVYKFTKLIYNNKKINLYNYGNH--------KRDFTYITDIIETIKRLIKKPSKKQIPFNFNVGRGKAESVFKIIKLIEINLKKKSKILKTNKKIGDVTKTHADIKLLKK--------------------------------- 118602872 --NDKIYIAGHQGLVGSAIVRELKNQNF-------------KNLIYKTHNELDLTNQQQVANFFAKKKPQYVFLAAAVGGIYANNEFPADFIYNNLMIEANIIHSAYQNKIKRLLFLGSSCIYPKYAPQPIQETSTLEPTNPYAIAKIAGIKLCESYNRQHNTDFRSVMPTNLYGIG-DNFYSKNSHVIPALIRRFHEAKINNANEVAVWGTGKAMREFLYVDDMAKACIFVISFNKNTYKNNTDPMLSHINIGTGEDITIKQLAELIKKVTRFKGKIIWNDKIPDGTLRKRLDINKISK-LGWQVTTSLEDGLDKAYRWFMNNAK------- 28868226 ---RRILITGANGFVGQILCSMLRQAGHHVIALVGAESALSSHA--DESVRCDIRDASGLEQALCRAAPTHVVHLAAITHVPTSFNNPVLTWQTNVMGSVNLLQALQRSAPEAFVFVSSSEVYGEKQGTALGEDSACKPMNPYAASKLAAEAAFNEYFRQ-GRKGIVVRPFNHIGARQSPDF-----ATASFARQIALIEAGKQAPQLKVGNLQA------ARDFLDVHDVCDAYVALLQLADEQERPGCLNICRGEPTSLQTLLTQLMALSSSVIEVTIDPMRPSDIPSAFGNNSAMRCATGWKPKTKLDDTLEALLNYWR----------- 135424834 ----KFIVTGGLGFIGSNLIQYLIELGHSVINIDKITYSSNLHNIKNQFFKLDINERKKITNIISKFKPKCIFNLAAETHVDRSIDKSDIFIKSNINGIHNLLEAILSKKDVKLIHVSTDEVFGNIDKKRASERFPYNPKNPYAATKAAGDHLINSYSNTYGINAIITNCCNNYGPRQNP----------EKFIPKLITSIINKKKLTIYGKGQ------NSREWIYVLDHCKALY---EIFKKGKNGEKYNIGTNQNFKNIEIAKKLIKIYNTSSRIIFIKDRPGHDLRYALNSNKIKKKIKWKHKININDGLIKTFNWYLDNFKSIRNKN- 142156943 -ERRKVLITGITGQDGALLAELLLQKGYQVHGVDHLYQDPHETNRRFHLHYADMTDATNLIRLVQEVQPSEVYNLAAQSHVKVSFETPEYTANSDAMGPLRLLEAIRMENEVRFYQASTSELYGKVQETPQSETTPFYPRSPYAVAKLYGYWITVNYREAYGMHASNGILFNHEGPTRGETFVTRKITRAVAAIHHGFQKRLY------LGNMDAKRDWGHARDFVEGMWRILQQDKADDYVLATGETHSVREFVDIAFRQIEEKGVCSESGEVLVAVDPRYFRPTEVDLLLGDPAKAKKVLGWEHKTSFEDLVAEMVRADLKN--------- 77457738 -RGKRVLVTGHTGFKGSWLTLWLQSLGAQVSGLDPSTEPSARVSEGINDQRGDLRDLGALLEIIADTEPEIVLHLAAQPLVREGYRDPLGTYSSNVMGTLNLLEAIRQVGCVRACVLVTTDKVYANKEWPYREDEALGGHDPYSSSKACCELLAQSYAAFFPADKYAEHGLALATARAGNVLGGGDFAPERLIPDVLKAWSAD--------EPVTLRYPQAVRPWQHALEPLAGYLQLAAGLYEQGPEYAGAWNFGPGCSVGEVVELLSNRWPQARGLRLEKSDLHEAGLLRLDSSRARQVLGWQPRWTLQQCLTQTLDWHLAWQNG------ 145356528 -ARKVAFITGVTGQDGSYLVELLLSKGYTVHGIKRRSSSYNHPRLEHIMEYGDLTDLHALVAICRDVRPTEVYNLAAQSHVQVSFQMPMYTAEVDGVGTLNLLEAIRQEKTARFYQASTSELYGKVQEIPQSEKTPFYPRSPYAVAKMMAFWAVVNYRESYDMYACNGILFNHESPRRGETFV------TRKITLAVANIKAGKQECLYLGNMDAKRDWGHARDYVECMWKMLQQDTPEDFVVATGETNTVRHFVDRAFDVAGMKLKFEGEGVDEVGIEIATFRPAEVDLLIGDPSKALNKLKWNPRTSLEELIKEMVE-------------- 225849011 MKSKTVLITGGTGFLGSFLVKKFIEEGHKVIVLRSFSNLWRLHNLKQEILFYNI-DKTDLETPFKENKIDAIIHTATLYGRKNEKTSDIVY--TNLLFPLKLLEIATFFNTDTFFNTDTF---------FNTDTSLFGYLNRYTLSKKQLIDWLKVYSK--DIKIFNLKLEHMYGEKDDT---------TKFVPWLIVNLLKNHKKIQLT-------EGKQKRDFIYVEDVCNAYYTIFNNSDKFNKGFYYEVGSGKAIEIREFVQIVKRLTNNENTYLNIPYRENEVMYSQADISKLQTDFNWKPYYSLEEGLKKTVQWYKEN--------- 224002687 --NESVLLTGGLGFIGSHVVDLLLHRGFSVTILDDESNGHNHNKYCTEMVPNDITIVGNLEGGEKEMHFTHVIHLAAAISVAESMNDPDKYERINYGGSEKVLDWIREYFIHKVVAASSAAIYGDPDPLPLQETAPYGGLSPYADTKFRMEGLMREFVKKQNDHNHENRFFNVYGPRQD------PKNPYSGVLSLFLDAAVNGKDITILGDGLMT------RDFVYVKDVARAIVMALEKEAEDASFSVYNVCTGKSITINTLAEQVKHSTESSSNITHLDPRDGDIRESSCNPNGAKNGMGFIAAMSQEGGLKKTTDWF------------ 120603531 ---KRALITGITGQDGAYLAEFLLAKGYEVHGIKRFNTDRIDHLYQDPHEKGDMTDASNLIRIVQEVQPDEVYNLAAQSHVKVSFELPEYTANVDALGPLRLLEAIRIEKSTRFYQASTSELFGLVQEVPQTERTPFYPRSPYACAKLYAYWIAVNYREAYGMYACNGILFNHESP------VRGETFVTRKITRALARTVLGLTNGLHLGNLNALRDWGHARDYVEMQWLMLQQDKPEDFVIATGHQYSVRDWRGEGVDEKGVVKALERLTGAAGAVQVDPRRPTEVETLLGDPTRAHERLGWKPRTTFADMVAEMVR-------------- 135458945 ----KILITGVAGFIGSNLADSLIKNNYDVIGIDNLSYGSLTQVPQVDFYEADIRDK-NIISLFDNV--DYVFHLAAKNSIIDCENDPIETFNINVNGTLNIFDIAIKKKIKKVIYAESSALYEGSKNLPSIESEVF-PESIYSISKMATSFMAKEYFRSEKLITTGLRYFNVYGPRQDYRRTIPPVFSAFIIK------LLEGKQPTIFGDGSK------KRDFVYVDDVNNFHLMCIDNQET--DNEVFNIGSGKNYSIKHIYTKIKEILQSDIDPIYG---------------------------------------------------- 83309260 LAGKRVWVAGHRGMAGSAIVRRLEREDCRV-----LTVGHAE---------LDLRDQAATLAWMEANRPQAVFFAAGVGGILANSTRPAEFLYDNLAMITNAVSASRQTGVEKLLYLGSSCIYPRLAAQPMAEDAPLEPTNEWYAAKIAGIKLCQAFRRQWGCDFISAMPTNLYGPGDNYHPEHSHVVAALIRRAHEARDAGAP-------ELVIWGTGTPLREFLAADDLADACVFLMKAYSAEA---HVNVGTGIEHSIRQLAETVAKVVDYRGRLVFDVSKPDGSPRKLMDVGR-MTELGWKAPTGLEDGLRAAYAWYVAN--------- 116074429 ---KKALITGITGQDGSYLAELLLEKGYAVHGIKRFNTTRIDHLYQDPHEYGDLSDGSNLQRIIEQVQPDEIYNLGAQSHVAVSFEAPEYTANVDALGTLRILEAVRICGKTRIYQASTSELYGLVQEVPQKETTPFHPRSPYGVAKLYAYWITVNYREAYGMYACNGLLFNHESPRRG------------ETFVTRKITRGLARIDAGLDQCLYMGNLDSLRDWGHARDYVEMQWRMLQ----QETPEDFVIATGRQESVRRFIELAAQALGWAIVVRIDPRRPAEVETLLGDPTNAREKLGWTPTTTLEELVNEMIA-------------- 135957348 --KKSILVTGGAGYVGSLLVPKLLNEGHDVTVLDLFLYGNVRHDPYLKIIKGDIRDESVLKKSIKAC--DTVIHLACISNDPSFELNPDLGKTINFDCFLPMVKISKDLGVKHFIYASSSSVYGIKDEPEVTEELSLEPLTDYSKYKALCEDILMK---------EQTKDFCVTIVRPATVCGYAPRQRLDVIVNILTNHAVNNRKISVLGG-------EQKRPNITVKDMVRSYVHLVNTPINRINGKIYNVGFENHT-VNELANMVKISVGNDVEIETKP--TNDMRSYHISSKKITSETGFTTKFTIQDAIDDLV--------------- 134878753 FKKMKILVTGIAGCIGYSLVKKLKNKNTQIFGIDNINNYYSQKLKKDRNNQLQFINTDLKNDFFINYKFDLIIHLAAQAGVQYSIKNPQSYISNNISATINIYELAKNINCKKIIYASSSSIYGSNSKLPFSENDTTSPISTYATTKLFCENISRVYKHLYNIESIGLRFFTVYGP--------WGRPDMSIFKFIYQYF--NKQKITLFDSDKML------RDFTYIDDIVNGICGVMKNYKKKSKNHILNLGYGKPVQLNKIVNFLIKDLKLDLNIVNKKKLKGDVNKTHADIKLAKKLINYKPIIGYKTGIYNFLQWYVSYYK------- 21956744 -----IIVTGGAGFIGSNIVKALNNIGYKDIVVDNLKDG----TKFVNLVDLDIADYMDKEDFVAMGDIDAIFHEGACSSTTE--WDGKYMMDNNYQYSKDILHFCLDRSIP-FLYASSAATYGGRTDNFIEDRQYEQPLNVYGYSKFLFDQYVREILPQADSQICGFRYFNVYGPR------EGHKGSMASVAFHLNNQINAGERPKLFA-----GSENFKRDFIYVGDVADVNLWFWQ----NGVSGIFNCGTGRAESFQAVADAVVDQSGPVEYIEFPEKLKGRQAYTQADLTKLRAA-GYKPFKTVAEGVKEYLAW------------- 137383366 -----------------------------------------------------------------------------------------------------------------MVFSSSATIYSLNNKVPLIENSSINPINPYGRTKAAIEKILNDLYKRKKWRIANLRYFNPIGAHPTGLIGESPLGPNNIFPYITQVAAGIREKLTIFGNDWPTIDGTGIRDYIHVMDIAEGHIAALEFLFKQDKNININLGTGKGTSVLELVKTFELVNKITIPYKFSKRREGDIANVIADNSLAISRLNWLPKKTLEEMCADGWKWQKLNPNGFA---- 136262996 -DKEKILVTGCAGFIGMHTSLSLLKDQITVLGIDNLSNYYDVNLKKNRFSKTDITKMDELKKIFEDFRPSKVVNLAAQAGVRYSLENPHTYIDTNIKGFMNVLECCRHNNVDNLIYASSSSVYGGNTKIPFSEDNVDNPISIYAASKKANELMAKTYNELYDLKSIGLRFFTVYGP----------WGRPDMAISIFTKKILKGKPIKIFNYGKMGRDFTYIEDIVF---------------------------------------------------------------------------------------------------------- 136727053 MIEMRVYITGVAGFLGSHLCDGALAKDWIVHGIDNLFRGRATHMPESEFEQLDLCDISALSTSIQNFKPDVVLHYGAINGTEYFYDRPFEVLDTNVMATINLLKAICDHKPKRIAYASSSEVYGEPDNIPSAETDITRIRDSYAAAKSIGDFYVRMFAEQYDIEWTLLRIFNAYGGRMDASKFGQVVPE-------FVKRALFEEEFTIIGDGLHTRA------FCHVDDHVRMVLTLVE---SEKGIGVFNIGNDEEITMLHLAKEVHKVVGREFSPIHLPKRSNDPLRRQPDMTKTWAITG--PQISLREGLTYTAEYYRE---------- 135251377 MEKEKIYIAGHNGMVGSSILRILTDSGYKNLIF-------------KDRKDLDLTRQAQVEEFFSKERPDYVFLAAAVGGIKANMNHPAEFIHQNILIQDNIIHQSYVSGVKKFCFLGSCCIYPRECLQPMKEEYMTGPLEPSNEAKISGLRMLQCYRKQYGFLGISIMPCNLYGTNDHYDLINSHVLSAFVKRFVDAVDEGTE-------SITLWGSGKAKREFMHVDDAAKAIIFLMENYDS---PEIINIGCGEDISIKELANIVASQAGYKGKINWDTSKPDGMPRKCLDVSRMKA-LGFSPSITLEQGIKKTISEYR----------- 307543600 -----IVVTGGAGFIGSNLVKALNERGRDDIVVDDLRDG-TKFINLADCTLGDYLDKDDVRAALRGEPIEAIFHEGACSDTTE--WDGRFMLENNFEYSKELLHFCQLKGIP-FLYASSAATYG-GSEVFREEPEHEKPLNVYGYSKLLFDQYVRARHHEFESQVVGFRYFNVYGPR------EQHKGKMASVAYHHHTQISAGEDLKLFGAWDGFEAGMQSRDFIYVGDVVDVNLWFLDNPEASG---IFNLGTGRAEPFKAIGEAVIDYYGRGRYIDFPENLKGRYQSYRADIERLR-EIGYREFHTVAEGVKAYLEW------------- 126433309 ----RVLLTGAAGFIGSRVRAALESAGHEVVAVDVLPAAHGAAPLPEDTHRVDVRDADALAPLLEGV--DAVCHQAAVVGAGVNAADAPSYGSHNDYGTTVLLAEMFAAGVRKLVLASSMVVYGCPAHGPVDEDEPVRPRSLYAASKAAQEHYALAWAEATGGSVVALRYHNVYGPHM------PRDTPYSGVAAIFRSELENGDVPRVF------EDGGQMRDFVHVDDVAAANLAAIEAGL--PGFEAFNVCSGRPISIIEVASELCEIRGAAPPLVTGQYRSGDVRHIVASPRRAAEQLGFRAVVDPRDGLREF---------------- 241203191 ---KRALITGITGQDGSYLAELLIEKGYEVHGIDHLYQDPHDTNRRLVLHYGDMTDSSSLVRIVQQVQPDEIYNLAAQSHVAVSFEEPEYTANSDALGALRILEAIRIEKKTRFYQASTSELYGLVQEIPQRETTPFYPRSPYAVAKLYAYWITVNYREAYGIYACNGILFN------------HESPVRGETFVTRKITRALARIKLGLQDCLYLGNLDAKRDWGHAKDYVEMQWLMLQ----QDEPEDFVIATGVQYSVREFVDAAAHEIGLPISWKVDPRRPTEVETLLGDPSKAKEKLGWEPRITFKQLVEEMMR-------------- 143193067 MKNKKVLVTGGAGYVGSATVRHLLAKNYEVYVIDNLMQGGASCFIGYPFIKGDINDETLLSELIK--KVDYVVHLAAIVGEGACKKDPNLTKKTNIEATKKIINLSSENNIKRIIFFSTCSSYGVDTNVMATESSPLNPVSLYAESKIFMEEYL----------------SKNYDSNLSYTILRPSTVHGISPRMRFDLIVNHFCKDAIANKELEIFGGELWRPLMWVGEVGRVVDAIFSAELGLIKNQVFNLGNTNNRKKKEVAEIIKEKFLPEIKLNYIG-KDEDLRSYRVDFSKLEKTLNFKLEKSLEDAIKELI--------------- 136132183 --DQKIYIAGHRGMVGSAIVRELQRKGY-------------SNLIYRTRQELDLTNQAAVQHFLETEKPDQIYLAAAVGGIHANNTYPAEFIYNNLMVQNNVIHQAFLSGVKKLLFLGSSCIYPKYAAQPMNEDMKLEPTNPYAIAKIAGIKMCESYNRQYGV-SHGIDYRSVMPTNLYGPGDNYHPENSHVIPALIRRFHDAKLANAPEVLIWGTG--TPRREFLYVDDMAAIFVMGLDKVAYDAQTEHINVGFGSDITINELAHAVARATGYQGNIDFDFIKPDGSPRKLMDSSRLNK-MGWHPKVVLEEGLAIAYQEFLQNKRG------ 137498043 ----RYLITGAAGFIGSHFVRELLALGHDVTVYDKLTYGNLENLPRYSFVQGDICDGDKLDEVLPGH--DVVINFAAETHVDRSIHGPQDFIVTNVVGTQTLLDACLRHGIPRTVHIGTDEVYGSIDVGSWTENEPLLPNSPYSAAKAAAEMLVRAYSVTYGLNVSSTRCSNNYGP--------YQFPEKVIPLFVTNLIDGAKVPL--------YGDGLNVRDWLHVDDHCRGIAIVCSRGLA---GQAYNIGGGLELNNKE---------------------------------------------------------------------- 142145637 --TKRALITGITGQDGSYLAEFLLEKGYEVHGVDHLYQDQHDADVRFTLHYGDLTDTSNLTRLLAEIRPDEVYNLGAQSHVAVSFESPEYTADVDAMGTLRLLEAIRMEHSCRFYQASTSELYGLVQEVPQRETTPFYPRSPYAVAKLYAYWITVNYREAYGIYACNGILFNHESPRRGETFV------TRKITRGLANIAQGLEQCLYMGNIDALRDWGHAKDYVRMQWLMLQQEVPKDFVIATGVQYSVRQFIEWGEGVNEVATVVAKQGDAAPAVAVGDFRPAEVETLLGDPALAKSELGWEPEITARSMCAEMVA-------------- 223940891 ------------------------------------------------------------------------------SHVDRSITGPGDFIQTNIVGTFNLLEACRGFWDKRFHHISTDEVYGLGATGYFLETTPYAPNSPYSASKASSDLLVRAYHHTYGLPTVITNCSNNYGP----------FQFPEKLIPVVIQSVLARQPIPVYGDGL------NVRDWLYVRDHAQALWQVLTR---GKEGETYNVGGHNEWANIHIVQLICDLIDSRQLITYVKDRPGHDRRYAIDATRIKNELGWVPAHTFEQGIRETVQWYLDNQPWVAE--- 140120048 MSKKKILITGATGFIGSHLTELCVKKGFKVSAFDRYNPQYNLNCLNNSKYKGDIRDYDSVYKASKGMDI--ILHLAALIGIPYSYYSPMAYVKTNIEGTYNILEATKNLNIKQLVVTSTSETYGTAQKVPISENHRLIGQSPYSASKISADQLAISYWTSFQLPVKIIRPFNTYGPRQSN--------------------------------------------------------------------------------------------------------------------------------------------------------- 139451883 --KKKILITGGAGYIGSHIVELFLKKKYQVFVIDNLSRGYKKFLKKVILLKIDIKNITVINRVLKEYKFETIIHLAALTDVQESQKNRKKYYNNNIIGTEKLLEACKDTFVKNIIYSSSAGVYGNAKN-PVKENSKLKPINYYAFTKLIGEKKLIEFSKKSKIKYFILRFFNVCGA------------------------------------------------------------------------------------------------------------------------------------------------------------- 15922289 ----------------SAFVREVNRRGIKPVVIDLLTYAGRMENLIGDFVRADIR-SEEIHNILKEKESASVVNFAAETHVDRSIYKPQDFVTTNVIGVVNLLEAARKYD-FNYVHISTDEVYGEECG---DEDSPLKPSSPYSASKASADLFVKAYVRTYGIKAIIIRPSNNYGPR--------QFPEKLIPKVIIRTLMGEYVP--------IYGDGRAERDWIYVEDTARIIYDILEMAEWRGEVYNIP--GGQRYSVLDVVKMIGEVMGREVKIKFVSDRPGHDRRYCM-ITKLKYEV-----TPLREGLRRTVEWYLNNRWWWE---- 136128289 ------LVTGGAGFIGSNLVDRLLEMGHEVVVIDNYSDAHDQWNDKSQNYKYDIRDYENTRPLYDGV--DYVFHIAAEARIQPAIKNPIEAVSINSVGTCTVLQCAREAGVKRVMYSSTSSAYGMNTQ-PNVETQPDDCLNPYSVSKVNGEKLCKMYTDLFGLPTIIFRYFNVYGERQ------PLRGQYAPVIGIFLRQRATGEPLTIVGD------GEQRRDFTYVGDVVNANVMAISNPEPEAFGKVYNVGTGTNYSINQIA-------------------------------------------------------------------- 141841882 ----KILVTGGAGFIGSNFVHHLVANTDQVTVLDKLTYAASRESLAALPEKGDIADAEVVDPLVASH--DAVVHYAAESHNDNSLSDPSPFVQTNLIGTFTILEAVRRHDV-RLHHISTDEVYGDDDPERFTEATPYNPSSPYSSTKAGSDLLVRAWVRSFGVQATISNCSNNYGP--------WQHIEKFIPRQITNVIDG--------GRPKLYGAGLNVRDWIHADDHSSAVWTILNHGRI---GETYLIGADGERNNLDVVGRILEHFGRADDFDHVTDR------------------------------------------------- 222424946 ------------------------------------------------------------------------------------------------------------------------TVYGQPEKIPCMEDFELKAMNPYGRTKLFLEEIARDIQKEPEWRIILLRYFNPVGAHESGSIGEDPKGPNNLMPYIQQVAVGRLPELNVYGHDYPTEDGSAVRDYIHVMDLADGHIAALRKLFADPKIGCYNLGTGQGTSVLEMVAAFEKASGKKIPIKLCPRRSGDATAVYASTEKAEKELGWKAKYGVDEMCRDQWKWANNNPWGYQNK-- 141079274 ---------------------------------------RGEKQSGISYYYGDLTDYLNIYDVLSSFKPDVIIHLAAQTSVAYSFTHTTEVFNVNFLGAVNMAEAARRAVPKRFIFSGSVEEYGIQTKFPSKESAELHAASPYAVAKIATEKHLKYLYDAYGFPAIIFRNANSYGRR---------YNHQFVIESIIYQMLEGKSPVKL-------GDPTPFRDFVFEPDLLSAYVLAAESNNKKLLGESINIGTGKSLSIKQLAEKIRKITGYKGKIQWNPKRSLEIPKLEVDNTKAKKLLKWKPKYSLDQGLKITASYYKK---------- 42520464 -----ILITGAAGLIGSALVEKLEKQGYEVISCDIRFRDNPLSFFSE-----DI--------MPLLAKCTGVIHLAAISRVIHGELYPELCQKVNVDGTIQFLEFCKSPNKPWFIYASSREVYGEQKELPVAESASINPINNYAKGKAFIEEQVIN---------LKGSNFNVAILRFSNVYGGLLDHSSRVIPALCINALK--------GDPIRIEGKECVFDFTYLDDVIEGIYLTVKYLQNEKSSLPIHLTTNSPCTLENLAKTILKVTESDSRIDFYPPRNFDVTKFHGDFTRAKELLGWSPKHSLKVGLGKFIKSLQNNTQEYPN--- 119872996 ----RVLITGCAGFIGSWLAEAYSGAGWEVYCVDNFSTGRMENISHLPVRLL----RGNVEEV-ELPRFDLAFHGAALPAPDFYVKRPVEAMLPDSLGLLRVLR-RAAESGGRVVFLSSSEVYGDPEVVPTPESYWVGVRSPYDESKRFGEALCMAFRREYGVDVRVARIFNTYGPRLD------PDSSYARVVTKFLVQALRGEPITVHGDGLQT------RSFAYVSDVVNGLITIAHCERCAGE--VYNVGSDEEVTILELAKLVKEVAGSASPIVHTPPRPDDPRRRRPDLSKLRA-LGWEPVVKLKEGLSLTLLW------------- 86750919 ----KVLVIGSNSFTGAEMVRDLLDHGAEVVGVSRSPEPHQAFLPYRWFRQLDLHDLDALMALIEAERCPWIINFAAQSMVGESWLNPDHWFMTNVVSTVRLHERLRHCDFLDRYVHVTTPEVYGNATGTLTEEAPFDPSTPYAVSRAAGDMSLRSYFRAYQFPVLYTRAANVYGPGQR-LYRIVPRTILFIRLGRKLQLHGGG---------------TSERSFIAASDVADATRRIAAAGTL---GDTYHIATDRIVTIRELVELICATMGVKFHVEIVGERLGKDSAYRLDSGKIRRELGWSDRVTLEQGIDDTIRWVDRWFDVLKDQ-- 137299114 ----KYLVTGAAGFIGAKVSERLCAQGHEVVGIDNLNDYYDEALSNFRFIKLDLADREGIAALFAEQGFQRVIHLAAQAGVRYSLDNPLAYADSNLVGHLTILEGCRHHKIEHLVYASSSSVYGLNQKMPFTEDSVDHPISLYAATKKANELMSHTYSHLYQLPTTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 310823263 MTKKRALITGITGQDGSYLADLLLTKGYEVHGMVRRSSEEKFERIAHLQHQGDLLDQFSLAALLSSIQPDEVYNLAAQSFVPTSWSQPVLTGEFTALGATKMLEAIRHTRPQRFYQASSSEMFGKVREVPQNEDTPFYPRSPYGVAKAYGHFITVNYRESFNLFAVSGILFNHESPRRGLEF------------VTRKVTHSAARIKMGLQEQLALGNLDAKRDWGFAGDYVDAMWRMLQ----QETPDDFVIATNETHTVRELVEIAFARVGLDWQINPAFVRPAEVDLLIGDYAKAKRKLGWEPTVRFQQLVEMMVD-------------- 115378415 MTKKRALITGITGQDGSYLADLLLTKGYEVHGMVRRSSEEKFERIAHLQHQGDLLDQFSLAALLSSIQPDEVYNLAAQSFVPTSWSQPVLTGEFTALGATKMLEAIRHTRPQRFYQASSSEMFGKVREVPQNEDTPFYPRSPYGVAKAYGHFITVNYRESFNLFAVSGILFNHESPRRGLEF------------VTRKVTHSAARIKMGLQEQLALGNLDAKRDWGFAGDYVDAMWRMLQ----QETPDDFVIATNETHTVRELVEIAFARVGLDWQINPAFVRPAEVDLLIGDYAKAKRKLGWEPTVRFQQLVEMMVD-------------- 142300944 ---KTALITGITGQDGSYLAELLIEKGYMVHGIVRRSTHRIDHIFDHPQHYGDLTDAGNLIHVIQKCKPDEIYNLAAQSHVKVSFELPEYTGNVDGLGTLRILEAVRMEDKVRIYQASTSELYGLVQEVPQKETTPFYPRSPYGVAKLYAYWITKNYRESYGMFACTGILFNHESPRRGETFVTRKITRGLSKISCGLQDVLY------LGNLNAKRDWGHAKDYAEAMWLMLQQETPEDYVIATGQQYSVRAFQYFGMDIEWQGEGLEEVGIDKNTGRVVIRRPAEVESLLGDATKAKEQLGWEPKISFDELVEDM---------------- 143273560 MKTFKSVITGGAGFIGSNLTDHLINIGHKVIIIDNFVSGKKSNLAHHKKKNLKILDISKGKSLVKYFKADYVFHLAALAQVIPSIKNPKKYYKNNVIGTLNVVEAAKKVKIKKLIYAASSSCYGAPRKLPTSEKDNIDLKHPYAITKFISEEIVMSYASIFKMPNISLRFFNVYGPRLDIL------SQYSAVIGNFIWQTKNKKPLTIVGDGKQT------RDFIHVDDLVR---------------------------------------------------------------------------------------------------- 136289117 MEGMKILITGAAGQIGSGLSKLLIENGHDLTLVDNLRNGYLENLKDDPFYEVDITSGEFFLKCGDQY--DVIIHLAAITSLPDCESNPLETLRINVSGTANVLEFAREFDVPHVIFASTSAIYENNDTEVFTEDLEVNPRLYYSLSKKMSEDLIESYRENYGMTVTILRFFNVFGPDGD------QTRPNPPLLNFAYRELSHDRAPVLSGD------GEQVRDFIWVKDVVR----MLELCMIKQPNDVFNVCSGKTVSVNQMSQWVAEALGKE---------------------------------------------------------- 115378431 ----RVLVTGADGFAGRHLCALLRASGDEVV----EAHGPRAEGMNSNALNFDIADEAAVRAAVEKARPEGVIHLAGFASVARSHGNPARVFAVNTQGTVNLLIALREAPKTRVLLISSGEVYGPVTEGTRAEETLPPPLSPYAASKIAAELAGEQFFRSYGLPVVLARPFNHLGEGQDPTFVVPSFAA-------QLRAIAQGKASPVLR----TGNLDAIRDFSHVKDVVAAYRLLLTAGV---PGQTYNVCSGTARSIRAVLEEMLALSGVAARIELDPARPSEIPSLVGSPDKLRA-LGWQPKSSVTEALRE----------------- 83645050 ----RVLILGGMGFIGQHLTQACRSAGMTVRVADMFLPASEVRQDGVEYVEGDYKDPGFLESIL--EEIDSIVHLVHDTMILNQECSMDVEFERNVRPAMQLMDMCCSKKIGKFLFVSSGGTVYGNQRQPIAESSSTKPISLYGTSKLIIENIGFLYNVQKNLPFIVARPGNAYGPGQ--------------KPFRGQGFVATAFASALKGEVNIFGDGSVVRDYIHARDLADALVAILRFKLGEAYNIGTSNGVALHTLLNEYITPILEDDGYSLQCQYTPPRGVDVPYNVLSNDKLRRDTNFSPSIELRDGLRETLMW-LKNFK------- 142804156 --KKTILITGVAGFIGFSVTKRLLKKNYKILGIDNLNNYYNKKLKKKRIEQLDLKNKNQINKILKNEKINLIIHFAAQPGVRYSVNEPHSYIENNVLVFSNILEFVKSKKI-KLIYSSSSSVYGDTDKHPIYEHSKLNPKNIYAMTKKNNEEQAEIYSKSYGLSIIGLRFFTVFG--------EWGRPDMLILKFLIYAKKGLRFQLYNYGNHY--------RDFTYINDLFEMIYPLIKNYQKKKGHEIYNICSNRSVHLKKVINILKKLTNYKNIKNIK-RSELEVFKTHGSRKKLENFTKIKFKISIEESIIKTFNWFEKNKK------- 139225126 -------------------------------------------------------DIHLLDDIVKRYNIDSCICTAGYTSVSESVRNPQKYYKNNVAMTLQLINKLNNLNVKKFIFSSSAAAYGLPTTGICKDDDNLNPINPYGRTKLMVEQLLHDYHVAENFKSISLRFFNVAGADPDCELGEDYSEQTHIIPLAIQAGFTAKQ-FKLFGNDYNTPDGTCIRDYIHVMDVANAHLNALQKIQNDIEYDSFNIASGNGLSNNEILQEVQKHTG-AMDIVSSPKREGDPDTLVADISRSQNIL------------------------------- 139571073 --NSTILVTGAAGFIGSALSERLLNNNFSVIGIDNLNKYYDVNLKLDRLKRVDLCDAPSIRGLLTDYSFDYIVHMGAQAGVRYSLENPQGYIDSNIQGFFNLLEASKSTKLKHFVYASSSSVYGGSKNLPFSESENVRPVSLYAATKACNELMAFTYSHLHGIPLTGVRLFTVYGP----------------WGRPDMAAFGFLRKILASEEIEIFNNGNMKRDFTYIDDVVESVLRILDYENAESCSRVLNIGNGSPVSLMEF--------------------------------------------------------------------- 140673156 ---KKILITGSEGFVGSHLVEYLVRKKYKVRVLYNLENIDKEILKKIEIHFGDIRDYKNLSLAFK--NIDSVIHLAALIGIPYSYTSTKSYIDTNIIGTYNILEQCIKSKIKKLIITSTSEVYGSAIKVPIDENHKLQAQSPYAATKIAADKLTESYIKSFNLNAVIVRPFNIYGPRQSN---------RAVIPTIITQILKNKK--------IELGSLTPKRDFNYVSDVCAAFEQILKNKKLIGKEVNICSGESISIFNL--VKLISKISNKKFKIKKTKERKSEVDHLKGSNKEFSYYLNYK-KTSLINGLKKT---------------- 140865680 ----RILVTGGAGFIGTSLVKKAVQLGHKVINLDCLTYAHSDLVPYYVHEQISICDKESLTKVFEKHCPDSVMNLAAESHVDRSIDSPDKFIEANLVGTFTLLEVFRKYWKMRFLHISTDEVFGLGVDGKFTEVSRYEPKSPYAASKAGSDHLVRAWANTFGIPTLITNCSNNYGP--------YQFPEKLIPLTILKALDEESLP--------VYGDGSNVRDWLFVSDHVEALLKVL---VQGEVNESYNIGGNNELSNLEVVERI----------------------------------------------------------------- 142168064 -KTDRIYIAGHRGMVGSAVERALRERGF-------------EQLLTRTRKNLDLTDQAAVRSFYESERPVAVIAAARVGGIHANNSYPAEFMQENLAIAQNTIHEAYRAGIPRLLFLGSTCIYPKMAEQPIREESPLESTNEAYAAKITGLKMCEFYRRQYGLCYHSAMPTNLYGPG-----DNYHPENSHVLPALIRRFHEAKESGAAEVTIWGTG--TPRREFLHADDAASGILHLLEL---ECPPDWVNLGCGEDISIGDLAILIKKITGYEGELNFDTSKPDGTPRKLTDINRI-SATGWKPLIDMETGVAMAYRSFLE---------- 157273431 --SKVALITGITGQDGSYLTELLIAKGYAVHGIVRFSTGRIDHLYRDPHHYGDLADSTSLRRILEAVQPDEVYNLAAQSHVKVSFEQAEYTGDVVATGTLRLLESLRDVVAPIRYYQAGSSEMFGAAAPPQSETTPFYPRSPYAVAKVAAYWYTVNYREAYGLFACNGILFNHESERRGETFVTRKITRAVGRIKYGLQKKLY------------LGNLDAKRDWGFAGDYVEAMWLMLQ----QEAPDDYVIATGEAHSVREFLEAAFARVGLDWYVEIDPRRPTEVDHLLGDASKARQRLGWRPRVSFSELVARMVD-------------- 136330987 ------LITGVAGFLGFSLARKIIKSSYDVIGIDNLNTYYLLKNKRFKFYKIDLVEKNKLLNLFKKYNFDFVFNFAAQAGVRYSFKNPKSYTDSNIIGFINLIEAVKNFKIDKFIFASSSSVYGDQKPFPKKENSDVNPLNLYSLSKLSNEQYANSISKKMNTKMIGLRFFTIYGP--------WGRPDMMILKYLISAHKKLNFPLFNYGDH--------FRDFTY-IDDAISICIGLLNKKIKKKFEIFNICSSKPVLITKVLKEIDK-YSKKPLIVNKPRDKADVYKTYGNNKKIRKKLKF---TSYDRGIKNTCEWFFKNKN------- 56797949 MYKKRVFIAGHQGMVGSAIVRKLKERNDIELVLRTRS-------------ELDLMSQTSVQNFFKTENIDEVYLAAARVGGILANNNYASFIYENLIIECNIIHAAHMADVQKLLFLGSSCIYPKLAIQPMKEEALLSTNEPYAIAKIAGIKLCESYNRQYDRDYRSVMPTNLYGENDNFHAENSHVIPALLNRFH-------EAKQRNDSEIVIWGSGKPMREFLHVEDMAAASIYIMEKKNTDPMTSHINVGTGIDCTIRELAETISKVINFSGALVFDDTKPDGAPRKLLDVTRLAN-LGWTYKISLEQGLEMTYQWFLYHQDKIRK--- 143915275 ---------------------------------------------------------------------DVVLNFAAESHVDRSLNDLTPFIESNIKGTTTLLNAALNSKASLFIQISTDEVYGQIMSGSFTEEDLLQPRNPYSASKASAEMFVNAFKETYGLPTMITRSSNNYGP--------YQFPEKVLPLFITNLIEGKKVPL--------YGEGKQVREWTHVEDNCQGI---LTAIKKGKKGEIYNIASGEELTNIEMTKRVLKEFGKDEMIQRVEDRKGHDFRYSLDGSKLR-ELGWKPKYRFEKGLKKTIDWYKKNESWWK---- 301165695 ------------------MIEFLLSSGQRVVGLDNFSTGRRENLSDVEFIEGDILDLETCKRAVAGV--DYVLHQAALGSVPRSIKDPLNSHNSNVNGQLNMLWASKLSGVKSFVFASSSSVYGDHPALPKVESEIGMQLSPYAVTKHVNELYANVFFKNYGLNTVGIRYFNVFGKRQD------PNSVYAAVIPRWVKAMLSDEKVVIFGD------GETSRDFCYIDNVVQMNILSALSQEKAVFGTVFNCACHDRTTLNKLFFLIKRELVKDIDVGYGDFRDGDIRHSHADISKAADLLGYSPSHMVEEGLIESIDWYYKN--------- 143303759 ---KVALITGITGQDGSYLAELLLEKGYEVHGIIRRASLINTHRIDHIYDQGDLTDSTNLVRVIQQVQPDEIYNLGAQSHVKVSFEIPEYTGQTDGLGTLRILEAVRMEKKTRIYQASTSEMFGKVQEIPQKETTPFYPRSPYGVAKVYGYWIVKNYRESYGLHASSGILFNHESPRRGETFVTRKITRGLSSISTGQQSVLY------LGNLNAKRDWGHAKDFVEAMWLMLQQDQADDYVIATGQQYSVRDFPYFGMSIAWQGEGIDEVLTKKTVIKVSPKRPAEVETLLGDATKAKEKLGWEPKISFKELVEDM---------------- 134888665 -AGKRVLLTGHTGFKGSWLSLWLHKMGAEVFGYDNPSLFEQLGLADKIHHQVDISDADAITKYVKDTQASFVFHLAAQSLVLRSYRETINTWSANVLGTVNLLEALRQHPCTVVVVTTDKVYQNNEGSRAFSETDRLGGVDPYSASKAASELVVNSYRSLFE---QEQLPILLASARSGNVIGGGDWCENRILPDIIRALM--------QNESIEARNLNSVRPWQHVLEPLSGYMMLAEKLYSHSTSYNFGPNSSDNRIVEDVIKTALKSWPGQYHSTQNSGTPHEASFLMLDIDKARNELGWHPKWDFETAMGKTINWYKDVHNGM----- 182420394 ----KIYVAGHKGLVGSAIVRNLKAKGYENII-------------YRTHNELDLINQKEVENFFKDEKPEYVFLAAAKVGGINSNNYPADFIYENMMIQNNVIKSAHDFKVKKLLFLGSTCIYPKLAEQPISESESLEPTNEAYAAKISGLEMCKFYKRQYGDNFISCMPTNLYGPNDNYDLKNSHVLPALLRKFHEAKLYNHDD-------VEIWGTGTPLREFLYVDDMADACTFLME---NYNGEEHVNIGTGEEITIKELAEVIRKVVGFKGNIRFNASMPDGTPRKLTNINKIKN-MGWKAKVNLYDGITVTYQNYIK---------- 196012566 -RSKIALITGITGQDGSYLAELLINKGYEVHGISSFNTGRISHLYEDPKHYGDLTDSTCLVKIISSVQPDEIYNLAAQSHVKVSFDLSEYTADVDAVGTLRLIDAIRTCNKIRFYQASTSELYGKVQEIPQKETTPFYPRSPYGVAKMYAFWIVINYREAYDMFCCNGILFNHESPR-----RGETFVTRKITRAVAKIHLGLQEEVHL-GNIDSCRDWGHAKDYVEAMWMMLQHDKPEDFVVATGEVHSVRKFVEAAFAVLDEVGFDEANDTVRVRINPKFYRPAEVDFLQGDSTKAREKLGWKPKVTFEELVTEMV--------------- 146298093 -KSDKIYIAGHRGMVGSAILRQLKIKGYTNFVL-------------KTSSELDLTQQQDVADFFLKEKPDYVFLAAAVGGILANNIYKGDFIYANLMIQSNVIHHAYLNNTKKLMFLGSSCIYPKMAPQPLKEEYMLEPTNPYAIAKIAGIKMCDAYRSQFGCNFISVMPTNLYGPNDNYDLTNSH-----VLPAMLRKFITAKRNNDTSVTIWGSG--SPKREFLHVDDLADACLYLMENYDDQG---LVNVGVGEDISILDLAVLIKKIVGFEGEILNDISKPDGTPRKLMDVSKLSS-LGWKAKTSLEEGIQKVYK-------------- 162455285 MSKKKVLITGGAGFLGINLVRHLLERGYDVTSLDLLDFDYPER-SRIREVRGDIRDAAVVDAAVKGHDF--VVHTAAA----LPLYTAEEIYTTDVIGTRLVMSAARRHGVERAVHISSTAVYGIPDHHPLCEDDRLDGVGPYGQAKIQAEVVCLE-ERGRGLVVPIIRPKSFIGPERLGVFALLY--DWALDGKGFPMIGDGKNRYQLLDVADLCEAITLCMTKEASIANDTFNIGAKDFTTMGEDYQAVLDAVGKGKKIVPFPAAALEAMGVSPLYKWVYETAS--KDSFVSIEKAERVLGFTPRYSNKDALVRNLEWYRAHRSEFESR-- 140428546 ---KKILITGAAGFIGYHLSEKLLNNNYHIIGIDNLNDYYDPSLKKARFQKADFVNNQELKHLFENNQFDQVIHLGAQAGVRYSISNPQLYIDSNITGFLNILENSKNYNIENIIYASSSSIYGINESIPFSESDKTEQISMYGVSKKTNELMAHTYSKLYGLKTTGLRFFTVYGP----------WGRPDMAYYIFTKAIIKNKSINLFNNGDQARSFTYIDD------------------------------------------------------------------------------------------------------------- 135845673 --TRSLMITGGAGFIGTNFVYHWANRHDRIVVLDALTYAGNRTSLTSLEESGDICDAPLVAKVMADYSVDTIVHFAAESHVDRSISGPDAFIRTNVEGTHTLLTAARQAWDHRFHHVSTDEVYGGRGDPAFSETTRYEPNSPYSASKAASDHLVRAYQHTYGLQVTTSNCSNNYGP--------FHFPEKLIPLCLTRILDG--------GAIPVYGDGSNIRDWLYVEDHARGIASIL---KSGKPGEVYNIGGHNEWANLDIVNLLCRVMDEDSLIEFVTDRAGHDWRYA----------------------------------------- 148238450 --GRSALITGITGQDGSYLAELLLEKGYVVHGIKRFNTSRIDHLYQDPHEYGDLTDSSNLIRIIQDVQPDEIYNLGAQSHVAVSFESPEYTANCDALGTLRILEAVRLTERTRIYQASTSELYGLVQETPQRETTPFYPRSPYGVAKLYGYWITVNYREAYGMYACNGILFNHESPRRGETFV------TRKITRGLARIDASLDDCLFMGNLDSLRDWGHARDYVEMQWRMLQQEAPDDFVIATGRQESVRRFIELSAVALGWGSIVWEGSGLEEQVRIDPRRPAEVDTLLGDPSRAHEKLGWRPTTTLEELVQEMVE-------------- 143364287 IKNSKILVIGGAGFIGSFVVSELLKEDAEVIVYDNFARGKREYLSESYPIGGDIRESDILNK--AMEGMDYVICLAAMW-LLHCKDFPRTAFDVNIAGTFNVFEACVKNNIKKLIWSSSASVYGDAVELPMTESHPFNNKNFYGATKIAGEAMATAFHDRYGLKVIGLRYMNVYGPHQD------QTAAYTGVVPIMLNKIDANEAPVINGD------GSQAYDFIYVEDVAQCNVKALKSDINHG---FYNVGTEVQTSIKTLCDLILKLKHSDLKVTYKPYSADDARALV----------------------------------------- 144170600 ---KRALITGITGQDGSYLTEHLLSQGYEVHGVIRFTTDRIDHLYKDPHKEGDLTDGPGLRRILEDVHPDEVYNLGAQSHVRVSFDQPEYTVDVDGLGTLRILEAIRDVHGRRFYQAGTSEMFGAAP-APQNEDTPFLPQSPYAAAKVMAYHLVRNYREAYGLFAVTGILFN-------------HESERRGETFVTRKITRAATRIKLGLQEKLYGNLDAIRDWGHAEDYVRAMHLMLQHD----EPRDLVIATGEAYTVREFLTKTFSHLGLDWFVEFDPRRPTEVEHLHGDASRARETLGWTPRIGIDALVARMVE-------------- 289640187 -KSAKIYVAGHRGMVGSAIVRELDRQGYTNIV-------------TRTHNELDLTRQDAVEAFFVEEKPEYVFLAAAVGGIQANAEALADFLYENMVLEMNVVHSAWKNGCRKLEFLGSSCIYPRMAPQPMKESCLLKTNEAYALAKISGLKYCEFLNRQYGTEYISVMPTNLYGPN-----DNYHPTHSHVLPALIRRFHEAKKQSLPYVTCW--GDGSPLREFLYVDDLANLCVFLMNHYV---GNETVNAGTGKELTIKELTELTAKVIGYTGEICWDPSKPNGTPRKLLDVSK-SAALGWHYRTELEDGIRLTYEDFLQNP-------- 269925514 MSNEKVLITGGAGFLGINLVRHLLRKGFRVASLDIAEFDYPERN-QVEVIKGDIRDKALLDQV--MVGVDYVVHTAAA----LPLYSPKDIYTTDVIGTRNVLDSAYTHGVKRVVHISSTAVYGIPDHHPIREEDRLEGVGPYGQAKIQAEMICLEY-RAKGLIVPIIRPKSFVGPERLGVFALLYDWAYT------------GHNFPVLGSG---RNRYQLLDVEDLCDAIELCLTLPENKVNREDYQAVLDYAGHGKKVIPFILRLLEKLGISPLYKWVYETA--PKDSYVSIEKAEKQLGFKPKYSNKEALIRNFQWYIDNIDKFQNK-- 21226761 --TKTALITGITGQDGAYLAEFLLNKGYVVHGIKRFNTARVDHLYKDPHERGDLTDSTNLIRIIQEVQPDEIYNLAAQSHVQVSFETPEYTANSDGLGTLRLLEAIRMEKKTKLYQASTSELYGKVQDIPQKETTPFYPRSPYAAAKLYAYWITVNYREAYGIFACNGILFNHESP-----IRGETFVTRKITMAATKIKHGLQEKLYL-GNLDAKRDWGFAKDYVEAMWLILQQEYPEDYVIATGETHSVREFTGIEVLWKDEVGIDSSTGKVLVEVDPRYYRPTEVDLLIGDPSKAKEKLGWKPKVKLEELVKIMI--------------- 141348641 ------------------LMQKLLEQGNDVLCIDNYFTGTKENIPYFEAIRHDICFYVEVDEIYNLACPASPIHYQH---------DPVQTTKTTVHGAINMLGLAKRIDA-RILQASTSEVYGDPSIHPQVEDYWIGPRSCYDEGKRCAETLFFDYQRQHHLDIKVIRIFNTYGPNM-------HPNDGRVVSNFIMQALTNKD-------ITVYGDGEQTRSFCYIDDLINGMMKMMQT--KKGINGPINLGNPVEFKIIELAEMIIQLTNSKSKIVNKGLPIDDPIRRKPDITKAKKEIEWEPKVDVMDGLKETIDYFKA---------- 138451752 MQSKKILVTGGAGFIGSHLVDLLISKNHEVRVLDDLSGGYEKNISHHKFENKNICNLKNNENIFKDVN--YIFHLAGRSDIVPSIEKPLEYFQINNIGTIKILENSRRSSVKKLVYAASSSCYGLA-NIPTKEDFPIMPMYPYALSKYLGELSVFHWSKVYKVPVNSIRIFNAYGPRVKTN---------GAYGAVFGVFLKQKISKKPF---TIVGNGEQKRDFLY---------------------------------------------------------------------------------------------------------- 136231489 --------------------------------------------------------------MFNNFKPSKIIHLAAQAGVRNSINNPSAYISSNLVGFGNILECCKEINIDHLLYASSSSIYGGNTKVPFSEKDFVDPVSLYAATKKANELMAHSYSHLFKMPCTGIRFFTVYGP----------WGRPDMALMIFTKAILASQPIRIFNHGKMFRDFTYIDDLIEKPPKCENVFKKSEKNMVGGPNRVINVGNNNPVNLTKFIYLLEKELKIKAIKLFEDIQLGEVEKTYADIEMMKKLINYQPKTSLEEGIKKFLVWYKNYYK------- 136037031 ------LLTGGAGYIGSHAALSLIDAGHNVHIIDDLSTGNESLIPKNAFFKCNINDEKIISELIKFNKFDLLMHFAGFIQVEESVKNPQKYFNNNTDNATKLFETCKNNGLNKIVFSSTAAAYGVSENKLIDENTNLNPQNPYAESKIKTENFL--FENKDDYKFIILRYFNVAGADKKLRSGQISKRSTHLIKILSEVVVGKRDHIEIFGNDYNTPDGTAIRDYIHVSDLADIHLEVAKYLLES---------------------------------------------------------------------------------------- 85704556 ---KRALITGVTGQDGSYLAEFLLDKGYEVHGIKRFNTQRLDHIYQDPHHYGDLTDSSNLTRILSEVQPDEVYNLGAQSHVAVSFEAPEYTADVDAMGTLRLLEAIRFEQKTRFYQASTSELYGLVQEIPQRETTPFHPRSPYAVAKMYAYWITVNYREAYGIYACNGILFNHESPRRG------------ETFVTRKITRGLANIAQGLEDCLYMGNIDSLRDWGHAKDYVRMQWMMLQ----QEAPDDFVIATGVQYSVREFIIWSARELGIEVIMRIDPRRPAEVETLLGDPSKAKEKLGWVPEITAQQMCAEMVA-------------- 111022411 ------FVAGHRGLVGSAIWRNLESHGF-------------EHLIGRSSFELDLRDRSAVFAFFAEQRPRVVLAAAKVGGILANSTFPVDFLSENLRIQVNVLDAALEHGVERLLFLGSSCIYPKMAEQPIKEEGHLEPTNDAYAAKIAGIIQIQAVRRQYGLPWISAMPTNLYGPG-----DNFSPQGSHVLPALIRRFDEARRDNVKSVTNWGTG--SPRREFLHVDDMASACLHLLD---NYDGPDQVNVGTGQDSTIKEIAQIVADEVGYTGQIDWDTTKPDGTPRKLLDISTLRAS-GWEPKIGLREGIASTIAWYRDN--------- 135522215 LKNKKCLVTGGAGFIGSNLVDKLVSLDHKVVVLDNLSTGQLSNLNKIKFINADISNKKSIHKYFK--NIDWTFHIAGLADLFPSILNPDKYFQSNVIGTLNVLEASRKAKVKKLVYAASASCYGIPDKYPTDEKSKIDPQYPYPLTKLLGEQLVIHWAKVYNMSNVSLRFFNAYGPRSNT------RGAYGSVFSIFLAQKLAGKPLTIVGD------GEQTRDFIHVYDLVD---------------------------------------------------------------------------------------------------- 140932609 -----------------------------------------------------------------------------------------LYYENNVGSLENILECLKSKPGKNFNFSSSCTVYGQAKKLPITEDSPLNQESPYGETKKKCEEIISEFCKKNNLNSISLRYFNPIGAHKSALIGELPNGPQNLVPYITQTAIGLRKELTIFGSDYPTRDGTCIRDYIHISDLANSHEVALKYLLNLKEYESYNVGTGKGTTVLEVVKSFEKVNNLKLNFHIGDRRTGDIIEAYADCSKINKDLGWKSKFKIDEALESAWKW------------- 135918747 ---KRILLTGGAGFIAHHTIRHLLQNTDWEIVLDRLDYSGNLNRIADMMNEFDKETQKRVRIVYHDGDFDYIVHMAASSHVDRSIEDPMCFVLDNVVATCNILNFGRKQKNERFIYFSTDEVFGAPKGVNYKERDRYNSTNPYSATKAGGEELAVAFQNTYDMPIYITHTMNVFGER--------QHPEKFIPMTIKNVAEGNMVTIHSDRDKKVPG----SRHYIHAKDVADGCIDQLDKDYGGAKCPKFNLVGPVEWDNLELAQKIARAQNKEKMVDFHTSRPGHDLRYALDGG-LMKELGWEPKISIDERINQVVRWTLENERWLK---- 283840596 ----KYLVIGSNSFTGASMVSYLLDQGAEVVGISRSDEPHPAFLPYRWFHRLDLHDLDAIMQMVTAERFPCIINFAAQSMVGESWANPDHWFMTNVVSTVRLHERLRTCDFLERYVHVTTPEVYGNATGTLTEDAVFDPSTPYAVSRAAGDMSLRSYFRAYGFPVLFTRAANVYGPGQR-LYRIVPRTILFIKLGRKLQLHGGG---------------TSERSFIHAADVADATRRIA---CNGKLGDSYHISTDRIVTIRALVEMICAMMGAEDQVEIVGDRLGKDSAYKLDSSKLRSELGWSDQITLEQGIEQTIAWIDRWFEELKSQ-- 209967267 ---KKALIFGVTGQDGSYLAEFLLEKGYTVHGVKRFNTGRVDHLYRDPHEHGDLTDATNLIRLVQEIRPDEIYNLGAQSHVQVSFEVPEYTANADAVGVLRLLEAIRMADTVRFYQASTSELYGKVQETPQRETTPFYPRSPYGAAKLYAYWITVNYREAYGMHASNGILFNHESPRRGETFVTRKITRAVAAIEHGLQERLY------LGNLDARRDWGHARDYVEGMWLMLQQDRPDDYVLATGQTRSVREFVERAFAIVEEIGVDARTGQALVGIDPRYFRPTEVEQLLGDPAKARERLGWTYRTGFDELVREMVE-------------- 136014428 ---KTAIITGVTGQDGSYLAEHLLSSGYRVIGLKRISTDRIDGIFDNDNFHLELDDPGVIYRLIRKYNPQEIYNLAAQSHVRVSFDNPVSTTNTIVNGTLNLLEAIRNTDTNKFYQASSSEMFGDNPEVPQNELTRLSPASPYSCAKVYAHHLVRNYRESYGLFACSGILFNHESPRRG------------ETFVTRKITMAAARIKLGLQEKLYLGNLHALRDWGFAGDYVKAMHMMLQH----NEADDYVVATGKAYSVQQWLEEVFKIAGIEKHVEIDSRRPHEVPHLLGDYSKAKKVLGWKPEVNFK---------------------- 254457576 LKDKTILITGGAGFIGSNLAFYFQNNDAKVVVLDSFSFGHFKNLIRGEVISGDINDKELLLDLEINYKFDYIFHEAAISDTTALEQD--LMIKTNVNAYKDLLELAIRHDAN-MIYASSAATYGNAESPQRV--GREAPQNVYGFSKLSMDNLSREYMKECDISIVGLRYFNVYGAG------EYFKNTTASMVLQFGHQLLAGKNPRLFEDSDKI-----LRDFIYIEDIVQANVKAMQ----PKESGIYNVGTGKARSFQDIVDILQRELGTSLICEYIPNPFIGQFHTEADITTTREVLGYEPRFVMEEAIKSYV--------------- 187932731 ---KRVFITGHTGFKGSWLTKILIMAGAKVTGFSLISNTNPSLFNIINIKEGDIRDLEHLKKELCNCKPDIVFHLAAQPLVRESYKNPVYTYETNVMGTVNLLESIRNCKSVRSVVIITTDKVYKNNEWDWREIDPLDGFDPYSNSKSCSELVIRSYKNSF----FSDQESAISTARAGNVIGGGDFSEDRIIPDCIRAAIKK--------EDIVVRNPKSIRPYQHVFEALLGYLLIAEKQYNHKGSYNIGPNEGGCVTTANLVETFCNLWGNMKWINIENTHFYESNLLKLDCSKIKNKLGWKPKLSIKEAVDLTIEWTKCYY-------- 55379798 -EQPHIAITGGAGYIGSRVIYELQQADWEITAIDNFYLGTVQSVGDVDIEHVDIRNRDRLEAALDGA--DVVMHLAAVSGVDDCEEKQDLAYEVNVQGTDNVAWFCRKTGA-ALIFPFSMAVIGDPQEFPITVDHPRDPLNWYGRTKLLNERDVETYADGA-FPAHQFMISNLYGSHE---IDGQTVSKGTVINFFVNRALAGE-------TLTVYEPGTQSRNFIHVKDVARAYVDSCERLLEQTGVEKYEIASDEDPSVHTVAKLVRDIADIDADVELVENPRGDDETLVVDTGRTTAALGWTPEHDVESAIRTALE-------------- 289634450 MKRKVALITGITGQDGSYLAELLLAKNYDVHGIKRFNTDRIDHLYRDPHHHADLTDSTSILRVIQRVEPDEIYNLAAQSHVAVSFEEPEYTANADGLGALRILEAIRMQNKTRFYQASTSELYGLVQQVPQSETTPFYPRSPYAVAKMFAYWTTVNYREAYGLYACNGILFNHESPVRGETFVTRKITRAIARIAVGMQKTLY------LGNLSALRDWGHARDYVEMQWRMLQQEQPEDFVIATGVQYSVRQFVQHGVTVRDEIGIVEKVEGRETRVRVDPRRPAEVETLLGDPSKAHAKLGWQPTTPFASLVKEMVR-------------- 88808149 ------FVAGHRGMAGSAICRALKRSGY-------------ENLLTASRDELDLLDTQAVQRWFANNKPSVVVLAAAVGGIHANDTYPADFLLENLKIQTNVIETAWCNGVRRLLFLGSSCIYPKFAEQPIKEESALEPTNEWYAAKIAGIKLCESLRRQHGFDAISLMPTNLYGPG-----DNYHPENSHVLPALIRRFYEAKESGAETVTCWGTG--SPMREFLHVDDLAEACVYALEKWNPSEENKFLNVGTGVDITIRELAKLVANAIGYEGSVIWDSAKPDGTPKKQLDVSNINK-LGWSAKIKLNQGIKRTV--------------- 12055074 --SKRALITGITGQDGSYLAEHLLAQGYQVWGLIRRKSRVSRLVSELSFVDGDLMDQSSLCSAVDKVQPDEIYNLGAISFVPMSWQQPELVTEINGMGVLRMLEAIRMVSQIRFYQASSSEMFGKVAETPQRETTIFRPRSPYGVAKTYGHFITRNYRESFGMYAVSGMLFNHESPRRGAEFV------------TRKISLAVARIKLGYQDKLSLGNLDAVRDWGFAGDYVRAMHLMLQ----QDEPGDYVIGTGEMHSVRDAVRIAFEHVGLNWYVSIDPSRPAEVEILCADAERARTQLGWEPSVDFPELMRMMVD-------------- 142295837 FAGKVVLVTGGAGFVGSNLARTLVGLGAKVRVIDNLITGHKSNILGLEFIEGDIRDYSTC--LSALEGVDMISHQAALGSVPRSIIDPIASHDHNVNGTLNIMRAAVEKDVHRVVFASSSSVYGDESTLPKVENKTGRILSPYAATKCIDEIYGDMMNAVYGLDVVGLRYFNIYGPRQD------PNGPYAAVIPKFIELLADGKTPTIYGD------GEQSRDFTYIENAVQANLSAMSRVNDFG-FEIMNIACGSRLTINQLFFRLRESLSSQIEVNHGEEREGDILH------------------------------------------- 141841680 ------------------------------------------------FYDLDIRNDKKLTRIINENKVNAVIHFAALKSVSDSMINPLEYYEINVNGTISLLRSMQLTGVKKLIFSSSAAIYGEPQYCPIDEIHNLDALNPYGRTKIVIENILKDLIKIEDWSVVCLRYFNPIGAHSSGLIGDDPLSEKNNMPAIINVVNGNKEYLEIYGDDYETPDGTGIRDYIHIMDLSEAHFSALKYIKKTSGINFFNIGTGKGVSVMELIKTFEKVCGLKISYKILNRREGDCATCFA---------------------------------------- 94263403 -KSSKIYVAGHRGMVGTALVRRLERGGYRNL----LTRSRAE---------LDLLDQAAVFDFLGREKPDYIFLAAAVGGIQANNTRPADFIYENLAIQNHVIHGALRAGVSDLCFLGSSCIYPRECPQPIREEYLLETNAPYAVAKIAGIKLCQACNQQYGTRYVAVMPTNLYGPNDNYDLEASHVLPALIRKAHEARTGELA-------ELVVWGSGTPRREFLYVDDLADACVFLMERGIGHG---LYNVGLGRDITIRELAETVMAAVGFKGRIVFDRSKPDGTPRKLLDVSRL-EALGWRAQTPLTEGIALAYADYLA---------- 135443218 ---KKALITGITGQDGSYLAEFLIKKGYIVHGIKRRSTDRINHLYQDPHEHGDLTDSTSLIRIIQEVQPNEIYNLAAQSHVAVSFEEPEYTANSDALGTLRILEAIRILKITKFYQASTSEMFGTVSEIPQNENTPFYPRSPYGVAKLYAHWITVNYREAYGVFACNGILFNHESP-----VRGETFVTRKITRGLASIKLGLQKKLYI-GNLEAMRDWGHAKDYVEAQWLILQQDKPEDFVIASGKQYSVRDFINKNINIEWRGKGLKEVVDGEEAIIVDPRRPTEVENLLGDASKARKKLKWTPKISFDQLVKDMVE-------------- 301054864 --KKKVFITGHTGFKGSWLTLFLSSLGAEIVGFSSHPPSQGNVAKECMTIHGDITDYDSLFHALKQHNPDILFHLAAQPIVTASYKNPIDTFKTNVLGTVHVLEAAKSVESVRAIINVTSDKCYENDDRAFVEKDRLGGFDPYSASKACAELVATSYHKSF----FHTNTTLLASVRAGNVIGGGDWAEDRLFPDIIRAYL--------HNHTLCIRNKYAVRPWQHVLDPLHGYILLAENWTNAEYAEAWNFGPMNERTVHDVIQSVIKLWNKQTIISPTTNTPYESPVLTLDSTKVANKLGWTPKLSTDHAIAWTVDWYKKY--------- 136190308 ---------------------------------------------------GDIRDSYGVREAMKGC--ESVLHLAALIAIPFSYHSPRTYVDTNVNGTLNVLQAARDFNIRRFVHTSTSEVYGTARYVPIDESHPLQGQSPYSATKIAADQLAYSFYSSFNLPVVILRPFNTYGPRQSA----------RAVIPTVITQIANKSQKINLGSLLPT------RDFSFISDTVSGFIAALNASDCEG--QVFNLGSNFEISIENTVNLILELMGVDVEVKLDNSRVSEVERLWADNSRAKEVLNWKPEEGFRQGLTRTIHWYTQ---------- 119512446 -QKKRALITGITGQDGSYLSEFLLEQGYQVHGIIRFNTDRIDHMYEDPHKEGDLTDGTTIRRILEQVQPTEIYNLGAQSHVRVSFDSPEYTVDAVGMGTLRLLEAIRDYQKRRFYQAGSSEMFGLVQAVPQSETTPFYPRSPYACAKVYAHWQTVNYRESYNLFACNGILFNHESPRRGETFV------TRKITRAVAKIVAGKQKKIYMGNLDSKRDWGYAKDYVRAMWLMLQQDQPEDYVIATGETYSVRQFLEKAFGYVN--------LKWEDYVKFDDRRPAEVDLLIGDPTKAKQKLGWEPSVTFDELVALMVE-------------- 297568341 MTTKRVYVAGHRGMVGSAIVRQLAPCKHIELITRDRS-------------ELDLQDQKQVSDFFRATAIDQIYLAAAKAGILANNSYPAEFIYENLMIACNIIHAAHTAEVNKLLFLGSSCIYPKLAPQPMREEALLDTNEPYAVAKIAGIKLCESYNRQHCRDYRSIIPTNLYGPN-DNFHPLHSHVIPALLRRIHQARETGADEVVIWGSGSPRREFLHVDDLATAAVFLMNLPREDWAAQTRPMRSYVNVGTGLDCTIAELAATIANITGFTGRLVFDRNQPDGTPRKLLDISRIKA-LGWQPQIPLERGLRETYHWLLAHQES------ 135063498 MKPKKIFITGIAGFIGYHLANKLAKEGYTVVGIDNFNDYRAIELKGITIHRADLTNGNRFRQLISDEKPDVVVHLAAHAGVRSSLEDPREYIDNNVIGTQNLIEACEQNNIEKVIYASTSCVMEGNQLPWKEDEPTHKPLNGYGYSKLMNE-----------CQFGTSKISKTIGLRFFTVYGPWGRPDMAIFKFTNGIVNDEPIDVYNYG--------DMIRDFTYVDDIIQGIQIVIEADLEK-NHEVFNIGYGDQVQLMDFIREIEKNLGREAKLNMLPRHPAD---------------------------------------------- 139509076 ----------------------------------------------------DIKNLKKLTKIINSYKIDTIIHLAAYLNVNEAETNKKKYYKNNIVGTQNLIKACKNSTVKNLIYSSSCSVYGNIK-GAVSEKKKPNPQGYYAYTKYEGEKIIKKYSKKFKYKYAILRYFNVAGASPSKKIGQIEKSHGQLFKNIAIQSLKKKPTINIYGNDYSTLDGTCIRDYIHVSDLANIHIKTLDYLNKKKKSLILNCGYGKGYSVLDVVNTFKKIK-KNSKIKFQKRRLGDISEVYANTSKIKKILRWKPKYNLLKILKSSISWEK----------- 136048130 ---KNILITGGCGFIGSHFTEHILKNDWNIVIVDKLTYAS---LGFDRLREINALDNDRVKIITWDLCVEYIVHMAAETHVDNSISRPVSFIKNNVMSTVQLLEYSRKNSLEIFFYFSTDEVYGPAGDKMFSEDERHNPTNPYSASKSSAEMICVSYHNTYNVPVMRINVMNAFG--------EMQHPEKFIPKVINKIL----NDEVIEVHSYPDKKTSGTRFYIHARNIAAGV---LFLIKNGKIGESYNLTGEKEVSNLEMAQMISKFIGKKEMVDFHSDRPGHDLRYGLSGEKMKK-LGWELPIDFQKSLKKTIKW------------- 141987493 MSNK-ILVTGAAGFIGFHICKKLIENNYEVLGIDNLNSYYNELKNKWNFIKIDLENNNEINETFNDFKPQTVIHLAAQAGVRFSITNPDSYIKSNLVGFANIIEACRNNSIGHLIYASSSSVYGGNTKLPFSEDDPVDHVSLYAATKRSNELIAHVYSHLYKIPCTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 139448790 ----------------------------------------------------------------------------------------------------NLLKAMEKNNCRNIVFSSSATIYYSNNKSLLTENSFIKPVNPYGHTKSVIEKILLSESSKEKWKIANLRYFNPIGAHSSGLIGEDPLGPNNIFPFILQVAAGKIKELRVFGNDWPTIDGTGVRDYIHVLDLVDGHILALEYLANKPTIINLNLGTGKGSSVLDLINCFEKVNNIKVPYVFSERRHGDIPYVVANNSKALKILNWKPKRTLKEMCIDGWKWQKNNPNGY----- 253701994 FRGRRVLVTGHTGFKGSWLSLWLHRLGAQVTGY-ALSPPTDPSLFEVHSVTGDVRDQAALTTAVKDAAPEIVIHMAAQPLVRESYLNPVETYSTNVMGTVHLLEAVRNSPGVKAVVNVTTDKCYENREWAWRENEPMGGYDPYSSSKGCSELVTAAYRNSYFNETRYADHGVAASARAGNVIGGGDWAGDRLIPDCVAALLKH--------EPVRIRNPHAIRPWQHVLEPLSGYLTLAQRLYQEGPRYAGAWNFGPGDDDAREVEWIVKRMGEARYEVDQGEHPHEAHYLKLDCSKAKAKLGWSPRWSLETAIEKIIDWSLAYQRG------ 134989548 --TKKVLVTGVAGFLGSHLAEKLVDLGHSVVGLDNMIGGYEDNVKKIDFHNFDCCDFKKVQNIMKGV--DVVYHCAATAHEGLSVFSPYEITKNNYLASVSIFSAAVNEKVDRIIFCSSMARYGD-QKTPFTEEMKPKPVDPYAISKVAAEEVLKNLCDLNGIEWVIAIPHNIIGPRQ------KYDDPFRNVVSIMINRMLQGKAPIIYGDGEQTRC------FSYIDDCLSCLIPMLDQKNLHKETINI--GPDEEFVTINKISEICS--------------------------------------------------------------- 135284007 ------LITGITGQDGSYLTELLLNKGYQVYGIVRFNTSRIDHVYADPHDHGDLTDASSLNQILRQVQPDEIYNLGAQSHVRVSFDIPEYTANASGLGTLRLLEAIRDVGIPRFYQASSSELYGKVQEIPQRESTPFYPRSPYACAKAFAYYCVVNYRESYGLHASNGILFNHESERRGETFVTRKITRAVAHIKHGLQKKLY------------LGNLDAKRDWGYAPDYVEAMWLIMQ----QETPDDFVIATGEQHTVRECMELAFEHADLDWYVEIDPRRPAEVDSLVGDSSKARLKLGWKPRVTFNELIRRMVE-------------- 158521294 MTLKKALITGITGQDGAYLAEFLLEKGYEVHGIKRFNTARIDHLYHDPHEEGDLTDSSNLVRIIQHVQPDEIYNLGAQSHVQVSFESPEYTGDVDGLGTLRLLEAMRILGVERFYQASTSELYGKVQEVPQTEKTPFYPRSPYGCAKLYAYWCTVNYREAYGMFACNGILFNHESP------IRGETFVTRKITRAAARIAGGVQDRLYLGNLGALRDWGHARDYVRAQWLMLQQSEPEDFVIATGKQHSVREFCNAGIHLAWKGTGVEEHGIVDSVNKEAPFRPADVVSLVGDATKAREKLGWEPLITLDEMVFEMVD-------------- 140036353 ---------------------------YKVLNIDKVSYASNKNNKNYKFVKCDLNNIKNLEKIIDKFKPQAIFNVAAETHVDRSIDGPKNFIFSNIIGTFNILEILRKKKKIKLVHISTDEVYGDVIKGRSKEDDAYKPSSPYAASKASSDHLIYSYIRTYKIDANITNCSNNFGPN--------QHPEKLIPKLIYNIINNKKLP--------IYGKGVNSREWIYVEDHCEAI---LKVYKSGKPGEFYNIGSNYNINNLTVVKKLISVLGNNVKIIFVQDRPGHDMRYAINSNKIKKNLKWKPKTNFMSGLEKTFDWYLNN--------- 260428683 --TKKALITGVTGQDGSYLAEFLLEKGYEVHGIKRFNTQRVDHIYQDPHEYGDLTDTSNLTRLISEIEPDEVYNLGAQSHVAVSFEAPEYTADVDGIGTLRLLEAIRFEEKTRFYQASTSELYGLVQEIPQRETTPFYPRSPYAAAKLYAYWITVNYREAYGMYACNGVLFNHESPRRGETFV------TRKITRGMANIAMGLEPCLYMGNIDSLRDWGHAKDYVRMQWMMLQQEQADDFVIATGKQYSVREFIGEGVDETATVKSVDAVKEGDIIMRIDPRRPAEVDTLLGDPTKAKTKLGWVPEITAQEMCSEMVA-------------- 143932981 --------------------------------------------------------------IFKSFRPDKVVNLAAQAGVQYSLINPSAYIKSNVSGFLNILECCKDYNVKGLIYASSSSVYGNNKKVPFSEDNVDNPISIYAATKKSNELMASVYKHLYDLNSTGLRFFTVYGP--------WGRPDMAYYSFTEKILNDEQIEVYNFGKV--------QRDFTYIDDVIEGIKLSITKNF---GCEIFNLGNSRMEKVLDLIKVLENKLGKKANITLKPLKWGDIKNTNADISKSSSMLGYYPKTNIETGLGKFIDWYLDY--------- 141537889 ----NLLVTGGAGFIGANFVHYWRNKDDQVIILDALTYAGNKDNLKFYLDQGSICDQMLVKKTFISHKIDKVVHFAAESHVDRSIEGPDDFINTNIMGTYVLLKTAQANWSHRFHHVSTDEVYGSLEEPPFSETSAYAPNSPYSASKAASDHLVRSYHHTYGLNTTTSNCSNNYGA--------FQYPEKLIPLCLINILQGRPLP--------IYGDGKQIRDWLHVDDHCRGIDLVL---GNGTYGETYNIGGDNERKNIDVVKLICK--------------------------------------------------------------- 144158091 ----KFFVTGGAGFIGSNFVRMALNEDSSITVFDALTYSSVEDNPHFSFIQGDIRDGEAVEAALPGH--DAIVHFAAESHVDRSVSDAGIFVETNVLGTQKLLEAAKTHSISRFVHISTDEVYGSIDEGEWDEEEPLLPNSPYAASKAGSDLMVRSYHRTHGLDTVTTRCSNNYGTH--------HFPEKVIPLFVTNLLDGLPVPL--------YGDGGNQRDWLHVDDHCRGIALVLQKGHS---GEVYNIGGGTELSNRE---------------------------------------------------------------------- 189423842 ---KKALITGITGQDGAYLAELLLEKGYEVHGIKRFNTDRIDHLYQDPHEKGDMTDSTNLIRIIQAVQPDEIYNLAAQSHVAVSFETPEYTANADAIGPLRILEAIRIEKKTRFYQASTSELYGLVQEIPQKETTPFYPRSPYAVAKLYAYWITVNYREAYGI----------YGCN--GILFNHESPLRGETFVTRKITRALARIKLGLQDCLYLGNLDSLRDWGHAKDYVEMQWLLMQ----QEHPEDWVIATGIQHSVREFVNAAAEELGMKISWRVDPRRPTEVETLLGDPGKAREKLGWTPKISFKELVAEMVR-------------- 224373676 MSKKVALITGITGQDGSYLAEFLLEKGYEVHGIKRFNTKRIDHLYKDPHEEGDMTDSMNLTSIIKQVQPDEIYNLAAQSHVAVSFEEPEYTANADGIGTLRILEAVRLTDKTKIYQASTSELFGKVQEIPQKETTPFYPRSPYAVAKMYAYWITVNYREAYNMFAVNGILFNHESPRRGETFV------TRKITRGMARILLGLDKKLYLGNLSAKRDWGHAKDYVEMMWMILQYEKPEDWVIATGRTTEIRKFEGEGIDEKGVVESIEKLLKVKDIINVDPKRPTEVDLLLGDASKAREKLGWEPKITLEEMTKEMVE-------------- 134855896 ------LVTGAAGFIGSNLVDTLLKNGHEVVAVDNYSDAHDQWNKNSYNVNCDVRDYKALKNCMW--DVDYVFHLAAEARIQPAIKNPIEAVSINSVGTCTVLQCARETGVKRVIYSSTSSGYGMNQ-TPNVETQQDDCLNPYSISKVNGEKLCKMYTDLYDLKTISFRYFNVYGERQ------PLRGQYAPVIGIFLRQLAAGEPLTIVGD------GEQKRDFTYVGDVVNANWAAISDCDDNAFGQVYNIGTGKNHSVNEVANMI----------------------------------------------------------------- 139549831 LKNSNILVTGGAGYIGSHIVELLVKSRNKIYIYDNLVTGFRKLIKKAIFIKGDIKDKKKISNVVKKNNIDCIIHLAAHLNISEAEKKKKKYYNNNIGGTINLVNACKNSSVKIIIFSSSCSVYG-NTKGSIKENHKLNPRSNYAKTKYESEKILKRFSKKFNFKYGILRYFNVAGASSSGKIGEIERSYGHLFKNLAIQSLRSKPVVNIYGKNYDTKDGTCIRDYIHVSDLAEIH-------------------------------------------------------------------------------------------------- 137005397 --------TGCCGFIASHLSIGLDSLGHEVVGIDWMSEGRKKESLEINMENFRFI-KGDVAESLPKEEFDFVVHLAALPGVRASIEKPFETFRCNAGVTAKVLDWAKEGYTNNLIYASSSSVYGLNQQIPFSEEDSLNPNSPYAASKIATEVVADTYCRLFPIKAVGLRFFTVYGPR----------GREDMAIHKFLTWVSNKTPVTVFGDG------SSSRDYTFVEDIVSGIIASMNYASKSHSHEVFNLGNNSPIKLSDLIDLVGEIVGEEPIIDRQPDQLGDVPTTFANIDKAVKLLRYQPKT------------------------- 138221849 --------------------------------LDNLSTGRLENIKRFRFIKCDLSKRGKWEKEFKGKVF--VFHLAALADIVPSIQNPKKYYNANVTATLNVLNACKSSKVLKFIYSASSSCYGIPKTYPTKENEKLNPIYPYALTKKMGEDLVLHWSQVYKIPSISLRLFNVYGTRSRT------SGTYGAMFGVFLAQKLANEPFTIVGN------GTQTRDFTYVTDVVSAIIKAIKSKLS---NEILNVGSGQTVSVNKIIKLL------GGNKVFIKKRPGEPNSTFADIKKIKKKLGWVPKIKIEEGIKILIN-------------- 40063665 ---RKIYLAGHQGMVGSAILRQLLERGHEIV--------------TRTHAELDLTVQLSVREFMQFERPDVVILAAAVGGILANNTYPADFIYQNLMIECNLIHQAFESGVTRLLQLGSSCIYPRAAPYPMREDATLEPTNPYAIAKIAGIKLCESYNRQHGVDYRSVMPANLYGPGDNFHPENSHVLPALIRKFHDAAKSGQD-------EVIIWGSGTPRREFLHVDDMAAASLFVLDLPKPDYDAGHINVGSGTDVSILELAKLVAKITNYSGRILTDKTKPDGAPRKLMDVSRMKS-MGWHANIALEDGVSETYSWFLKNNQSL----- 265764214 -KGKRVLVTGHTGFKGSWLSIWLHELGAEVIGVDNYVLSDIGKNIKAD-IHADIRDGGRMKAIFKEYEPELVFHLAAQPLVRLSYDIPVETYETNVMGTINVLEAIRVTDSVKVGVMITTDKCYENKEQIWRENEPMGGYDPYSSSKGAAEIAIASWRRSFFHPEQYEKHGSIASVRAGNVIGGGDWALDRIIPDCIKALEA--------GKEIEIRSPKAIRPWQHVLEPLSGYMLLALRMWKEPIKYCEGWNFGPRATVWDVATKVVENYGSGQLRDLDPNTLHEAKLLMLDISKAKFMLGWESRMDINQTVALTVDWYKRYQE------- 159900836 ---KHVLVIGGAGYIGSLVLRRLLNQGYHVRLVDSLMYGDGRELYNHPQFEFVHGDMRHIETVVRSVGMDAVIHLGAIVGDPACAIDADFSTEINLIATRMLAEACKGYGIRRFIFASTCSVYG-ASDELLDERSALNPVSLYAQTKIDSENILLGLADQQFAPTIL---------RFSTIYGLSPRPRFDLVVNLLTAKAVREGKITVFGG-------DQWRPFVHADDAARAVVMSLNAPLAAVRGEIFNVGSDS---QNYTISAIGELIGRLIPEAELVLQGNDVRNYRVSFAKIAKVLNFSPEHTVEDGVRE----------------- 55819022 ---KNILVTGGLGFIGSNFVNHISSKYDNVYVYDIGDYCNVEWNNRTKLIKGDIRNFDLIMHTLTEHEIDTIVHFAAHSHVDNSFKNSLAFTETNVFGTHVLLECSRMYGKLKFFHMSTDEVYGEIDTTDTSEVSLLCPTNPYAATKAGAEHIVKSYFLSYKLPIIIARCNNVYGRN--------QYPEKLIPKFICSLLDGKK--------LHIQGTGNSRRNFIHAIDVADAVDLVI---NNGVIGETYNIGVTNEHSVLDVAQILCDIAGVENQLEYVPDRLFNDFRYNITNDKIKS-LGWESRKDFKKELVELFDWYKVNRHRYN---- 135970478 LENKKIWVVGHNGMVGNSILKKLAK--------------FKSKILVAEKKDLDLRCQEKVLNWMKKKKPEVIFLAAAVGGIAANMAFPRDFLYDNVMISFNIINSAKLINVTKLVYLGSSCIYPKNVKQPFVEDSLLKTNQWYSLAKIAGIKLCEAFRIQDNCNFISVMPTNLYGPMDKFDDHNGHVPAALLNRFHLAKINNLPK-------VIVWGSGTPLREFLYVDDLADACVFLAE---NYNSPQIINVGSGYEISIKKFANLIKEVVGYKGKIIFDVSKPDGMKRKLLDSEKIKRI-GWKPKISLKKGLKLFYKWYLDNQN------- 142319220 ---KKALVCGAGGFIGSHMVRRLRSEGYWVRGVDQ-KYPEFSQTEAHDFVKGDLREVSLVKDILEIYQFAADMGGAGFVFTGENDMQNSATINLNILEQQRILNEELDVNKTKIFYSGSACMYPDPNNPDCRESSAYNPDSEYGWEKLFSERLYFSYHRNYDMPVRVTRYHNIYGP--------EGTWQGGREKAPAAICRKVAKLPDVGGGIEVWGDGEQTRSFLYIDECIEASRRLMDSDF----IGPVNIGSEEMVTINELVNKVAKVSGKAVSKRHKLDAPLGVRGRNSNNDLIREAIGWDYTMTLEEGLSRTYAW------------- 135868974 ---KNILVTGGAGYIGAHLIEILIKEKKNVFILDNLSTGHKKIIKKAKFIRSDISNTKKIKKIVKLYKIDSVIHLAAVLSVGEGQKNPKKYYKINVDGTKKVLQSIKNSNVRNFIFSSTCAVYKDGLKK-VSEKSSLKPTSVYGKTKLKCEKLIQSFCYKQDINYGILRFFNVAGASPSGKIGQINKGDQ----------------------------------------------------------------------------------------------------------------------------------------------- 86742157 ----KVVVTGGAGFIGAHLTRALLAAGTEVVVIDDLSTGALSNLAGLPAELVSVTDRTLVEQ--ACTGASSIVHLAARPSVERSLLDPMATHAVNATGTLHRAETHVVVVSSSSVYGDRSAAGDGAGPLSPSAGTPCLPRSPFAASKLAAEGYALSYQASFGLPVLTVRLFDVFGP-----YQSAGHAYAAVVPTFIEAALAGRP-------LTVRGDGRQTRDFIPVELVTGMLCDAVCRRLTHPHPVDI--GSGTRTDLLTLIARLEEILGRRLVVEHAAPRPGEIWDSQADTTTMRSLF---PDVDLTTALAATVTWY------------ 301062682 ---KRALITGITGQDGAYLAELLLKRNYEVHGIKRFNTDRIDHLYQDPHEYGDLTDSTNLIRIVQQVQPDEIYNLAAQSHVQVSFETPEYTANADALGTLRLLEAIRIEKKTKFYQASTSELYGLVQETPQSEKTPFYPRSPYAAAKLYAYWITVNYREAYGIFGCNGILFNHESP-----IRGETFVTRKITRGLARIKLGFQD-CLYLGNLDGKRDWGHARDYVELMHLMLQQDQPKDYVIATGQQFSVRDGKEVGFDIRWEGEGIEKGYEQQTGKCIVAYRPTEVETLLGDAGLAREALGWKPKTTFQEMVAEMVA-------------- 56797948 ---KKALITGITGQDGSYLAELLLDKGYEVHGIQRFNTSRIDHIFQNYQHYGDLTDTSNLVRLVREIQPDEIYNLGAQSHVAVSFESPEYTADVDAMGTLRLLEAIRFEKKTRFYQASTSELYGLVQETPQRETTPFYPRSPYAVAKMYAYWITVNYRESYGMYACNGILFNHESARRGETFV------TRKITRAIANIALGLQECLYLGNIDSLRDWGHAKDYVRMQWLMLQQDQPEDFVIATGKQITVREFTGEGIDEIGRIISIERIGDIVVRIDPKYFRPSEVETLLGDPGKAKQKLGWLPEISVEELCAEMVA-------------- 137517586 ---KSLLVTGSAGFIGSALSLKLLEKGYHVIGIDNLNDYYDIELKHYKHYEIDIESEDSIEEIFKENKFEAVINLAAQAGVRYSIENPHKYIATNILGFVNILEACKNYKIKHLVYASSSSVYGLNQEIPFTQKMTNHPISVYASTKKSNELLAHTYSHQYNLSTTGLRFFTVYGP----------WDRPDMALQQFAKAITENKPIKLFN------YGKHKRDFTYIDDIVGGILKVIHKPARSDEN------------------------------------------------------------------------------------- 135043929 ----KILVLGSRGMVGSAISRRLKKENVEAV--------------THTRGDADLLHQDSTRDYISKTNPDAIILAAAKVGGILANRDFYDFIAQNLFMQSNVIDTAVTLGVKKFIFLGSSCIYPKCAPQPLKEEDTLEPTNPYAIAKISGVKMCQAANRQLGLNYISLMPTNLYGPNDNFDLETSHVLPAMIRKYIDAKEDGT--------SVQLWGTGTPRREFLHVDDMADAVWFTLSNY-DAFDHDLLNVGTGTDLTIKDLSNTIASLTGFKGETVWDSTKPDGTPVKRLDVSLMSHA-GWKASIGLEEGIRSTIEWYQEHY-------- 143959221 ----RYVVTGGCGFIGAHVVNLLQSKGKKVAIVDKITNDSAFLNEGAQHFYMDISDPQLMEKALLP--DDVVIHLAAQSHVDVSFKNPVSTTISNVVGIHSLLAACVKKGVKKLIVMSTDEVYGSTDE--IVDVRLLDPANPYSASKAAADMIVSAYQKMHPLTITTLRSNNIAGPGQF----------INNIIPRFSVLGLLDRKFTLHGDGSARRR------YLWVKDAAMAIYLLAEHAT---KSQIYHIGHDQSFSNLEVAEKIGSYLKLEDYISFEKDRLINDTIYPADSLDMLRDFDWKPTRDLDDFLPETIEWYRTH--------- 134330093 -----------CGFIGYHLT-SLLQNEYKVIGIDSLNNAYDPKLKEFEFFNINLSEKKELEKMTSTLNVETVIHLGARAGVRQSYLEPEKYIEDNTTASTNLAFVSKELGVRKFIIASTSSIYGDTGTQMATEDELVDPPSIYAATKQFGETMVKNILSTDEHIIQIPRFFTVYGP--------FGRPDMSILRFIHWIENSE--------EVLIYGDGEQKRSFTYVSDIVNGLSKLIDYE----ESGTFNFGSNKTWSLNNVIEKIENKLDSKANINFKERAFRDVDIVLPNLEKSKSKLGWTPLVDLDAGLDKTITWYKKYKEELRN--- 142763924 ---KRVLITGGAGFIAHHVISFLVKNNWDIISLDRLDYSGNLNRLDHIISNFNKTEKSRIKIVFHDLKADIILHLAAGSHVDRSIENPMEFVLDNVVGTANILEFARKLNNNRFIYFSTDEVFGAPKGIDYKENDRYNSTNPYSATKAGGEELAVAYQNTYGIPIYITHTMNVFGERQ------------HPEKFIPMSIRKIRDNDIVSIHSDKSKTIPGSRHYIHAEDVSEAILFLLQKKIKNAKCPKFNIVGSEEINNLELAKIIAESQGKPEMIDFHSSRPGHDLRYSLSGEKMMK-LGWKPSIKLSDRIKQVVNWSLRNQNWIE---- 124004208 -KNSKVYIAGHRGMVGSAILRRLQKEGF-----DNFVYSSSKDT--------DLRNQTAVADFFAREKPEYVFLAAAVGGIVANNTYRAEFLYDNLMIQSNVIHQAYVHQVKKLLFLGSSCIYPKLAPQPLKEDGSLEPTNPYAIAKIAGVKMCEEYRFQYKCDFISVMPTNLYGTNDNYDLKTSHVLPALLRKFHEAKQNNEP-------SVEIWGTGSPLREFLHVDDLADACYFLMKNYSEKS---LINIGTGTDISIKDLALLIKEVTGYNGELCFDTSKPDGTPRKLMDVTKLHQ-LGWQHRIKLKDGITSTYK-------------- 142913880 ---KVALITGITGQDGSYLAELLLDKGYEVHGIVRRSSLINTHRIDHIYERGDLTDSTNLVRVIQMVKPDEIYNLGAQSHVKVSFEMPEYTGMVDGLGTLRVLEAVRMEDKVRIYQASTSELYGKVQEVPQKETTPFYPRSPYGVAKLYGYWIIKNYREAYGMHCSSGILFNHESPRRGETFVTRKITRGLSQISCGLQDCLY------LGNLDAKRDWGHAKDYVEAMWLMLQQDEPDDYVIATGKQYSVREFVEKAAPFIEWMGEGEEEVGFDWNIKVNPRRPTEVESLLGDPTKAKEKLGWEPKITFDQLVEDM---------------- 74317794 ---KKALITGVTGQDGAYLAEFLLGKGYEVHGIDHLYQDPHEKDRRFILHHGDLTDSSSLIRIIQQVRPDEIYNLAAQSHVAVSFEEPEYTANSDALGALRILEAIRIEKKTRFYQASTSELYGLVQETPQKETTPFYPRSPYAVAKLYAYWITVNYREAYGMYACNGILFN------------HESPIRGETFVTRKITRALARIKLGLQDCLFLGNMDAKRDWGHAKDYVEMQWLMLQ----QEKPEDFVIATGVQYSVRDFVDAAAKELGMSIRWEVDPRRPTEVETLLGDPAKAKDKLGWTPKISFDELVTEMVR-------------- 159462534 ----RICVTGAGGFIASHLAKRLKSEGHYIVACDWKRNEHAEEEFCHEFHLVDLRVYDNCKKV--CEGCEHVFNLAAMGGMGFIQSNHSVIMYNNTMVSFNMMEAARVTGIKRFFYASSACIYPEYKQLDVEEDDAWQPQDAYGLEKLATEELCKHYNKDFGIECRIARFHNIYGPHGTWKGGREKAPAAFCRKVLTSTS-----------EIEMWGDGKQTRSFTFIDDCVEGILRITKSDFRDP----LNLGSTEMVSMNGMMELAMSFDDKKLPIKHIPGPEG-VRGRNSDNKLILEKLGWEPTVTLADGLKRTYEW------------- 144116743 ---KKAIITGVTGQDGSYLAELLLSKGYEVHGIKRFNTDRINHLYQDPHEEGDLTDSTNLLRIIQEVQPEEIYNLGAQSHVAVSFESPEYTANSDALGALRILEAVKITQKTRIYQASTSELFGLVQETPQRETTPFYPRSPYAVAKLYAYWIMVNYRESYGIFACNGILFNHESARRGETFV------TRKVTRGLARISAGLEDCLYIGNLDSLRDWGHARDYVLMQWLMLQQETPDDYVIATGRQESVRRGWGGILWEGENLNETGRRLDTEIVVKIDKRRPAEVNTLLGDASKAREKLGWQPTTTLEELVSEMVA-------------- 260464003 -----IIVTGGAGMIGSNIVAALNAEGHDDIVVDDLTDGHKISNLADLGI-ADYLDKDDFIEAGSLGRIEAVFHQGACSTTTE--WNGKFMMEVNFAYSKRLLHACQALRVP-FLYASSASVYGGGAE--FREDPTLEPLNVYAYSKKLFDDYVRSVFDSDHSQVAGLRYFNVYGPR------EAHKGAMASVAFHLFNQVERGENPKLFGAYDSFGPGEQSRDFIHVGDVADVNLWLW----KRGASGIFNCGTGRAQPFRAVAETVIDTLGKGEFIEFPDHLKGSYQSTQADMSRLRAA-GYNGQFSVETGVRDYVEW-LKAQRS------ 260173835 -KDKRILVTGHTGFKGSWLSIWLHELGAEIIGLTNRDNYVLSKIKISADIRADIRDGEKMKQIFVKYQPDIVFHLAAQPLVRLSYDIPVETYETNVMGTINIMEAIRATDSVKVGVMVTTDKCYENKEQCYKEDDPFGGYDPYSSSKGACEIAISSWRRSFFNPAQYDKHGSIASVRAGNVIGGGDWALDRIIPDCIKALEE--------GTPIDIRSPKAVRPWEHVLEPLSGYMLLAQKMWNAPTEYCEGWNFGPETDVWEVATEIIKNYGRGELRDSSNLGALHEASLLLDINKVKTRLGWTPRMDMNQCIKLVVDWYKNY--------- 284162300 ---------------------------------------------------------------------------------------------------------------------NTDEVYGDIIKGSFKEEDRLKPSSPYSASKAAADMFVLAWVRTYGLHAMITRCTNNYGP--------YQFPEKLIPKTIIRASMNLKVP--------IYGTGKNVRDWIYVLDHCEAVDLVMR---EGEKGEVYNISSGEEKTNLEVVRTILDLMGKDESIEFVEDRPGHDLRYSLDSSKIREELGWKPKHSFKEGIRKTVKWYIENERPLADER- 142153330 -KTDKIYIAGHRGMVGSAIHRKLQREGYT-------------NLITRTSAELDLRSQPAVQQFFRDEQPNIVFLAAAVGGIHANNTLRGAFLYDNLMIQNNIIHAAKEHDVSKLMFLGSSCIYPKLAPQPLKETGPLEPTNPYAIAKIAGIKLCDAYRDQYGCNFISAMPTNLYGPNDNYDLESSHVLPALIRKFHEAKTRGDE-------TVILWGSGTPKREFLHVDDLADACYFLMQTYNESGP---INVGTGHDIEIKELAHLIKEIIGFQGTIEHDLSKPDGTPRKLMDVSRLTNA-GWTATIGLEEGIRNVYEWY------------ 226323513 ---------------------------------------------------------------------------------------------------------MRNHNVKNIIFSSSATVYGDPAFIPITEECPKGTTNPYGWTKWMLEQILTDHKADPEWNVVLLRYFNPIGAHESGLMGEDPKGPNNLLPYIAQVAIGKLECLGVFGDDYDTPDGTGVRDYIHVVDLAIGHVKALKKIQEKSGVSIYNLGTGVGYSVLDVLHAFEKACGKEIPYQIKPRRAGDIATCYCNAEKAKNELGWVAERGIDKMCEDSWRWQTMNPNGYE---- 302342054 ----KILVTGGTGFTGAALVERLLGLGHQVVALDN-KEGLKPQALRDMGAEVVIGSVTDEPLVRRCMRGVEVVHHLA-AAFREMDVPRNYYDQVNEGGARLVAQAAQDEGVRKFIYCSTCGVHGNVERPPADENAPINAADYYQQTKYNGEVALRPFIDA-GMKATILRPAAIYGPGDPERFWMIYSRVQKGVFPMFGSGKTLYHPLYIDNLIDAFLAQEEDKGLGQAYLIADEQYYPIEELVTRGKPVRIPHFPVWPVVAAHVCEKLCKPFGVAPPIF--PRRVDWYRQNRADIGKAKRELGYQPKVGIDEGLRKTYEWYVR---------- 140990284 MKKNNAIVTGGAGFIGSHMVDLLLKKKFKVTVIDNFTGGHKKNLLHQNIVNKDILSLNKNRKIFK--DIDFIFHFAGLGDLIPSIENPSKYMETNIQGTIRMLEFARHNNVKKFIYAASSSCYGI-YNYRTKENTKINPQHPYALSKLLGENAVMHWNKVYNLPAISLRIFNAYGPRVRT------TGVYGAVFGVFFKQKLRKKPLTVIGNGQ------QSRDFIYVSDVVNAFY------------------------------------------------------------------------------------------------- 143868011 ---------------------------------------------YVKFYRTDIADEESVRDVFQNESITKIFHLAAESHVDNSIKDCKPFIYSNIIGTVNLLRCALEHEVSRFMHISTDEVFGSIAYGSFNEISRYRPRNPYSASKASSDHFVNAYHTTYGLPTIITNCSNNYGPRQY----------HEKMVPKTILSLMNDRPVDVYGNGL------QIRDWIYVDDHCKAL---VELSKRGRVGQSYNVGGECELKNIELVHMIAKLMKKEPNINFVEDRLGHDQRYSTSNDKITTETPWTVSTHIEEGLLKTIKYY------------ 135216188 -SKKKALITGVTGQDGSYLAELLLDKDYEVYGLTRRTSTPNHNRIAKVVDKGDLLDQHSLTQVVQEVKPDEVYNLAAQSFVKTSWEQPMFTGDSTGLGVTRMLEAIRLVHPKKFYQASSSEMFGKVREVPQTESTPFYPRSPYGVAKVYGHWITVNYRESFDIFAVSGILFNHESPRRGLEFV-------TRKITNTVAKIKYGKAEKLYGNPDSERDWGFAKDYVEAMWLMLQQDSPNDYVISSGETHSVREFVEQAFEVAGIKNWEDKVVFNDPRYT----RPAEVDQLTGDYTKAKKALGWEPKTSFAELVEIMVK-------------- 142414889 ----KVLITGGAGFIAHHVIDHILKTDWHITTLDRLDESGNLNRLNDILKEHTPENRKRHRTVFHDGDIDIVLHLAAGSHVDRSITHPMEFVQDNVVGTVNLNWAKELPNLKKFVYFSTDEIFGVPPGVSYKEYDRYNSTNPYSASKAAAEEFCVAYENTYKMPIYITHTMNVFGQRQ------------HPEKFIPGTIQRVRDGHKVTIHADSSKTKAGSRMYIHASDVAEMFILNLDNYIHKGDFGWAKCPKFNEVDNLKLAQMISDAVGKPLNYEMVDFRPGHDLRYALSGDLLKQ-LGWEPRIKLSERIKETVDWTLANDRWLRN--- 168986421 --TKVALITGVTGQDGSYLAEFLLEKGYEVHGIKRFNTERVDHIYQDPHHYGDLTDTSNITRILQEVKPDEVYNLGAMSHVAVSFESPEYTADVDAIGTLRLLEAIRIEKKTRFYQASTSELYGLVQEIPQKETTPFYPRSPYAVAKLYAYWITVNYRESYGIYACNGILFNHESPRRG------------ETFVTRKITRAIANIAQGLESCLYLGNMDSLRDWGHAKDYVRMQWMMLQ----QEQPEDFVIATGVQYSVREFVEMTAEQLGIKLSFEVDPRRPAEVETLLGDPAKAHEKLGWKSEITLREMISEMVA-------------- 136138349 ---KVALITGITGQDGSYLAELLLEKGYEVHGIIRRSSLINTDRIDHIYPQGDLTDSTNLVRVIQQVQPDEIYNLGAQSHVKVSFEMPEYTADVDGVGTLRVLEAVRMEDRVRIYQASTSELYGLVQETPQSETTSFYPRSPYGVAKIYGYWITKNYREAYGLYACTGILFNHESPRRGETFVTRKITRGLSRISTGQQNVLY------LGNLNAKRDWGHAKDFVEAMWLMLQQDEPDDYVIATGKQEWQYTDQGTEVGVDKNTGLVRIMVDPKYF------RPTEVETLLGDATKAKQKLGWEPKISFKQLVEDM---------------- 258593675 MES--ALITGGAGFIGSNLVLELERRDIRITIIDDFRSGEYSNLIG---FRGDLVDLAGLDLLFQPGEFQVVFHLASITDTT--VTDAQQMIWNNVEGFRRVAEFARASGTP-LIYASSAAVYGVCRSGRMQEDQPIRPANVYAFSKTLLENLAKRLDDVSGFRIVGLRYFNVYGPG------ETHKGAAASMIYQLAKQIQSGKRPRIF------KRGEQARDFVYVKDVVEATLLAAEA----NHSGVYNVGSGRPTSFNEVIALLNKALGTDYEPDYDNPYPFYQPHTEADVSLARAELDYVPKYPIDRGIAE----------------- 139811141 ----KILVTGGSGYIGSHTVVELMNEGFEVVIVDNLSNSNIDVLTRPIFENVDCVDYVAMDKIFEKYDIEAIIHFAASKAVGESVEKPLLYYRNNLVSLINLLELMPIHGVKNIVFSSSCTVYGQPDILPVTEAAPIKALSPYGNTKQVCEEIINDTMYANNLKAIVLRYFNPIGAHASAEIGELPNGVPNNLLPFVTQTAAGLRK------------------------------------------------------------------------------------------------------------------------------- 135616545 ---KRVLITGGAGFIAHHLINYLLKNTDWDIILDRLDYSGNLNRLENILSNFPQKEKSRVKIVFHDLKIDIILHLAAGSHVDRSIDFPMEFVLDNVVGTANILEYARSINKERFVYFSTDEIFGAPKGVDYKENDRYNSTNPYSATKAGGEELSVAYENTYGLPVYITHTMNVFGER--------QHPEKFIPMCIKKIRDGE----TVTIHSDKSKKIPGSRHYIHAEDVAEAIYFLLEYKIDFGGAKCFNIVGAEEINNLELAQIIANSQGKEEMVDFHSSRPGHDLRYSLSGDKMEK-LGWKPSIKLSERIKQVVDWSLNNQNWIE---- 194335722 ---KVALITGITGQDGSYLAELLLEKGYEVHGIDHLYRDRHDIENEGSPHYGDLTDSSNLTRIISEVQPDEVYNLGAQSHVAVSFESPEYTADVDAMGTLRLLEAIRFEKKSRFYQASTSELFGLVQEIPQRESTPFYPRSPYAVAKLYAYWITVNYREAYGMYACNGILFNHESSRRGETFV------TRKITRSLANITQGLEECLYVGNMNALRDWGHAKDYVRMQWMMLQQELPRDYVIATGVSIRWEGEGREEIGVIENLSTYASLREGSVIVRVDARRPAEVETLLGDPAKAKADLGWVPEITLDEMVEEMVA-------------- 136819656 -------------------------------------------------------------------DCETVLHLASLIAIPYSYNSPETYVDTNIKGTLNILQAARDLGVKRVIHTSTSEVYGTARFVPITEDHPLLGQSPYSATKIAADQLAYSFHVSFGLPLMILRPFNTYGPRQS---------ARAVIPSVISQIASKKNEIRL-------GALSPTRDFNFVSDTVAAFIAAINSNNFAGEVINI--GSNYEISIGDTVHLIGDIMNSKIAIVTEENRKSEVARLWADNQKAYELLGWQPKYSLRNGLEETVGWFL-NPKNLER--- 142399699 ---KNILITGGAGFISHHLIYYLIKKTNWNIILDRLDYSGNLNRLDSILSELPNKDKSRVKVVFHDLKVDIILHLAAGSHVDRSIDYPMEFVLDNVVGTANILDYAREIQLERFVYFSTDEVFGAPKGLDYKENDRYNSTNPYSATKAGGEELAVAYENTYNLPVYITHTMNVFGER--------QHPEKFIPMCIKKIRDGE----SVTIHSDKTKKIPGSRHYIHAEDVAEAIYFILETDFGGAKCPKFNIVGSEELNNLELAQIIANCQNKDEMVDFHSSRPGHDLRYSLSGEKMKK-LGWQPSIKLTERIKQVVEWSLKNDNWIE---- 136780605 --SMKILITGAAGFIGSHLADNLLDDGFDVVGIDNYNNYYDPAVKYDRVEHFDLKDFDDLDMVFNKEEPDIVIHLAARAGVRDSVGNEQLYHQDNIIATQNLIQVCKMYKVAKVVYASTSSVYGGTPKTGWTEDEVTGHLNPYAYTKYCNECQ----FKISGLNNVGLRFFTVYGP--------WGRPDMALYQFTDKLKRGAPIEAFNYG--------DMKRDFTYIGDIVEGIKIAL--FSDIEPNEIFNIGRGKQVELMHFIDCISKEL------------------------------------------------------------- 144897564 ----KILVLGGGGFIGSHLVERLKQEGHWVRAVD-LKYPRYAETPADDFVIGDLRDPALVRSVIDT-RFDEVYQFAADMGGAGFVFNDAAIMHNSGAINLNVLDACWRRNIKRIFYSSSACIYPDPDNPVCSEDSAYHPDSDYGWEKLFSERLYLAYGRNHGMDVRIARYHNIFGEKGSWHDGREKAPAAICRKVAMAADGDA---------IDIWGDGRQTRSFLHVSECLEGTIRLMRSDF----IGPVNVGSQEMVSINQLVDVVAAIAGKTVRKNHIPGPLG-VRGRNSDNRLIAEKLGWAPSQPLRAGLEVTYGW------------- 110668405 LRNQTIVVTGGAGLVGSHLAERLSKAN-DVIIADDLSKGTRDQIPDNTFVEADMTDSNEVESVITS-DVDIVFHLAAYT--DTNFAEPRQLFEENSDMTYNILEQAAAVGVDGVAFTSSSTVYGEAPRPTPEDYAPLEPISVYGASKLADEGLLSTYAHTESLTVWCFRFANIVGPRQRGNV----------IPDFIQKLLAEPETLTILGD------GRQEKSYLYVTECVDAICHIVEYTDEPMN--IYNIGTETTTSVTKIADIVSDVMDLDPTYNYGGDRDGDVPRMRLSVEKLRTI-GWNADTESDAAVRHAAK-------------- 78183758 --TQRVLITGITGQDGSYLAELLLEKGYIVHGIKRFNTSRIDHLYQDPHHYGDLTDSSNLIKIIQDIQPDEIYNLGAQSHVAVSFEAPEYTANSDALGTLRILEAVRLDNKTRIYQASTSELYGMVQETPQSETTPFYPRSPYGVAKLYAYWITVNYRESYGMYACNGILFNHESPRRGETFV------TRKITRGLARIDAGLEECLFMGNLDSLRDWGHARDYVEMQWRMLQQDSPEDYVIATGRQESVWCIKPDESALLWEGEGLEEFGRRAVVVRIDPRRPAEVETLLGDPTKAREKLGWTPVTTLEQLVAEMI--------------- 135028132 ---RTVLVTGAAGFIGAALSERLLQRGDRVVGLDNLNDYYDPSLKQARLRQIELEDSAALMALFAEEKPSVVVNLAAQAGVRYSLENPAAYIQSNLVGFGHLLESCRHHGIENLVYASSSSVYGGNRNLPFREQQAVHPVSLYAASKKANELMAHTYSHLYGLAATGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 143821932 ---KKALISGGTGFIGSHVAEALLKNHWKVHVIDK--YGFKEYNKNIIFQEVDLNNYDEISSI--NNDFDCIFHFAAKLGVADVNKEPYEVLKTNISTTKNIINSLLNPDLDRFIFASTSEVYPTPESEPLTIDSVSSPRSSYMLSKSIGESLC----HFSQLPITILRPFNIYGSRAG--------------IRHVIPQLLEKVYKAKSGDSIEVFSPDHTRAFCHIEDATMQIMSILENENCKNETLNL-GNQTREISMYELAKICIEVSGKDLGIKKLEVTEGSPQRRAPSMEKSQDLIGCLPKVTLEDGIARTYSWYSNN--------- 136438015 MKSK-IFITGSSGFIGFHLAKKFLGHGYKVHGFDSMNTYYDVNLKKSRFTKGHLENEKSLNKILIKFKPKIIVHLAAQAGVRYSIENPDIYLKSNILGTFNVIKVANKIKVKHLIIGSSSSVYGANKKFPFNENDKTDSVSLYAATKKSTEALAHSYSSLWKLPITMLRFFTVYGP----------WGRPDMAYFKFTKNILDGKKIDIYNKGKMYRDYTYVDDIVEGIYKLINKIPKLNSKKIKNDSRILNIGNTKKILLLDFINTLEEELKIKIKKNYLPMQKGDVHSTLSD--------------------------------------- 118595023 --SKVALITGITGQDGAYLAEFLLKKDYVVHGIKRLNTERIDHLYQDPHVDGDLTDSSNLSRIIKHVQPDEVYNLAAMSHVAVSFESPEYTADVDGLGTLRLLEAIRFEKKTKFYQASTSELYGLVQEMPQTEKTPFYPRSPYAVAKLYAYWIVVNYREAYGIYACNGILFNHESP------LRGETFVTRKITRALAQIKLGLQETLYLGNLDALRDWGHAKDYVEMQWMMLQQEKPEDYVIATGVQYSVRDFVNAAAKELEMDISWEKGYADDKCIVKVDERPTEVETLLGDPSKAKKKLGWTPKITFSELVAEMVR-------------- 141317284 ----KIFVSGGAGYIGSHTCIELLKKGFEILVFDNLKNGSKICLPKIKFFKGDVRNFEKLSEAMTYFSPDAVIHFAGVKAVNESVENPLKYYDINVNGSRVLLEAMEKSKCQKIIFSSSATIYGDNDNLPYNENHQPNPKNPYGRTKLIVENMLKDWVKTNNNKAVCLRYFNPA--------------------------------------------------------------------------------------------------------------------------------------------------------------- 136515326 -----------------------------------------------------------------------------------------------------------YQHSFRFLHVSTDEVYGAPDAPAFTETHLYEPNSPYAASKAASDHLVRAWHHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLVLHNALSGKPLP--------IYGDGMQIRDWLFVQDHCRAIARVLQA---GKPGETYNIGGWNEKPNIEVVRTLCSLLDYAEQITYVKDRAGHDRRYAIDASKISNELGWKPQETFETGLRKTVQWYLDNPDWV----- 140287328 ------LVTGGAGFIGSHVCDAFLADGHDVVALDSLEGHYDPETKLCRFVEGDVRDPTCLSELPS---VDAVVHLAARSGVRPSLREPGPYIDVNVNGTQKVLNWIRTRRVPRLIFASSSSVYGNNSNQPCAETDSVDQLSPYAETKRLGETMLEAATSTRGLRVACLRIFSAYGPRQRPDL----------ALHKFARLLCSGEPLPLFGD------GSSGRDYTFIDDVVESVRCALD-WAEKGTFDVFNVGAGETVLLRELVDSL----------------------------------------------------------------- 302038296 -----VLVTGGAGCIGIQVCRELDRRGIEVHLLDQIARVRKALPEKTKVFYGSILDISSIREAMDGCG--AVIHLAALLGVRRTEVNRLRCLEINVDGTKRVLDCAIQHRIKRLVFASSSEVYGEPIENPITEETITQGKTVYAVSKLAGEELCIGYAQRYPFEHVILRFFNAYGP---------YQAAQFVLPKFIQNAMTGKP-------IVINGSGDQIRSYCYSEDTARGVVEALLRPEAVGQVINL-GNSDRPTSLKELADLVVKASGSPVEIKYAADFQGEIHRRYCSGEKAKRLLGFESRVTLEDGIRRIIE-------------- 78187712 ----KLYVAGHRGMVGGAIVRHLLALGV-----------PSSSIITRTHAELDLTNQAAVQDFFSTEKPDQVYLAAAVGGIHANNTYPAEFIYQNLMVECNVIDAAYRNGVEKLLFLGSSCIYPKQAPQPMRENAVLEPTNPYAIAKIAGIKLCESYNRQYGTDYRSVMPTNLYGPG-DNYHPENSHVIPALIRRFHEATVGNAPTVTIWGSGTPRREFLYVDDMAAASVHVMNLDKAVYEEHTSPMLSHINVGYGEDLTIRELAEAVVVTTGFKGEIAFDATKPDGTPRKLMDSTRLNK-LGWRAKTTLHEGLNLAYQDFLQH--------- 137488983 --TKRILVTGIAGFIGHACAMRLHQEGYEVYGIDNLNNYYDVALKQARLDELDLADAGAVNGLMRHAEPNIILHLAAQAGVRYSLKDPMAYISSNIIGHLNMLEACREIKPEHFIYASSSSVYGLNQIPFDEAHPADHPISLYGATKRADELMTHSYAHLFGIPSTGLRFFTVYGP--------WGRPDMAPFKFIEAAFEGQTVPLYNHGEML--------RDFTYIDDIVE---------------------------------------------------------------------------------------------------- 137776794 -----------------------------------LDSCNAEVKKEIEVYAGDIRDAYDVRSSMK--NIVSVLHLAALVSIPYSYQSPSSYIDTNVRGTLNLLQAAKDYGVHKFVHTSSSEVYGSAQYVPMDEKHPLVGQSPYSASKIAADQLALSFYTSFELPVITLRPFNTFGPRQS---------ARAIIPNIISQILSGLKTIRV-------GSVEPTRDFTYVTDTALGFLSCLKA--TQGYGEVFNLGSKFEISIKTLVETISGLMHVDVELMSMDERISEVERLYADNSKLIQSLGWNPKFGFVEGLRHTIDWFSM-PNNLK---- 218134540 -KDAKIYVAGHHGMVGSAILRTLKAQGYTNFVL-------------RTSKELDLRRQADVEEFFRTEKPDYVFFAASVGGIMANSLYPADFMYDNMIMEMNMIKNAYDNGVKKLLFLGSSCIYPRMAPQPMKEDCLLQTNEAYALAKISGLRYCQYMNRQYGTHYISVMPTNLYGPN-----DNYHPQNSHVLPAMIRRFHEAKENNAPTVTIWGTG--KPLREFLYVDDLAEACVYLMNTYD---GDETVNLGTGKEISIGELAELVKKVVGYEGKIEYDTSKPDGTPRKLLDVSKLES-LGWKYHTELEEGIALAYHDFL----------- 136087111 ----NILVTGGAGFVGTNLIKRLLKEGHNVISIDNYSTGYSNHVKGCTYLYGDLSDTCRKDVIQRYLNIDVIYHLAALARIQPSLKNPTRTIENNFNSTLNILEYARTNDIQIIYAGSSSMHHGLYG-------------SPYAWSKFGGEELCKLYSSVYDLNTSVCRFYNVYGP---------HQLKTGTYATVIGIFLDQYEKNKPL---TITGDGEQRRDFTHIDDIVDALYKCMGKKFN---AEIFELGRGVNHSINELAAMW-----DGYPTEYISARPGEYPFTLCEDKNAYDKLGWVPTRNLNDYIKS----------------- 139996680 ---KSVLITGAAGFIGFHLSKRLINDGLRVFGVDNLNEYYDIDLKKARFHKIDICDEDNLTSFFKKEDFDCVINLAAQAGVRYSITHPQSYLDSNLKGFGNILEACRQKKIQHLIFASSSSVYGMNTNIPFSTRDVTDPISLYAATKKSNEVMALSYSHLYDMPITGLRFFTVYG-------------------------------------------------------------------------------------------------------------------------------------------------------------- 140744334 ---------------------------------------------------------------------------------------PAAFVQTNLLGTFAMLEAARAAGVQRFHHISTDEVFGGPNDPAFTEATAYEPRSPYSASKAGSDHLVRAWGETYDLPVLLTNCSNNYGP--------FHFPEKLIPLITIKALRGEPLP--------VYGRGDNIRDWLHVEDHAAALVTVVEQGI---PGETYNIGGKAEHTNLEVVETLCDLVGRRSLITFVADRPGHDQRYAIDQTKIQDELGWEPKHTFDTGLRSTVQWYIDNQSW------ 238879303 --DKRIVVSGGAGFIGIHFLCYMVKKNFHFTCIDKLNYASNATEIENEFVHLDLSD--NLEYLLKITKTTDMINFAAESSVDRSFEDPVYFTKNNILATQNLLECHRNPSIGYFLHISTDEVYGDVYEGDNKENAVMNPTNPYSASKAAIDLIIKSYQYSYKLPITILRPNNVYGP----------LQYPEKIIPLTIQCINEKKPIPVHGK------GTNKRKYLYVLDLVLAIETVWIKNPMTTVNQIYNIGGTDELDNLSLIKLIMEIFGPGE-IQFIKDRNYNDTNYSIDTTKIHN-LGWSPKISLVQGLQ------------------ 256393168 ----RVLVTGAFGYVGRAVTRALLDTGHEVVAM----TTQPNRTQPSGRVQIVVADLRSSNQLMAAVDVDAVAHLAALTRVRESFAQPGEYAMINTVGTEHLLAAVASTRVMPFIHASTAAVYGTPEKQPIGEDCEPAPTSPYGETKLAADMAVRDAAVKGSIGGVSLRAFNIAGA-----VGRHPDPDLTRILPKVVAVAGGR-----FDEVTVNGDGSAIRDYVHIADLAN--AFCLAIGAAQPGKFDIFNIGATPATVAQIIEITRKITGHEIPAKHNPPAP-EAPELRADTTRALTTLRWIPTRSLEQIIGDAWDAEKSN--------- 140656375 FANKNILVTGAAGFIGSSLVHKFLDFNCNIVGIDNLNDYYDVKLKKTRLEKVSIENKKKLFDIFEKFEPEIVVNLAAQAGVRFSINNPQVYIESNINGFLNILEACRKYSVKNLIYASSSSVYGANKNNIFSEDKIDHPISLYAATKKSNELMAHCYSHLFNLPATGLRFFTVYGP----------WGRPDMAPMIFAESIMNDKDIKVFNYGKMKRDFTYIDD------------------------------------------------------------------------------------------------------------- 136376638 ----NILITGGAGFIGSHVSEYFIKKKNKLVIIDDLKDGSVKNLKSIIKNKNLILVKENILNKKKIKKYFKVIHLAALSDIVPSIDNPDDYLNTNISGTMNILECMRENGVKEIVYAASSSCYGIPKKYPTSEKESCDPKYPYAFSKYIGEKLLHHWSKVYGINYISLRLFNVYGTRSRT------HGAYGAALGIFLKQKLENKPFTVVGN------GRQKRDFINVRDVAKCFYIASQF---KKKNQIYNVGSGKPISINHLTSLI------GGKKIYIPKRPGEPNITHANIKKI----------------------------------- 137934922 -----------AGFIGSYICESLIKKGYYVICCDNFYTGNRDNLTEIVSHRHDITIYLEVDEIYNFACPASPVHYQ---------DDPVQTIKTCVHGAINMLGLAKRTKA-RIIQASTSEIYGDPEIHPQTEDSINGPRACYDEGKRCAETLFWDYKRQHKVDVKVIRIFNTYGPRM-------QKNDGRVVSNFILQALTNED-------ITVYGDGQQTRSFCYVDDLVDGILLMMGKNNFSGP---INLGNPTEISILELAEEIIELTGSKSKIINKRLPVDDPKQRCPDINKAMKELQWQPKF------------------------- 137624530 ---------------GSNLVDKLIQKNHLVYGLDNLITGSLKNLDHKSNNKFEFIHHDVTKYIDVNDKIDFVFHLASPASPVDYLNYPIQTLKANAIGGHNALGLAKKNNA-KFILASTSEIYGDPLVHPQSENNPIGPRSIYDESKRFIESMTVSYHAYHKLRVSIIRIFNTYGPRM--------KIDDGRVLPTFIKQASENSDITINGDGYQT------RSFCYIDDLIDGFLKIMDLNYFNP----INLGNDDEVTINNIALFILKTLDSQSRIVYKDMPKDDPLKRKPDITLAKSILNWNPITSKEKGIKMLIDYYKE---------- 136119424 ----NILITGALGYIGSHTVAEL--DVNKCILLDNLSNSKTDVLEKIDFFNVDVTNIIELEKIFKIYSIDVIVHFAGYKAVKESIDKPLSYYKNNLIGTMNLIDLALKFKVKKFIFSSSATVYG-NQTSPLKEDMKLGTSNPYGETKAMSERILIDANKNKDLKITILRYFNPVGAHKSGLIGENPKGPNNLMPYILKVANKEIKKLKIFGNDYDTKDGTAIRDYIHVMD------------------------------------------------------------------------------------------------------- 260887530 -KSAKIYVAGHRGMVGSAIMRKLKADGY-------------ENLLLRSSKELDLTRQDDVEKFFAEENPEYVFLAAAVGGILANSRYPADFMYDNMMMEMNVIHAAYHNGVKKLLFLGSSCIYPRLATQPMKESALEETNEAYALAKISGLKYCEYLNRQCGTDYISVMPTNLYGPN-----DNYHAENSHVLPALIRRFYEAKEKNLPIVEIWGTG--TPKREFLYVDDLADACVFLMQEYT---GNETINIGTGKELSIAELAALVKQIVGYHGEIRYDASKPDGMPRKLLDVGKL-TALGWSYKTELSEGIRLAYEDFLSH--------- 298491509 -QQKRALITGITGQDGSYLSEFLLEQGYEVHGIIRFNTDRIDHIYEDPHHYGDLTDGTTLRRILEEVKPTEIYNLGAQSHVRVSFDSPEYTVDSVGMGTLRLLEAIRDYQQRRFYQAGSSEMYGLVQAVPQSETTPFYPRSPYACAKVYAHWQTVNYRESYNLFACNGVLFNHESPRRGETFV------TRKITRAVARIVAGKQKSIYMGNLDAKRDWGYAKDYVKAMWLMLQKDEPDDYVIATGETRSVREFLALAFGYVN--------LNWEDYVEFDQRRPAEVDLLIGDPTKAQQQLGWKPSVTFEELVSLMVE-------------- 137609079 ----NYLITGGAGYIGSCVSQFLIDKGHRVIIIDNLSTGLKKNIKKAKLFKFNIQDTNKLNMLFDKNKIDIVMHFAAYINNEESIKRPKKYFQNNYLNGKKFFSVCIKNGIKKIIYSSTAAVYG-NKNKKASESDSLNPMSPYPRTKLKLERYLE--KNKKKISCIILRYFNVAGAD-NKLRCGFNIKKGYNLILNLCKAAVKKEKLKINGVDYKTKDGTTIRDFIHVSDLAEF--------------------------------------------------------------------------------------------------- 142705760 -------VTGGLGFIGSNLIKLLIERNYFVINVDKISYASNFYNIKNKFFKLDINNRSGFKDILNKYKPVAIYNLAAETHVDRSIDSPAEFIKSNIVGVFNLLETFREFKKTKLIHISTDEVYGDVLKGRSKETDTYKPSSPYAASKASSDHLVYSYVRTYKLNAIITNCSNNYGPN--------QHPEKLIPKLIYNII--NNKPLPLYGKGKNSREWIFVDDHCEALLKVFRNGSKGEFYNIGSNININNLDIAKLLIKIAKKKIKVGKKVKIKFIK---DRPGHDIRYALDSKKIKKKLKWKSITSLKRGLNNTFDWYFKNMKYY----- 138909961 MLKKKILVTGGAGYIGSHIVEHLVKKKLQIIILDNLKTGSKKLLHKKIFIKGDINNQKLLKNIFDKYNISTVIHLAGLIDVVESHKNKKKYYRNNVLGTLNLLESIKLSSVKNFIFSSSAGVYGNINKSA-KETMRTKPINNYASMKLKSENLIKKYSKNYNFNYAILRYFNVAGASSSG--------------------------------------------------------------------------------------------------------------------------------------------------------- 139454249 ----RVLVTGGAGFVGANLVNRLVDDGHEVIIFDNLSTGSQYNIKEAKLFLIDISHFKDKKMDDIMNGVDVIFHLAALPRIGPSFKNPKEVCDINVGGTQNILDLARKYEIPV-VYAGSSSFWGGVYK------------NPYTFSKWQGEELCKMYERIYGLNVTICRFYNVYG-----DFMPTEGEYRTVIPIFLEQHEGGEP-------LTITSDGEQRRDFTHVDDIVDAMMKVVQLNKW---GSIYELGRGRNHSINE----VADMFGGER--VYIDEIPGETRNTLCKSELARKKLKWKPKINLKD--------------------- 307946054 ---KTALVTGSAGFIGSFLCQRLLDDGFRVIGVDAMTDYYDVKLKERRQQRLAINDFIEMMELFASETPDVVVHLAAQAGVRYSIDNPRAYLESNICGTFEILEAARTHPPEHLLMASTSSVFGANTHMPYRETDKADHMSFYAATKKATENMAHSYAYLFGLPVTMFRFFTVYGP----------WGRPDMAPIKFTKAILENKPIDVYNHGDM------KRDFTYVEDLVEGIRGLIDAVPVRPEDGVVEEG------------------------------------------------------------------------------- 141961074 -----ILITGAAGFIGSFLVKKFLGSGYKVIGIDNINSYYDKNLKLKRLENINIEDKNHMRQIFLDSKPQIVVNLAAQAGVRYSIVNPSSYVKSNLLGFSNILELSQTHDVRHLVYASSSSVYGASKEYPFSEEQKVDPLSFYAATKISNEMMAHAYSNIYNLPITGLRFFTVYGP----------WGRPDMAPMIFADRILQQKPITVFNHGNMSRDFTFISDII----------------------------------------------------------------------------------------------------------- 138554172 LSGKTLLVTGGSGFIGSNLIHYWLRRECRVINLDLLTYGNPENLPRYTFVKGDIRDREVVGKLFP--KADIVVHLAAETHVDRSIVDAGEFVLTDVYGSFVLLEALREADIEVFLHVSTDEVYGSRDQGFFREEDPLNPSSPYAASKAGADRLAYSYVVTYGLPVIIIRPSNNYGP----------FQYPEKFIPLFTTNALEDQKLPLYGE------GTNVRDWLFVEDHCRAIELVLSRGEPGG--------------------------------------------------------------------------------------- 135400278 -----ILLTGGAGYIGSHVALQFTKYTQKKIILDNLSSGHKTLIKKSKFLKADINNKALMKSIFSKYRIDTVIHLAAKSISKNSFLEKNKFIRTNYLATKKLCKLCLEFNVKYFIFTSSASVYG-NKKGAISENSKTLPLTPYGISKLKAEKEIVKLFNK-KIKFAILRLFNVAGADYKNNIGLITNNDSIF-KNFSKKFLNKYVSLNVYGKNYKKSNDTTVRDYINVIDVAKIILNVKKFLEKNNKSITINCGSGEKTSVLDLIKIFKKITKKNYKINFNKKRKGDIEISIA---------------------------------------- 255014208 -KNAKIFVAGHRGMVGSAIVRELKRQGYTNII-------------TRTHAELDLLRQVDVENFFEAECPEYVFLAAAVGGIMANQNSLADFMYENMMLEMNVIHSAWNTGCKKLEFLGSSCIYPRLAPQPMKESCLLKTNEAYALAKISGLKYCEFLNRQYGTDFISVMPTNLYGPN-----DNYHPTHSHVLPALIRRFHEAKEKKLPSVVCW--GDGSPLREFLYVDDLANLCVFLMNHYS---GNETVNAGTGKELTIKELTELVARVVGYDGMIEWDLTKPNGTPRKLLDVSKA-EGLGWKYKTELEEGIRLSYIDFLTNP-------- 139983340 --SKKYLVTGAAGFIASQVSKQLLDQGDRVVGVDNLNDYYDVRLKNWRLEQLDIEDQAKLANLFKVEGPDAVLNLAARAGVRYSMENPHVYLSTNAEGTLNLLECMRTHGCKKLVLASTSS-LYAGQKMPFTENAVNEPLSPYAASKKAGELMAYSYHKLYQMDVSVVRYFTVFGP----------AGRPDMSPYRFIKWIAEEETIQMFGD------GSQSRDFTYVDDIAQ---------------------------------------------------------------------------------------------------- 135157792 MSKKVALITGITGQDGSYLAELLLEKGYEVHGIKRINTQRIDHLYQDPHAEGDMTDSLNLTRIVSEVQPDEVYNLAAQSHVAVSFESHEYTANVDALGALRLLEAIRFEKKTRFYQASTSELYGLVQEVPQTENTPFYPRSPYAVAKLYAYWITVNYREAYGMYACNGILFNHESPRRG------------ETFVTRKITRGLARIAKGLDDCLYLGNLDAKRDWGHTKDFVRMQWMMLQ----QDEPRDFVIATGKQISVREFIKKAVRYIGVDTNINKEARRPAEVEALLGDPSLASDLLNWNTEISIDEMIAEMI--------------- 256377748 ---RSIVVTGAAGFVGGHLVRAVRERSAQVRGVD-LRRPEHGASEADEFLVADLRGRERCAEAVAGA--DVVFALAAMGGIGWTHAAPAQILHDNLLISVNTVEACRLAGVRTVVYTSSACVYPEPDSAPLRETFPAEPDMQYGWEKLTTEILCETYRRTYGMDVKVARLHAIYGPM--GGYRGLRAKSLSMLCGKVAAVPGDR------GEVEVWGDGSQTRSYCYVDDCV----TGLLRLAESAVDRPVNIGSEERVSIGNLVARIARVAGKEITPRYLPDKPVGPLGRVSDNALCRELLGWEPGTTLDEGLRHTYRW------------- 140917507 ----RYLVTGAAGFIGASVAQALLKAGCEVVGVDSLNDYYDVSLKQYRFVQGDLSDGQIVDLLFAQYDFDCVLHLAAQAGVRYSLENPEAYIQSNIVGFQYLIDACRAKPPAHFVFASSSSVYGNSDREWFSETDPTDPVSLYAATKKSNEMVASTYAHLHGLPSTGLRFFTVYGP----------AGRPDMAYFGFTKAIVGGTPIQVFNEGQLERDFTYIDDIV----------------------------------------------------------------------------------------------------------- 142327689 ---KRIIVTGVGGFIARKVAEKLVDLGNIVIGVDDFSSGCSDSLFLHELLTIDLSDKSAVKQL--PSECDYILHLAGQSSGEISFDDPVKDLEKNTISTLNIIQYGISVQAKRIIYASSMSVYGLVDDRAINEIEYCQPVSCYGVGKLASENYLRIY--QNQIPFTILRMFNVYGPGQDLSNLRQGMVSIFLAQALRGDKILVKGSNQRF------------RDFIYIDDVVNVWCEALNISNTINKT--YNLGTGVRVTVDELLSQI-NINLPGADVVYDAGTPGDQSGIFPDVTLLKEDFKISKFIPLREGIKRFCDW------------- 291534641 ---KKMIITGVNGFIGRCAMEYF-SKDYEITGID-LADRYCEDGAEIHYYQCNMSDSQELANIFTGVQPDVILHCAGSANVGASIVNPMADLDGNLHSLYQLLLALKSFEKPKIIFLSSAGVYGNPKQLPITEKDALAPISPYGVHKQMGEELCSYYNRVHGYHIRCVRIFSAYGSGLR-----------KQLLWDIYQKYLNTGRIDLFGTGNET------RDFIHISDILRALELILGY---QGPEEIFNVANGEEVSIRELAEIYAAQLGEKTDIVRGETKVGDPQNWRADISLLKKI-GYEKKMDLTEGIREYVGW------------- 241948305 --DKRIVVTGGAGFIGIHFLYYMVKKNIHFTCIDKLNYASNVSEIEKLKEHLDLSD--NLDNLLKITKTTDIINFAAESSVDRSFEDPVYFTKNNILATQNLLECHRNPGIGYFLHISTDEVYGDVYEGTNKENAAMNPTNPYSASKAAIDLIIKSYQYSYKLPITVLRPNNVYGP----------LQYPEKIIPLTIQCINEKTPIPVHGK------GTNKRKYLYVLDLVQAIETVWIKTPLT-VNQIYNIGGTDELDNISLIKLIMEIFGPGE-IQFIKDRNYNDTNYSIDTTKIYN-LGWSPKISIVQGLR------------------ 288963131 MQVRKVLITGVTGQDGAYLSELLLSKGYVVHGLKRFNTGRVEHLYRDPHEKGDLTDSTNLIRLVQQIQPHEIYNLAAQSHVQVSFETPEYTANADGIGTLRLLEAIRIEKTARFYQASTSELYGKVQETPQKETTPFYPRSPYAAAKLYAYWITVNYREAYGMHASNGILFNHESP------IRGETFVTRKITRAVASIEAGKQDCLYLGNLDAQRDWGHARDYVEGMWRMLQQDQPDDYVLATGQTQSVRHFEHVGRSLEWRGSGVDEVGKTVVRIDPAYFRPTEVDLLLGDPSKAKAKLGWSHTTSFRDLVREMME-------------- 217073260 ---KVALITGITGQDGSYLTEFLLNKGHSVHGLSNFNTQRIDHIYVNPHHYADLSDASSLRRWLDTILPDEVYNLAAQSHVAVSFEIPDYTADVVATGALRLLEAVRSHIDHIRYYQAGSSEMFGSTPPPQSETTPFHPRSPYAASKVAAHWYTVNYREAYGIFACNGILFNHGSPRRGENFV------------TRKITRAVGRIKIGLQSKLFLGNLSASRDWGFAGDYVEAMWLMLQQEKADDYVVATEDSHTVEEFLEVAFGYVGLNWKDHVVIDKRYFRPTEVDNLKGDASKAKKVLGWKPKVSFEELVRMMVD-------------- 140381048 ---KTILITGAAGFLGSHLCEYYINKGFKVFGLDNLLTGDINNLNSLKSENFEFINHDITNNIRVKDDINYILNFASPASPIDHLKIPIKTLEVGSKGSQNCLELALDKGATIL-VASTSEVYGDPLIHPQTEDYWIGKRSAYDEGKRYMEAITTAYHQKYKLKTRIVRIFNTYGPRMRINDGR--------ALSTFFSQALTNRDLTVFGDGNQTRSFCYVDDLILGIDKL----------LNSDYNLPINLGNPDEISLKDFANEIINLTNSSSKIKFFKLPEDDPKRRKPDITLANKILD------------------------------ 219851019 METHSILVTGGAGFIGTNLVNELERRGHEVVALDLLNNDREHYI------RADSKNYRQLERVFDRSAFDYVYHLAAEYGRWNGEDYYENLWQTNVIGTKHMLRLQ-EKLKFRMIFFSSAEVYGDYNGIMSEDVMMDNPINDYAITKWAGELMCMNSATMFGTETVRVRPVNCYGPH-------EHYTPYRGFIPKFIYHALFNKPYTVYKGHK--RIIDFVEDSCRTWANIVDNFIPGEVYNVGGRPEW-------ERDIKEYSDIILNAVGRDDSVTYEEAEPFTTKVKTMDFTKAVRDLKHDPNVSPEEGIQRTVEWMKKYYR------- 91069859 ----HIFIAGASGMVGSSIFRIFKNAGYG-----NKSNGG--FIYAPTRKELDLSDYSSVRNWFEKFNPTVVIIAAGVGGISANANYPADFILENIKIQTNIIEIAYKHNVKRLLFLGSSCIYPKYSSQPIKENNSLEPTNEWYAAKIAGIKLCQALRIQYGFDAISLMPTNLYGPGDNYKITQSHVLPALIRKFDEAVKSN-------LSTVECWGDGTPKREFMHVDDLGKACLYVLENWDPCADNAFLNVGSGEEVTIKELAELIAHELDFTGSIVWDESKPNGNPRKLLNIEKIKSI-GWTPTISLQEGIKKTL---VNYKEDLRNK-- 156743036 ----RVLVTGHKGYIGTVLTPMLLERGYEVLGLD--SDLFEECTFGAPPVDVDIRDVEADD----LAGVDAIMHLAGLSNDPLGDLDPQLTYEINYLASVRLAMLAKQAGITRFIFSSSCSTYGAAGDEMLDETSPFNPVTPYGRSKVLVEQDL-AKLADADFSPTYLRNATAYGVSPRLRFDLVLNNLVAWAYTT--------------GLVYLKSDGTPWRPIVHIADIARAFIAVLEAPRELIHNEAFNVGRNEDNYRIRDLAQIVYETVPGCRIQFAEGAQPDKRNYRVDCSKIQRVLDFQPAWDARKGAQELYDAYR----------- 124026801 MSQKKILITGITGQDGSYLAELLLDKGYKVHGLVRRSSQNSQHNKNLELHYGDLTQSTNILRIIENIQPDEIYNLGAQSHVQVSFETPEYTAQTDALGPLRILEAIRITKKTKIYQASTSELYGLVQETPQNERTPFYPRSPYGVAKLYAYWITINYRESYGIFACNGILFNHESPRRGENFVTRKITKGLCEINRGSTDCLY------LGNIDSLRDWGHAKDYVEMQWMMLQQEKPEDYVISTGKQTSVHLNWGGIIWEGKGIDEIGKRKDNKQVIIRIDPRPAEVNSLLGDSEKAYKKLGWKPKYNIESLIIEMIE-------------- 71655917 ---RHLLVTGGLGFIGSNFINHLMRTGVHVYNLDKVDYCSSFRSIENPFVKGNITNADLVMYVLRHHDIDTIINFAAQSHVDNSFGNSLSFTYNNVLGTHVLLECARTYRIEKFIHVSTDEVYGQVTDSKKEEGT-LNPTNPYAATKAAVEYIVKSYHISFGLPCIITRGNNVYGP--------YQYPEKLIPRFIMLMNAGKKLTIQGNG--------SNKRTFIHASDVARAFVAIINHGFI---GDVYNIGSCDEKSVLDIARMTVKYVEVSRHLVFVKDREFNDERYDISVEKL-QELGWRQEVRFEEGYEETVAWYLK---------- 220903690 ---KKALITGITGQDGAYLAEFLLRKGYEVHGIKRFNTDRIDHLYQDPHHYGDLSDSSNLIRIMQEVQPDEVYNLAAQSHVQVSFESPEYTADVDALGALRLLEAIRITANTRFYQASTSELFGLVQEVPQTEKTPFYPRSPYACAKLYAYWITVNYREAYGMYACNGILFNHESP-----IRGETFVTRKITRALSRMVLGLQD-CLYLGNMDAKRDWGHARDYVEMQWLMLQQEQADDFVIATGRQFSVRDWQGQGVDETGTVEAVCHVKPGDVIVRVDPRRPTEVETLLGNPAKAKEKLGWEPSTSFEDMVAEMAR-------------- 139213332 ----KVAVTGGAGFIGSHLVDLLLEKGHEVVIVDNFCTGSLKNVKKLSIVDHDIRIPIE-KEVFSGCSI--VFHLAALADIVPSIERPIDYMSTNVQGTVNVLEAARVCNVKRVIYTASSTCYGIASRFPTAEGDPISPTFPYALSKYLGELVFFHWLGLYGLKGLSLRLFNVYGPRART---------SGNYGAVMGVFLAQKIASKPF---TVVGDGNQVRDFTYVSDVVE---------------------------------------------------------------------------------------------------- 141349320 LKEKNILVTGAAGFIGASLIVKLFEEKANVIGIDNMNNYYSKELKKWYFHQIPLEDEKAIFETCKKYDFDIIIHLAAQAGVRYSLENPNSYINSNLVGFANILEICRQQEIKNFIFASSSSVYGMNKKVPFNENDNDHPISLYAATKKSNELMAHSYSHLYEIPTIGLRFFTVYGP----------YGRPDMAPMIFANSIMKSKPINIFNHGNMKRDFTYIDDIV----------------------------------------------------------------------------------------------------------- 76802390 MDGQRVLVTGGAGFIGSTLANRL-----SVTNDVVVVDDCYLGTPENLESAVTFNERSVLDDDLPT-DVDVVFHCAALSSYPMHESDPTRGARVNVEGFVNVVEQARADGCDTVVYASSSSVYGSRSE-PTTEAAPVAANSGYEASKLARERYAEYFSHHYGLSLAGMRLFSVY---QGYGAAEGHKGEYANLVAQFVDDIATGERPAIYGD------GTQTRDFIHVEDVVAALCSAAEAALD----GVYNVGTGDRYSLNDLVDKINETVGADIRPKYVENPIPEVTDTCADCSKLRSETNWEPTVGFDEGVKRVCEQYR----------- 118588493 FAGRRVLITGHTGFKGGWLSAWLLHLGADVVGL-SLPPNEGPGVFRSCGLDGDIRDPAAVQKALQGVDAEIVFHLAAQPLVRHSYRFPAETFATNVSGTANVLDAVRQMPSLRAVVVITSDKCYDNREWTWREIDPLGGHDPYSASKGCTELVAQAYRHAFFADSEGPQ---LATARAGNVIGGGDWGQERLVPDIVRAASS--------GVPLEIRSPEAVRPWQHVLEPLSGYLQLAARLVEDGAEFAGAWNFGSTVNVRELMQMMRTAWGQAPEVRFPEQQPGEAGILRLDSTKARTRLGWRPQLRLAEAIGMTVDWYKAH--------- 138827445 MKTKAI-VTGGAGFIGSHLVELLIKKNFSVIVIDNFATGQKRNLKKIKIVNGDICNYSKIEKYFK--KVTYVFHLAGLADIVPSIENPEKYFETNVKGTLNVLKASKKYKIKKLVYAASASCYGKVKVFPTNEKTKINTEYPYALTKYLGERLLEHWSKVYKLSTISLRLFNVYGVRSRT------TGAYGAMFGVFLAQLLNNKPLTIVGS------GNQSRDFTYVTDVAR---------------------------------------------------------------------------------------------------- 135712481 -----------------------LKRDRESILLKNTSYQYVHEKIESENVLMDL---------FANCRPSIVIHLAAQAGVRYSSENPRAYLESNIKGTFELLEAARAYPPQHMLLASTSSVYGANKEMPYKETKADKQMSFYAASKKSTENMAHSYSYLFDLPITMFRFFTVYGP--------WGRPDMALFKFTKAILNGE--------SIDVYNNGDMVRDFTYIDDIVNGVRLLIDACPDVAPFRVVNIGNSKPEKLTDFIDAIEMSTGTKAIRNMKPMQTGDVPYTWADTSLLEQLTGYRPCTDLSSGVQEFVSWYRSYYN------- 254455972 ---KKALITGITGQDGSYLAEFLLNKGYEVHGIDHLYQDPHEQNRNFILHHGDLTDGTSLIRIIQEVKPDEIYNLAAQSHVAVSFEQPEYTANSDALGALRILEAIRIEKKIKYYQASTSELYGATKETPQNEQTPFYPRSPYGVAKLYAYWITVNYREAYGIYACNGILFN------------HESPVRGETFVTRKITRALARIKLGIQKNLYIGNLSSLRDWGHAKDFVEAQWLMLQ----QQKPEDFVIATGTQFSVRDFINLASKNLNMKDIIKVDPRRPTEVENLFGDASKAKDKLNWVPKISFEQLVKEMID-------------- 154150220 -------VTGGAGFIGSHLVDTLVAQGNEVLVIDSLCAGRRECIARHRFVRADLLDDGWQEHID---GADRLFHLAADPDVRQSAINPDPTMQNNIMATYRVLEAMRRYEVTELVFTSTSTVYGDATVIPTPEDAPLLPISVYGASKLACEALISSYCYSFGMKSWIYRFANIVGERSGHGVITDFIRKLRENPA----------------ELEILGDGKQAKSYLEVHECVAAMLFALR---TRGTVNIFNIGSEDWIDVKSIAEIVAEEMDVKFRFTGGERWVGDVPRMQLSIERIK---GWKPELGSRESVRLAAR-------------- 260463610 --TRKALITGITGQDGAYLAQLLLSKGYEVHGLARRSSTADVNTTRLKWVDGNLTDLSGLARTMRDVRPDEVYNLAAQSFVKSSWQQPILTGNVTGIGVTNVLEALRLESPERFYQASSSEMYGLIQEPMQSETTPFHPRSPYAVAKLYGHWITINYRESFGLHAS------------SGILFNHESPLRGIEFVTRKVTDAVARIKKGMAKELRLGNIDAKRDWGHSKDYVRAMWLMVQ--QDQPDDYVVATGRTTTVRDMCRIAFDHVGLKIDDHLVIDPERPAEVEILLGNPAKAKQKLGWEATIPLEEMIREMVD-------------- 141117358 ----------------------------EVIGIDNLNEYYDVNLKKFKFNKFDLIKKNRLNEIIKKNKISYIVHLAAQAGVRYSIQNPQTYFINNLEVFFNILETSKTNKIKHLIFASTSSVYGENTKFPLNENDNTNPISFYAATKKSNEILAHSYSYIYKLPCTALRFFTVYGP--------FGRPDMALFKFTKKILQNKKIELYNHGNH--------FRDFTYIADIIDGITPIIKKPKKDKIPFNIFNGKGKSQKLISYLNSIEKKLNKKAKIKKIPLQLGDIKKTHSDVSLLNKYSGYSPTTDIDKGVSDFIDWYLEYYK------- 141335296 ----KILVTGSAGFIGSALTLRLLDRGDNVIGIDDHNNYYDPSLKEDRHIRLNISNREKLEDCFSKYQPDRVVNLAAQAGVRYSIENPSAYIDSNIVGFGNILECCRHYNINHLVYASSSSVYGANTHMPFSHNNVDHPLSLYAASKKSNELMAHTYSHLYDLPTTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 140758776 --SKKYLVTGAAGFIASQVSKQLLDRGDRVVGLDNLNDYYEVTLKNWRLEQLDIEDQANLDDFFKVQGPDAVLNLAARAGVRYSIENPHVYLSTNAEGTLNLLECMRKQGCQKVVLASTSSLYAGQKMPFIEDLAVNEPLSPYAASKKAGELMAYSYHKLYQLDVSVVRYFTVFGP----------AGRPDMSPYRFIKWIAEGETIQLFGD------GSQSRDFTYVDDIA----------------------------------------------------------------------------------------------------- 138917889 ---------------------------------------------RHTMVRGSILDPEALEKACRGA--DRIFHLAALVSVPESVSRPEECALLNVEGTKRVLEAARRHGAQKVVLASSAAIYGDNPTVPKVETMTPEPKSPYAATKLEGERLLSEASAG-GLSTASLRFFNVFGPRQD------PASAYAAAVPIFIQKALRHENLTVFGDG------GQTRDFVYVKDIVGALVHVASHAHITG---TYNVGYGSSISISQLAQLVVRLTNSNSRIEHRPERPGDVRHSLASADKLRA-TGWKPAHELAEGLASTVAWYR----------- 168701835 ---KRALITGITGQDGSYLAELLLEKGYEVHGVVRRSSTRIEHLAGKVHHQADLLDQLSLIDVLKVANPHEVYNLAAMSFVPTSWKQPVLTGEYTATGVTRMLEAIKGRDTIRFYQASSSEMFGKVQAVPQTETTPFYPRSPYGVAKLYGHWITINYRESYNMYCC------------SGILFNHESERRGKEFVTRKVTDGVARIKLGLATELRLGNLDAKRDWGFAGDYVRAMWLMLQ--QDQPDDFVIATNKTHTVQRLVEVAFEAAGLDWRKYVTIDQSRPAEVDLLIGDPEKAEKKLGWKPEVTFEQLVERMVK-------------- 61806128 ---KKALITGITGQDGSYLAEFLLSKGYEVHGIVRRSSLINTHRIDHIYEKGDLTDPVNVMGVIRAVEPAEIYNLGAQSHVKVSFEMPEYTSNVDALGTLRILEAVRMLGMERIYQASTSELYGGVLGTPLSETTPFHPRSPYGVAKLYGYWIIKNYRESYGFHASSGILFNHESPRRGETFVTRKITQGLSKISVGLQKILY------LGNLNAKRDWGHAKDYVEAMWLMLQQDEPDDYVIATGEQYSVYFGFKLEWMGEGEDEIALDWNTKKTIIGINPRRPAEVDSLLGDATKAKEVLGWEPKIKFKELVEDM---------------- 143140113 --SKVALITGITGQDGSYLAELLLEKEYEVHGIVRRSSLINTHRIDYIYERGDLTDATNLIGVIKRVEPDEIYNLGAQSHVKVSFETPEYTGQVDGLGTLRILEAVRMERKTRIYQASTSELYGLVQEVPQSETTPFYPRSPYGVAKLYGYWIVKNYRESYGMHASSGILFNHESPRRG------------ETFVTRKITRGLSRISVGQQECLYLGNLNAKRDWGHAKDFAEAMWLMLQ----QEEPDDYVIATGEQYSVKDFVEAAAPIFGMKIEIRVDSRRPAEVESLLGDATKAKEKLGWEPKTTFKELVEDM---------------- 119961270 -------VAGHGGLVGSALWRKLTAEGFTKMV-------------GRTSKELDLRNRAAVFAFFERERPRYVLAAAKVGGILANKTYPVDFLSDNVQIQVNVMDAAHRYGVERLLFLGSSCIYPKLAPQPLKEEYLLETNEAYAIAKISGIMQVQAVRQQYGLPWISAMPTNLYGPGDNFSAQGSHV-----LPALIRRFDEARIREEASVTNWGTGA--PRREFLHVDDLADACLHLLE---NYDGPEHVNVGVGEDLTIKELAGLVAATVGYKGAIEWDATKPDGTPRKLMDVRKLES-LGWTARISLKEGIESTYAWFEDNRNGVRD--- 110932087 -RGKRVLLTGNTGFKGSWLSIWLEMLGAEVTGF-ALPPPTEPSLFKKNWIEGDVRDRAAVLKAVQSTEPEIVFHLAAQPLVRESYVDPVGTYATNVMGTVHVLDAVRQTGGVRAIVNVTTDKCYENQEWAWRENEPMGGYDPYSNSKGCSELVTSAYRRSYGLP--------LASARAGNVIGGGDFAKDRLIPDILRAVQA--------GEKVKIRSPLAIRPWQHVLEPLSGYLTLAESLYSNPEGYAQAWNFGDAQPVEWIVKTLCRLVPESQGYEVDSPQPHEAHYLKLDISKAKSLLGWSPRWSLSTALAKIVEW------------- 136155501 --SKTALITGINGMDGSHLADFLLEKGYKVYGLERWKSSYSYHNLEKSKDKIDLSDQNSITKAIHSSHPDEIYNLAAQSFVGESWTVPEMTSDITGIGLLRVLESVREVNKNIRVFQATSNELFSGTNGEINESSYICPNSPYGASKAYAHFITNSYRDHLGLYA------------VSGILFNHESERRSLQFVTRKITDGVAQIAKGKLDKIMLGNIESERDWGYAPDYVQGMWMSLQRDVPD----TYVFSTGKLKTIKDFLQSAFKVVGIENWQDYVGRRPYDKRCIWGDSSKAKELLGWQPETNFDNWVEKMV--------------- 135855642 ---------------------------------------------------------------------------AAHKSVNESVNYPEKYFSNNLGSLENIDEIKLLENPINFIFSSSCTVYGQADSMPIDESFPLEAESPYGQSKRQCEEVLKSHDSGHSFKNITLRYFNPIGAHPSALIGELPLGPENLVPYITQTAIGKRECLTVFGDDYETADGTCVRDYIHIMDLAEVHITCLEKLISSNDFKVYNVGTGKGTSVLELIHIFENVNDIKLNYKIGERRKGDVVTAYADTSKIKEELNWSTKNSLKNALKSAWNWELN---------- 159186327 ----HVLVTGHRGYIGSVMVPILRKAGHDVHGYDTEFYHRCAYVPGGPLPQVDIRDITPAD----MEGFDAVIHLAALSNDPLSNLNPEITYEINYRGSVRAAQAAKQAGVKRFIFASSCSNYGAAGEGLVNETAELKPVSAYGTSKVLAEREIAS----------------LASPGFSPVYMRPATAYGLSPMLRFDIVLNNLTAWAVEGLILLKSDGSPWRPIVHIEDISRAFLAALEAPTDAIHNQAFNVGQDAHNYQIREIAEIVALTVPGCRLQYASDASPDTRSYRVDFGKIARALAFKPTWTAAAGAEQLYRAYK----------- 136647279 -----------------------YQHNIDQAIKDANKTSDKDLGNNFKFINGDIRSLNDCKN--ACHGVDYVLHQAALGSVPRSIEDPINTNKANIDGFLNILVASKESKVKGFVYATSSSTYGDYPGLPKVEDKTGKPLSPYAVTKAVNELYANVFANTYGFKTIGLRYFNVYGKRQDSN-----SSYAAVIPKWVSAILNNED-------VFINGDGETSRDFCYIDDTVQINILAATDNNEDANDQIYNVALNKRTSLKKLYQVIEKVLKKKDPI-YRDCRDGDVRHSQANIDKARKLLNYDPKYPISEGMDKAIDWYINN--------- 136281796 --NKNVLITGGAGYIGSHLTRMYLDEGYKVTILDNFTYGSKGIEGIQNHENLTVGDIANIRDVTSAVKGVNVIALAAIVGDPACGLNAEETLNLNYESTKLIVEACDFYGIDRLVFASSCSVYGASDNKILNETSPINPVSLYARTRVFSEDYILNNCRNVQPIILRLATVFGYSPRQRYDLVINTLTAHGI----------------INGKINITG-GSQWRPFIHCKDAAKAFFQVSTEKSNKVYKEIFNVGSDDNFTINQISDIVAEALG--DVVVHKDESVQDIRNYRVSFDKIKNYINYLPEYDVRTGV------------------- 256371148 ---KTALVTGITGQDGSYLAELLLAEGYRVVGMHRRSSTLNFERIAHIQDDVDLLDEASLLAVLRRYEPEEVYNLAAQSFVQTSFGQPVLTGETTALGVTRLLDAIRVVNPIRFYQASTSEMFGKVVETPQRETTPFYPRSPYGVAKVYGHWITVNYRESYGMHASSGILFNHESPRRGLEF------------VTRKVTFGVARIAHGLAHELRLGNLDAQRDWGFAGDYVRAMWLMLQ----QPEPDDYVIATGSTHSVRELCERAFAVADLDWYVTVDERRPAEVDLLVGDASHARRRLGWEPTVSFDALIEMMVR-------------- 135312888 --TKNVLVTGCAGFIGFNLSKTLLKEKHNVYGVDSLNSAYDKNLESEINFHFTNNDLSNEDSLNELVDIDIVYHMGARAGVRQSFNDPLSYIKDNTIATTNVANFCKKNAISKMILASTSSIYGNSGEKEMVEDEKINPPSIYASTKLSGETIAKTILSSQSTNLIITRFFTVYGP----------YGRPDMSILRFIHWIMENKEVKIFGDGEQRRSFTYIDDVIDL----------LIKVQNCNSDETFNVGNNQTSSLNEVIKIIENFSDKQANIVNEPRAFRDPDVVLPSLSKSKNDLDWEPKTNMKT--------------------- 297797753 -QPRKILVTGITGQDGSYLTEFLLEKGYQVHGLSNFNTQRINHIYVDPHHYADLSDASSLRRWLDVIKPDEVYNLAAQSHVAVSFEIPDYTADVVATGALRLLEAVRSHNIDIKYYQAGSSEMFGSTPPPQSETTPFHPRSPYAASKCAAHWYTVNYREAYGLYACNGILFNHESPRRGENFV------------TRKITRALGRIKVGLQTKLFLGNIQASRDWGFAGDYVEAMWLMLQQEKPDDYVVATEESHTVEEFLEVSFGYVGLNWKDHVEIDKRYFRPTEVDNLKGDASKAKEMLGWKPKVGFEKLVKMMVD-------------- 143157804 -----VIITGYAGFIGYHLTQKLLSDNNNILAIDNINDYYDINLKKNRIKKIDINEYKKIFTISKKFNIKVIFHLAAQAGIRYSFNNPKAYIDSNINGFFSILELAKNLKIKKLIYASSSSVYGKQQKVPYSEKLQTEPLQLYAATKISNEAMAYAYSHLHKINSVALRFFTVYGP--------YGRPDMAIFKFVDRIYKNKKINL--------YGNGKMLRDFTFIDDITDGIIKVFKNKKNDNKHRTYNLGRGKPIKVIKLIKMIEGYLGKKAKISYLERNTSEMFKTHCSINKFKKDYKFYPKISLDEGMSSFI--------------- 134948151 -KNSKIYIAGHRGMVGSSLFKLLESKGYT-------------NLLVKTSDELDLRNQNQVKKFFNDEKPDVVIDAAAVGGILANSKFPYQFIMQNLQIQNNLIDSSLNIGVKKFVFLGSSCIYPKYAMQPLKEEDSLEPTNEYYAAKIAGIKSCKAIRTQFNMDFVSLMPTNLYGPNDNFDLKTSHVLPAFIRKFH----EAKNNNSPVF----LWGSGTPMREFLFVDDLSEAVIFALENKLPE---HLYNVGTGIDLKISQLAKVVQKIIGHKGEIVWDSSKPDGTPRKLMDISKMHK-LGWKHKTSLENGIRKTYFWFLD---------- 239584286 ----RALVTGGAGFIGRHVARRFRDEGHDVAVLDTAWTPDEEFPGWA----LDVTAEREVRAAVDAFRPDVVAHLAGVADARAALADPTTAIHCNVTGTAVVLGAAARTGVRRVIIAGSCWVYNAMRVDAVDEDEPFLPSHFYTTSMMAKEFLAWDFARLHGQEFTVLRYSPVYGPGM----------------WPGLVVSAFLRAAAEGGPLVVYGDGRERRAFLHVHDLAE---AFLLATDPVAANQVYNLEGPEIVTTGELAARVSELFGVPVVYREEPTRRGEYSQRFVSTDKARVQLGWQPTISLREGLRREVE-------------- 138584569 MNNKSILVTGGLGYIGSHTTVALIEKGYKVCIIDDLSNSSEDVLKRIKFFQFDLTDSYKTKSFFKNNHFDGVIHFAAKKSVDESVRKPLDYYCNNLQSLINLLD--HVNANIKFIFSSSCTVYGQAEKLPITEETPLKPESPYGNTKKICENILEDKTKITQFSTVILRYFNPIGAHHSSLIGEDPRNPQNLVPIITEFAIGKSEKLTVYGDDYPT--------------------------------------------------------------------------------------------------------------------- 143126513 MMGKVALITGITGQDGSYLAEMLLEKGYIVHGIDHLYQDPHEIDPKLKLHYGDLSDGSNLQRIIQEIQPDEIYNLGAQSHVAVSFEAPEYTANVDALGTLRILEAVRICKKTRIYQASTSELFGKVVETPQKETTLFYPRSPYGVAKLYAYWITVNYREAYGMYACNGLLFNHESPRRGETFVTRKITRGLARIDI------GLDQCLYMGNLDSLRDWGHARDYVEMQWLMLQQEHPEDFVIATGRQETVRHGWGSIKWEGVGIETGRRIDNDDVVIRIDPRRPAEVETLLGDPSNARIKLGWKPTTSLEELVDEMI--------------- 261209478 -KDAKIYVAGHRGMAGSAIVRELNRQDYNNII-------------TRTHKELDLCRQDAVEAFFAQEKPDYVFLAAAVGGIIANQNALADFMYENMILEMNVINSAWENGCKKLQFLGSSCIYPRMAPQPMPESCLLKTNEAYALAKISGLKYCEFLNKQYGTDYISVMPTNLYGPN-----DNYHPTHSHVLPALIRRFHEAKEAGLPTVTCW--GDGSPLREFLYVDDLANLCVFLM---NNYSGDETVNAGTGKELSIKELTEMVAKVIGYEGEILWDTSKPNGTPRKLLDVSKATK-LGWTYKTELEDGIRLSYEDFLNNP-------- 46241638 LDGKRVWVAGHRGMAGSAVVRRLERE--------------PCEILTVGRETVDLRRQAEVEAWMAEARPDVVFLTAALVGIHANNTRPAEFLYENLAIETNIIHAAKQVGVQKLVFLGSSCIYPRMAPQPIPEESPLEPTNEWYAAKIAGIKMCQAYRRQYGCDFISAMPTNLYGFGDNFDIAQGHVAAALMVKIHRAKMEGAP-------SVELWGDGSPLREFLFVEDLADGLVFLAKHYSGEP---QVNLGSGHEVSIRGLAELLAGVIGYEGDFRFDPSKPNGTPRKIMDCHRL-AGMGWTAPTPLREGFERTYRWYLE---------- 157952699 MEKSKIYVAGHTGMVGSALMRLLQKEGYMNIV-------------TRTSKDLDLTNQREVNAFFEIELPEYVFLAAAVGGIHANNSFGGDFIHDNLMIQTNVIHASKMFGVKKLVFLGSSCIYPKEAQNPIKETGFLEPTNPYAIAKIAGIEMCDAYRKQYGCNFVSVMPTNLSGPNDRYDLNNGHVFPVLIRKFCEAKVHNV-PSVKLWGTGIA------RREFLHVDDLARGIFVVMEKYNEPGP---INIGYSSDISISELAEIIREIVGYNGTIIYDTSMPDGTLRKLIDSTKIHA-LGWKPEISLIDNIKMCVNDFMENYNRYVHDEQ 108800319 ----RAVVTGAAGFIGSALVDRLLDDGHQVVGIDNLSTGSLANLRRFTLVRRDIQ-APELTDIIAGTNPAVIFHLAAHVNCEASWADPHFDARSNVLGTINLCEASRRAGVEKIVYATEGASCSSSP---------------SSVAKAAGEMYLRAYAERYGLAPICLAMAGVYGPRQ--------------HPGGDAGLVTRLASALITGAPSETRDDFVHRDFLFVDDAVEALVHAGCTPVAAG---TYHVGSGRPTTVLRMHQMICAAVGTTRPCLVVSM--DEWKAAAAGATSTAGEWDWTPAVTLAEGVARTVEW------------- 84489342 FKNKNILLTGHTGFKGSWLTSILVKYGANVTGLNPPSNPNLYELLDLDNVIGDIRDLNMLKTIFYDTNPSIVIHMAAQPIVRESYKNPLYTYQTNVMGTVNICECIRESNVKSFLNVTTDKVYNTGKNEGYSEYEKLDGYDPYSNSKSCSEIITHSYNNSF----FKEKNINTSTARAGNVIGGGDFAKDRIVPDCVRACIN--------NEDIIIRNPNSIRPYQHVLEPLYMYLTIIKKQYENSEYGYYNVGPDDEDCIKTLVDIFCSHYNKIKWINKSDNGPHEATFLKLNNQKIKDVFGWRPTWNIKEAIEKTVEWYINN--------- 91218921 IKNKKILIVGAGGFIGGHLVKKLLDNGNEIIACDIKPKWFQDFDKAKNFYSMDMKDIGNCRKVTK--DIDYVFNMACNMGGMGFIENNKAECMQSVLINTNLLIACKEDNVKKYFFSSSCAYNKTKQQEVFIEGLKEEPEDGYGWEKLFSERMCRHFMEDYGIEVRIARYHNIYGPYGTYDGGREKAPAALCRKVLHAKTNSDKK-------IQVWGDGKQTRTFLYIDDCVEGTLRLFE----SDYSEPVNIGSDEQVSINQMIEIIEKISGIEKEREYQLDKPKGVRGRSSNNDLVKKILNWSYKIKLKDGLKNTYEW------------- 299134000 ----RVLVIGGAGYIGSALVEKLLNLGKHVTVLDALHYGDEPRVAGHPGLTVIREDFRHIEALRAMSGMGVVIHLGGLVGDPACAVDPELTIDINVTATKLVGEIAKACGAKRFIFASSCSVYGACDET-VDETSHFNPQSLYARSKVASEALL---------GTLHSDDFAVTCLRFATVYGISGRTRFDLVANLLCAKAVRDGVITVFGP-------DQWRPFIHVDDVAKAIVTTLTAPVACVAGEVFNVGSDAQNYTLGQLAALIKA-QVPDAKIVSDDGAVDKRNYHVSFAKIRSQLGFEPSWTLESGIAQVIA-------------- 136413525 ----RVLVTGGAGYIGSTVSNLLVDDGHEVNILDNLSTGKKNNIPKGAFFKVDIGDKKRVKKILIKKKIDVVFHFAAFVDNVESIKYPKRYHQNNFDKGKIFIQTCIENDIKKFIFSSTAAVYGNKKKKVNE-KDKLKPISPYSKSKLKLENFLN--KKKNKIDCIILRYFNVAGV-ESKFRCGFNIKKGHNLILNLCAATLKNRIFTINGNNYKTPDGTTIRDYIHVEDLAQIHILTAKLLLKNRVFKILNCGYGYGFSVKQILDQF----------------------------------------------------------------- 115350801 -----VLITGAGGVIGHALKQELADSGYSNVV-------------AITSSDIDLRDQSATEKMFDELRPTIVFHMAAVYGIMGNMSNRGIAYLDNVRINTNVVEAARQTGCKKFVAMGSTAIYSDQVRLPMSEEQPHHSEAPYAHSKRGMLAQLEAYKDQYGMDYAFCVSTNLFGPHDKFDEKFGHVIPSLVSKFYRASVLGQPIS--------VWGSGKAERDFLFSGDAA-----YALRLIAENHTGAINLATGQSHTIRHTVDTLCQISGFSGSVEWDATKPDGQKLRAYDISRL-TALGFKPRFSFDEALAITYDWYCKN--------- 142117283 MKQAKVLITGITGQDGSYLAELLLEKGYEVHGIVRRSTHRIDHIYKKIHHYGDLTDATNLIGVIKKVEPHEIYNLGAQSHVKVSFETPEYTGQVDGLGTLRVLEAVRMQDDVRIYQASTSELYGLVQAVPQTETTPFYPRSPYGVAKLYGYWIVKNYRESYGMHASSGILFNHESPRRGETFVTRKITRGLSQISVGLQDILY------LGNLDAKRDWGHAKDFVKAMWLMLQQDEPDDYVIATGEQYSVYFGLHLEWEGEGLEEVAVDKTSKRTVVAVDKRRPAEVESLLGDPTKAKEKLGWEPTTTFDQLVEDM---------------- 226227376 ---KTALITGITGQDGSYLAELLLAKGYRVVGVVRRSSTTPYERIAHLVDRIDLLDQTSLTDVVQATRPDEIYNLAAQSFVQTSWNQPVLTGEFTALGVTRMLEALRKAAPERFYQASSSEQFGKVVETPQRESTPFYPRSPYGVAKVYAHWITVNYRESFNLFAVSGILFNHESPRRGLEFVTRKISD------------GVARIKLGLQRELRLGNLDARRDWGFAGDYVDAMWRMLQ----QDAPDDFVIGTGETYSVRDFCDAAFGAVGLDYREFVVQDRPAEVDLLVADPTKAREQLGWTPQVAFPELVRMMVE-------------- 19113649 ------LITGGAGFIGSNFLDYAVDKDFHFTCIDKLSYSKVLNQPNFRFLEMDLTNYKFLYQFMEINKITHIINFAAESSVDRSFIDPLYFTKNNILSTQNLLECVRILLGKKFVHVSTDEVYGEDENASVDEKSKLNPTSPYAASKAAVDLIIQSYRYSYKISVTVIRANNVYGPRQYEEKLIPMTLGKLKKFINQKSQKIMQDKITLHGDGLHKRKYLHIYDFINAIDLVWMKQGSEVYHSTKMSGQIFNIGSDDEIDNLSLVKFICKNLDYSKYITFVQDRNYNDSRYSLNYEKIKS-LGWRPQIPLETGLRKLIDEY------------ 30686224 ------------------------------------------------------------------------------------------------------------HGVKTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDIILDFSKNSIMAVMILRYFNVIGSDPEGRLGEAPRPELSEHGRCFDAARGIIPGLQIKGTDYKTVDGTCVRDYIDVTDLVDAHVKALEKAKPR-KVGIFNVGTGKGSSVKEFVEACKKATGVDIKVDYLERRAGDYAEVYSDPRKIKEELNWTAKHTLQESLKMAWRWQKLHRSGYGS--- 284039208 ---KKALVCGAGGFIGGHLVNRLKSEGYWVRGVDVKENEY-ENRNADEFILGDLRDPAVADEVIEIYQLAADMGGAGFVFTG---TNDAAIMHNSVLCNLNVLEAAKNKGVKRIFYSSSACMYPDPNNPKCSEESAYNPDSEYGWEKLFSERLFLAYQKNHGIEARIARFHNIFGPQGTWDGGREKAPAAVCRKVAMAED---------GGSIEIWGDGKQTRSFL----IVDECVEGIRRLMLSDFSGPVNIGSEEMISLNDFAKMVIDISGKSLSINNIPGPLG-VRGRNSDNHLIQEKLGWAPSTPLRKGVEKTYDW------------- 141088157 ----KILVTGGAGYIGSHVCNLLLDKGYKVIVIDSLVTGNKNLIPKNARLIVDISDEKKVEDIIIKEKFDLVMHFAGLIRVDESVKEPKKYHEYNFEKAKIFLNICFKNGLNKIIFSSTASVYGNPKKERVLESDPPNPLNPYATSKLKLEEFIKKKSKEIDLRYIILRYFNV---------------------------------------------------------------------------------------------------------------------------------------------------------------- 143169055 ----KALITGGAGFIAHHTISYLLKHDWEIITLDRLDYSGNLNRLHDIMLSFDIVHHDLKAELNEIGQVDYILHLAAGSHVDRSIDYPMEFIMDNVVGTANILEARKQDNLERFVYFSTDEVFGPAPNGIYKENDRYNSTNPYSATKAGAEELAVAYENTYKLPIYITHTMNVFGERQ------------HPEKFIPMCIKRARDGEVITIHSDKTRTIPGSRHYIHAEDVSSAVYFLLQYKWGNAKCPKFNIVGSEELNNLELAQIIADAQGKELKYEFHSSRPGHDLRYALDGGKMR-ELGWTPAKSVRERIAEVTNWTLNNQRWIK---- 136531960 MKTKVALITGITGQDGSYLAEFLLEKGYSVHGIKRFNTARIDHIYEDPHTEGDLSDSSNLTRIIQETQPDEIYNLGAQSHVAVSFESPEYTADVDGMGTLRILEAIRIEKKTRFYQASTSELFGLVQETPQRETTPFYPRSPYAVAKLYAYWITVNYREAYGMYACNGILFNHESPRRGETFV------TRKITRCLANISLGLEDCLYIGNIDALRDWGHAKDYVRMQWMMLQQDQAEDFVIATGKQYSVRQFIENEVGYLESCDLNLESSRPIVRIDPRYFRPTEVETLLGDAGKAKEKLGWVPEISAQEMCREMI--------------- 143373246 LMSRHALVTGGAGFIGSHVADALLANGWSVTVLDNLSSGKRAQVPAGQFVEADIRSAEA-ATLVRDGGFDLLCHLAAQIDVRKSVADPRFDVDVNIGGTLNLVEALKSGRRTRLVFASTGAIYGDLVTPPNFETYPKNPESPYGIAKYAVELYLAYYARIHGLDYVALRFANVYGPRQDP-------HGEAGVVAIFCQRILAGTPLTVFGD------GSQTRDYVYVGDVA----------------------------------------------------------------------------------------------------- 296140312 -----IYVAGHRGLVGSSIWRALRRAGYHNVI-------------GHPRSELDLRDRDATASFFLEARPDVVVLAAAVGGIAANNAEPVEFLSDNLLIQTNVMDSALAVKVPRLLFLGSSCIYPRLAEQPIREDSELEPTNDAYAAKIAGIIGVQAVRREYGLPWISAMPTNLYGPGDNFDPIASHLLPALIRRYRTAVDEGA-------GTVVNWGSGTPRRELLHVDDMAAACLYLLEHYD---GPVQVNVGTGVDHTIAEIAAMVARATGFEGITRWDSSKPDGTPRKLLDVGLLRR-LGWSSEISLQSGIDETTAWYVANRDG------ 119513240 -SGKKVFVTGHTGFKGSWLSLWLQMLGAEVCGYTSLNLFELANVADGMTVVGDIKDLDFLQRVMQTYQPDIVIHMAAQALVRESYYNPVDTYAVNIMGTVNVLEAVRNVPSVKAVVSVTSDKCYENREWVWRETDAMGGYDPYSSSKGCAELVTTAYRQSFFNPADYAQHVAVASVRAGNVIGGGDWAKDRLIPDILNAWLS--------GKEVVIRNPQAVRPWQHVLEPLNGYLMLAEKLFTHGSVYCGGWNFGPNKTVAWVVNQLQKFWGKANWIQDGKVQPHEANLLTLDCSKARTQINWEPKLDLTTALAWIVDWTKAWKQG------ 136299678 ----KIFISGIAGFLGSHLADQFINDGHQVVGCDSLIGGELDNVPEAEFYQYDCCFRNSMLKITKGC--DVVYHAAATAYEGLSVFSPHLITQNIVTGSVSLFSAAIENKVKRIIFCSSMARYGTNK-TPFLENYTPVPQDPYGIAKVAAEKILTNLCNVHGVEFVIAVPHNIIGPRQ------KYDDPYRNVASIMINLMLQNRQPIIYGDGQQKRCFSYIDDDLYCLKKMATSKNVLGEIINIGPDEEF-------ITINELAEKISNQLKFNLNPLYVKGRPQEVLEATCSADKARKLLNYKTKTTLDKGLSQMIQ-YIKN--------- 143194035 MKKRSI-ITGGAGFIGSNLTDHLVRIGHKVIVLDNFVSGKRSNLSHHRKKDVDISKNENLYKYFKGAH--YVFHLAALAQIIPSIKNPKKYFKNNVIGTLKVVEAAKRAKIKKLVYAASSSCYGIPKKLPTSEKDKIDLNNPYAVTKFIGEEIIMRYASMFKMPNISFRFFNVYGPRLN---------------------------------------------------------------------------------------------------------------------------------------------------------- 140640449 ---KTIIVTGSAGFIGSAVCIKLLERGENVVGIDNHNNYYDPKIKDARHFKIDLSEKGDLEEVFKSCKPKMVVNLAAQAGVRYSIKNPLTYIKSNIVGFAHILENCRHYDIEHLVYASTSSVYGANSKKPFSEHDSVHPLSVYAATKKSNELMAHTYSYLYKLPTTGLRFFTVYGP----------WGRPDMALFKFTKAILEEKPIDVFNYGKHTRDFT----------------------------------------------------------------------------------------------------------------- 135023284 ----RVLVSGGAGFIGSNLCEFLINNEASVICLDNFSTGYRSNIEKFTLVEGDIRDLEVCQK--ACSGVTHVLHQAALGSVPRSINDPITTNEVNINGFLNMLVASRDQNVSRFVYAASSSTYGDSKSLPKVEEKIGKPLSPYAITKYANELYARIFKLNYGLNSIGLRYFNVFGRRQDP--------------------------------------------------------------------------------------------------------------------------------------------------------- 135058519 ---KRVIVTGGAGFIGSNTVAKLLERGDYVICIDNFNDYYAPHVDNFTLYRIDIENKEALEKIFIDEKPDHLVHLAARAGVRPSISDPHLYVQTNIVATMYLLELAAKYGLANNVIASSSSVYGNNPFGPFNEQDTSRAISPYAGTKKAVEILAHTYHHIHQIPVTCLRFFTVYGPGGRPDMAPYLFTKW----------------------------------------------------------------------------------------------------------------------------------------------- 143909077 MKKKVALITGINGQDGSYLAELLLEKDYEVWGTIKRNTSRLEKIIKDNLEYADLTDLSSLLMVIQKSNPDEIYNLAAQSHVRISFDQPIYTANTTGIGSLNLLEAVRIINPNIKIYQASSSEMNIDPDGFQRESTPMNPVSPYGCAKVFAYNISRNYRNSYGMFISNGILFNHESPRRGINF-------VTNKVVKTAVEIKKGIKDKLY-----IGNMESTRDWGHAKDYVEAMWRILQLDS----PGDYVCSTGKSHSVRDLIEYVFDKLDLDWKIYVEQNRPEELQDLKGDSSKLREKTGWSPTYSFESMLDEMIQYWME---------- 302523156 -EQARIFVAGHRGLVGSAVARRLGADSHEV--------------LTRTRTELDLRDAAATAAYLRETRPDAVVLAAAVGGIMANSTYPVQFLEENLRIQLSVIAGAHAAGVERLLFLGSSCIYPKHAPQPIHEDAPLEPTNQAYAAKIAGITQVRSYRSQYGAAYISAMPTNLYGPGDNFDLETSHVLPALVRRFHEAKAEGRE-------EVELWGSGSPRREFLHVDDLAAACALLLRSYD---GAEPVNVGCGEDLTIKELAETVRDVVGYEGRIAWDTSKPDGTPRKLLDISRLAS-LGWKPRIGLREGIAGTYEW------------- 167580722 ---KRVLITGITGMVGSHLADFLLENTDWEIYLDNVSHPRINEKNRIRLVYGDLRDYLSIHEAVKQSAPDFVFHLAAQSYPKTSFDSPLDTLETNVQGTANVLEALRKNNIDAITHVCSSEVFGPREKLPIDEECTFHPASPYAISKVGTDLIGRYYAEAYNMTVMTTRMFTHTGPRRGDVF-----AESTFAKQIAMIERGLIPPVVKTGNLDSLRTFADVRDAVRAYYMLVTVNPIPGAYYNIGGTYSCTVG--------QMLDTLISMSTSKDVIRVETDRPIDADLQVPNTRKFEAVTGWKPEISFEKTMEDLLNYWRA---------- 238062683 --TRRALITGITGQDGTYLAEHLLQSGYEVFGLVRGQTAPSVRSLRQPDISGDLLDQTSLVAAIERAAPDEVYNLGALSYVPVSWRQSTTTAEVTGMGVLRMLEALRIVGQPRFYQASSSEMFGKVREPVQNELTPFHPRSPYGAAKAFGHYMVQNYRESYGMYA------------VSGILFNHESPVRGPEFVTRKVSLGVAAVKLGIRSSLRLGNLSAERDWGFAGDYVRGMVLML----AQDEPEDYVLGTGVTHSVRELVEAAFAHVGLNWRDHVVVDRPAEVELLCADPTKARQRLGWKPSVSFEEMVAMMVD-------------- 137193289 MPKKKTLVTGGAGFIGSHLVDKLINLGHKVIVIDNLSTGREKYLNKITFIKKDISDFAGIKKYFQ--KLDYIFHLAALADIVPSINSPRDYFNANVFGTLNVLELAKKNKLKKFIYTASSSCYGIPKKYPTNEKEQIDTKYPYALTKKNGEDLVLHWAKIYKLNVTSFRLFNVYGTR------------------------------------------------------------------------------------------------------------------------------------------------------------ 142231639 --KKKALITGITGQDGSYLAEFLIEKGYEVHGIDDIYQDPQVDSRNFIMHYGDLTDALNVTRIIQEVQPDEIYNLGAQSHVGISFETPEYTSDVDGLGTLRILEAIKFTNKTRFYQASTSELFGRAQESPQNENTPFYPRSPYAVAKLYAYWITVNYREAYGIYACNGILFNHESPRRGENFV------------TRKITRGLANIVCGLSDCLYIGNLNAMRDWGHAKDYVEMQWLMLQ----QDQPDDFVIATGKQYSVRDFIIWSAKELGIDIILRVDPRRPTETDSLLGDANKAMKQLGWKPKISVQDMCSEMIQ-------------- 188591883 ----RIFVAGHRGMVGSAIERALRAQG----GADIVTRTHAE---------LDLCDQAQVQAFFAGQRIDAVYLAAAVGGIHANNTYPAEFIHQNLAIATNVIHAAWQAGVRQLLFLGSSCIYPRLAPQPICETGALEPTNPYAIAKIAGIMLCDSYNRQYGTDYRCVMPTNLYGPGDNYHPDNSHVIPGLVRRFHDARLAGSAR-------VPVWGTGKPLREFLHADDLARACLHVMPAYREAAPAGFLNVGSDDEVSIGALAALVAQVTGYRGTIAFEADKPDGTPRKRLDSSAIMR-TGWRPRIALHDGLR------------------ 139261828 ----KIIITGGAGFIGSHLAEFLINKNHNIVIIDNLSTGRIEFKKKIKFVKADISKKGSWEKNFRGAKI--VFHLAALADIVPSIQKPAKYFDANVLGTQNIMLASLKYKIKKVIYSASSSCYGIPKIYPTDEKADINLQYPYAMTKRLGEEILIHYGKVYNIKTISLRLFNVYGTRTSGTYGAMF--------GVFLAQKLKNKPLTIVGN------GNQKRDFTYVSDVVSAFHKCIRY---NGKSDIFNIGTGKPISVNKIADIL----------------------------------------------------------------- 136191084 ----TIVVAGSTGLVGSAIIRELNRRGESVIGI-NRS-------------VVDLLDRKSTFEFINAAKPDLVIDAAAIVGIGANNSFPVDFLSKNLQIQNNLMDASHAAEVERFVFLGSSCIYPRDCKQPIKEEYLLKTNSAYAVAKIAGIELIKSYRKQFNRRWISLMPTNMYGP-----FDNFDLETSHVLPAFINRFVSAVESQISEVMLWGTGL--PKREFLYVDDLARAVLLAAEKYDAPD---HLNVGTGEDVSIANLAEIVATNAGYLGNITWDSTKPDGTLRKVLDITNIKKI-GWQPEIPLKKGIAFTIEWFKENEKTLAKRER 141859538 ------LVTGAAGFIGSNLTDYLLDLDHQVICVDNKSADNDKWNDKAWNVDCDITDYKDMKNVFN--KVDYVFHLAAESRIQSAIDNPIQAVQKNCVGTATMLQCARESGVRRFVYSSTSSGYGNNP-FPNVETQPDDCLNPYSATKVAGEKLCKMYTNLYGLETVVLRYFNVFGQRSPT------KGQYAPVIGIFRRQLASGEPLTIVGD------GSQRRDFVHVNDVARANY------------------------------------------------------------------------------------------------- 141003095 --------------IGSAVVRSIVAAGDRVVNLDTLTYAANPANLHYAFEQADIRDRAAIDVVLARHRPDAVMHLAAESHVDRSIDGPADFVDTNITGTYQLLDAALAYWRFRFHHVSTDEVYGGLDDPRFTETTPYSPRSPYAASKAASDHLASAWFHTYGLPVVISNCSNNYGPRQFP----------EKLIPLMIISALGGKPLPVYGEGL------NVRDWLHVDDHARALRAILR---DGRVGETYLVGGDGERRNIDLVHALCAILDVELPIQFVTDRPGHDHRYAIDHAKL----------------------------------- 153870927 -----IIVTGGAGFIGSNLVKALNERGYNNIVVDNMTKGEKFKNLL----DCDIEDYQEKEAFLKRYFAPSIEAFFHQGACSDTTEQDGHYMMTNNYDYSKAMLHYCLGKNIPFFYASSASVYGAGP-VFKEERRFENPLNTYAYSKFLFDHYVQRVLKTSTSQVVGLRYFNVYGPR------EQHKGSMASVAYHFNNQLLELEEIKLFEGTDGYNDGEQRRDFIYVGDVVDVNLWFMDNPRKLG---IFNVGTGRSQSFNDVAHAVLNWHGKMKYIPFPEHLKGRYQSTQADITEL-QEIGYKPFKTVEEGVKEYLDW------------- 139008377 ----HIFITGIAGFLGSNLAEFYIKKGFKVSGCDNLVGGDLENIPKVNFYKGNCENLDFMTKSMK-ENVDVVCHAAAYAHEGLSSVSPTLICNNNVTGSASVFTAAIRNKVKRIVFCSSMARYGNIK-IPFKESDILKPVDPYGVSKVAAEEILKILCETHNVEYNIAVPHNIIGP------KQKYDDPYRNVVSIMINLMLQNRQPIIYGDGSQKRCFSDIEDCLYCLDKLITDKNIISEIVNIGPDEEF-------ISINQLFEKLSNKLKFNEDPKYYLDRPNEVKHATCSSDKARKLLNYKTSVNLDDSIDKVIKF------------- 115526254 -RSRRIAITGSSGFVGHWLMRSLAAEPAGHVILPFFDVEHGDPG-------GDVSDCDLVDRRMEALRPEVVIHLASIAAPLEATRKPRRSFEVNVGGTFNIAEAMLKHVPNRLLFVGSSEAYGLAFNDPLTEDAPLRPGSMYGTTKAAADLLVAQMAR-NGLDAVRFRPFNHTGPGQTTDYV-----VPAFARQIARIERGLQQPFIHVGNLDA------ERDILDVRDVVEAYVIAAQAEQELPAGAVFNLATGAPVRIGELLRILLDATDRKIDVVVDPLRPSEVPKASGDAARARDILGWQTKTRLEDTLKAV----LEYWRERTNEQ- 143553800 MKKEKILITGGSGFVGTNFVDKLPKDIYEIFSLDKISFNNVNYL------NADIR-SSRLGELIKKIDPEIILHLAAQSSVAISSKDPVLDNDVNLNGSLNLYLNSANSNVEQFIFSTGGAIYGEELGKKFKESDTTKPLSPYGISKLNFENYLNYFIKKFYCKTTILRPSNIYGPWQNPLGEA-------GVVSIFADKMLKNENVSIFGDGSEY------RDYVYIDDVTEFVF----KIINDKAEGTFNISSGKITKTIEIFNYISSIIGYKKPPIFLDKREGDIFGIEIDNSKSKSI-GWLPKYNLKSGLENTVKFLKE---------- 143855946 MKRRNVMVTGGCGFIASNFLNHMKERNLNFVNVDKLDYSNIENVKSGTFVKGNVGNQQLMEHLIKLYRFDAIFHFAAQSHVDNSFGDALSFTMDNTHATHVLVEACRKHIPDVFIHFSTDEVYGEKTDVPFTEEEVLRPTNPYSASKAAAEMIVRSYIESFGMNIKVIRCNNVYGPNQYP----------EKLIPKFKRLLREGKKCTIHGSKSA----TVKRAFMHVEDVVDAVEIVWKRGT---PGEVYNIASDDELTVMEIIETLKDTKKYDEWITYVEDRPFNDQRYFICAKKLK-ELGWSQKKTRKDLI------------------- 136698028 -KSKRVLITGHTGFKGSWLSLWLQSLQAEVHGF-SLPPSTNPSLFNSADVEADIRNFDLIRKAIEDFQPEIIIHMAAQAIVRTSYDSPIETFSTNVMGTTNLLEAARLNDSVKAIVNVTTDKCYQNKEWLWREDDSLGGYDPYSSSKACSELVTDAYRRSF----FRSKNVNLASARAGNVIGGGDWAADRLIPDVLTAFEK--------SEPVVIRNPESFRPWQHVLEPLLGYMMLAERLFEQGERFAEGWNFGPSKSVVWIVERMAKSWGGEVDWKVDNDHPHESKCLKLDITKARIELGWHPTWRLDKSLEKIVDWHRAWLR------- 142905815 --------------------------------------------------------------------------FAGFIQVEESIKYPQKYFDNNTENATKLFETCKKNGLNKIVFSSTAAAYGISENKLIDENTNLNPQNPYAESKIKTENYL--FENKDGYKFIILRYFNVAGADKKLRSGQISKRSTHLIKILSEVVVGKRDHIEIFGNDYNTPDGTAIRDYIHVSDLADIHLEVAKYLLESSESNLFNCGYGNGFSVLDVINTANKILEDKINYKFSNRRDGDVEQLIADTSKILKYIDWSPKHDLSEIINSSIKWEEK---------- 167769555 ---KRVLVTGASGLLGRALLPRLLDAGYEVHAVTT-DAARLAFAPGAQAQTADLRDGAVCRAVVERVRPEALVHLAW--DQKDAGFRNAPANLDWLSISVNLLRAFYDCGGKRFLFAGTSSEY-DGRSGRMEETAAARPVSMYGQCKRAFSETLQAFAGQYGVSAVTARYFTIYGEHDGHAFGAIP----------------AATADFLAGRPVACRAPNTLRDYIYVGDAAAATLLLLESEL----CGAVNVASGVPRSMRAVFTALARAADALPLLSFEEDQPGDI--LVADTGRLNRELGFTCRMNFEEGLRRTVAWRRK---------- 284164514 ----TIAVTGGAGFIGSRVIDRLQAEHWDVVALDNQYRGQVSSVGDVDIQHVDIRDRRALEETLEGA--DVVLHLAALSGVDDCDSNRDLAYEVNVQGTNNVAWFCRQTGAG-LVFPFSMASIGDPQSFPITVDHPRDPMNWYGRTKVLGERAVETLAEGA-FPAHMYMKSNLYGEHE----VDGTTVSKPTVINFFVNRALSEETLTVY------EPGTQSRNFVHVKDVARAYVRSTERQLEQGETEKYEIASDEDLSVMATAEVVQEKHGFEPDVKLVENPRGNVENFAVDFTTATQRLGWEPTHSVAESVSRLLE-------------- 83941630 ---RKIYIAGHRGMVGGAILRQLQARKD---------AGEALELVTRTRAELDLTDQAAVRDFMRSEAPDVVILAAAVGGIMANNTYPAEFIYENLMIECNVIHQAHAAGVQRLLQLGSSCIYPRDAAQPMAENAPLEPTNPYAIAKIAGIKLCESYNRQYGVDYRSVMPTNLYGPGDNFHPQNSHVLPALIRRFHEATRDGAA-------EVVIWGSGKPMREFLHVDDMAEASLFVLDLPRDHPMQSHINVGTGRDISIAALAQMVAEGTGFKGRLVFDTSKPDGTMRKLMDVSCL-ADMGWRARIDLKDGLRETYDWFLR---------- 140170420 ----------------------------------------------------------------------------------------------------------------------------------LSEKLPIAPINPYGNTKRAIEIMLSDYEVATGMRHTILRYFNAAGCDAEGEIGEDHDPETHLIPLALAAAAKDRDRLSIFGTDYPTTDGTCIRDYIHVTDLADAHVRAVGQLLEGAKSNVFNLGAGEGYSVRDVVDAVGRAVGADLPVQDAGRRAGDPARLVADISHARDVLGWSPEHSLDNIVTTAWNWYR----------- 139150234 MTNMRILVTGAAGFIGFHLCKKLIENKSEVIGLDNLNNYYDVNLKKNRIKELDLSNKDDLKKVFKDSKLSVVINLAAQAGVRYSIENPYAYVQSNLVGFCNLIEESKNNNVEHFIYASSSSVYGGNKKLPFNEDNVDHPISLYAATKKSNELIAHTYSHLFQLPTTGLRFFTVYGP----------WGRPDMALFKFTDLIRKNKPIKVFN------YGNMKRDFTYIDDVIESIFCLINKSPNS---------------------------------------------------------------------------------------- 140059315 MRKKVAIVTGGAGFIGSHMVDLLLGKGYIVRVIDNLSGGRLQNLKKHKFFKKNITKINKNNKIFKNVDF--VFHFAGSGDIVPSIENPVHYTNTNVLGTIKMLEASRNFKVKKFVYAASSSCYGIAK-VPTDEKHPISPEYPYALTKYLGEQAALHWCKVYKLPVISIRIFNAYGPRVRT--------------------------------------------------------------------------------------------------------------------------------------------------------- 137337473 MEKKKILITGSAGFIGFHLAKELLKYKHNVIGIDNFNNYYSKIYKQHRFKRIDLKNKNAVDILFKRLKPDIIFHLASQPGIMYSFKNPKSYLKNNIYATKNIMQMAHKYHVKKFYFTSSSSVYGNQKKFPIKENQTLRPLNTYAKTKKKCEEILLDFFKKTNVDLKIFRPFTVYGT------------------------------------------------------------------------------------------------------------------------------------------------------------- 77864477 -AGKRVVVTGGLGFIGSHFVEQLLEHGACVTVLEVLPSANRARLLSLDLLDDTAL-SAALRSVTP--RVDLIVHCAALYGNADFKRNPALILDANMRMASNVLRAARACDVGDVVMMGSAEIYSELAPSPAREDDDYRTQNGYALAKIYTEMLAEFFRTQYGMRIFVPRPTNVYGPRDD------FDASVSRVVPSLMNRIARGEDIEIWGDGSQTRTFVHVRDVVRAT----------LRMAESNRHHTLNIGTREEISILGLAKLLSSVFGAPESIRLVPARPTGPGARTLDVGRMDELIDFEP-TALRDGLEETARWYRR---------- 136048813 MSRKKALITGVTGQDGAYLARMLLDKGYEVHGMKRFNTQRVDGIYADPHEYGDMTDSSNIMRLVQSIEPDEVYNLAAQSHVQVSFETAEYTANADAFGTLRFLEAIRTTEKTRFYQASTSELYGKVQEVPQSEMTPFYPRSPYAVAKLYAYWICVNYREAYGMHASNGILFNHESP------MRGETFVTQKIAMAAANIETGRQKKLYLGNLDAKRDWGHAKEYVEGMHAIINHHTGDDFVLATGRTETVRRFVEEIIWQGEGVEEVAKTGDVLVEIDPAYFRPTEVDLLIGDPTKAKEKLGWEAKIDLEALVSEMVR-------------- 195952610 ---RKFLITGGSGFIGSRIALRLQELKAYILVVDNFSSGYFKTLFKGDVIEGAIEDKDLWEYLKKEYAFEAVFHNGAITDTT--ILDQKFMMDVNTNSMKYIIDACIKWDA-KLIYASSAGVYG-NTEAPMREDRGLIPENVYGFSKLMADNLVKNKMEEYPFRAVGFRYFNVYGMG------EQYKGKTASMIYQLAMQMKSGKNPRLF------KYGEQKRDFVYIEDVLQANIKALERYDASG---IFNVGYGKARSFNDIVEILNHLMGANYEIEYFDCPYEFYQNYTADISAIKEKLGYEPKYDLESGIEH----YLK---------- 136839940 ---KTI-VTGGAGFIGSNLVDKLTGEGHEVTVLDNLSTGQLDNLKQSKFINIDLSKQTDLKDIFKNS--DWIFHLAGLADIVPSIIEPKKYFNSNVAGTINVLEAARVNNIKSFIYAASASCYGIPKNYPTPENSQINTQYPYALTKYLGESLVMHYAKVYKMPNTSLRFFNVYGPR------------------------------------------------------------------------------------------------------------------------------------------------------------ 144060904 -----------------------------------------------------------------------------------------------------------------FIFSSSCTVYGEATELPISESSPVRPMSPYGNTKQVGEEILVDVNALEDFRAISLRYFNPIGAHPSNAIGELPLGPQNLVPFITQTAAGLYPKLTVFGNDYPTPDGTNVRDYIHVVDLAEAHVVALERRKSKDAYEVFNLGTGKGSSVLEVIESFECISGKKLIYEIGKRRAGDVIAAYADTSKANEVLGWKAKRSLDEAVASAWAWEKK---------- 135431980 ----TLLVTGGAGFIGGHTASMALDLGWDVRILDNLSTGRKETAKGANFIMGDLRDEAAVNNAVNGC--DAVAHFAAQVSVPRSVEHPQETMEVNVGGTSTILKACQAHGVNRFVMASSAAVYGTKDDFPLHERHAGTFHSPYADSKWQNEHQVLEA-KEAGMEAVALRFFNVYGAGQRS------DGAYAAVVPKFIELALAGQAATIFGDGLQT------RDFVHVSDVANAVLMMATQAWDGERAHVYNVCTETECSLLDLMSEIHRVLE------------------------------------------------------------ 140508257 MKN-NILITGGAGFIGSHLVRYFVEANYNIFNYDSLTYSSNENKPNYNFIKGDICDFDLLKSVFQKYEINKIIHLAAESHVDNSIQNPLIFAKTNVIGTLNLLNIAKLQWGNNFYHISTDEVFGSTSNNPFNENSNYKPNSPYSASKASSDHFVRSFSKTFGLPTIISNSSNNYGPN----------QHPEKLIPLFVNNIKNNKPLGIYGDGLNIRNWLFVEDHVKAID------------------------------------------------------------------------------------------------------- 143899445 FRGKRVLITGDTGFKGSWLSLWLHNHGAKVFGLDHSHFNDVQLCSLIDHQDGDIRDLERLKTRFVDVQPDVVFHLAAQPIVRLSYRDPVQTFDTNIGGSTNLLECVRLTESVKALIFITSCYRNIEQDAGYTEQDVLGGSDPYSASKGAAELVFAAYNASF---FVHRDNLIAASARAGNVIGGGDWAEDRIIPDCIRSLQRD--------TPIHIRNPRATRPWQHVLEPLSGYIEMLKGNSAVSGSWNFGPRSDEVRSVSDVTQEAIAIWGSGSVTLDDNRHPHEATLLQLDCSKAQAELNWSPQWDFATTMEKTVSWYQ----------- 302849816 -KKLKICVTGAGGFIASHLAKRLKSEGHYIVACDWKRNEHAEEEFCHEFHLVDLRLFENCKKV--AEGCEHVFNLAAMGGMGFIQSNHSVILYNNTMVSFNMMEAARVCGVKRFFYASSACIYPEFEGGGLKEADAWQPQDAYGLEKLVSEELGKHYGKDFGIDVRLARFHNIYGPHGTWKGGREKAPAAFCRKVLTSTT-----------EIEMWGDGKQTRSFTFIDDCVEGIL----RITKSDFTEPLNLGSTEMVSMNEMMEMAMSFEDKKLPIKHIPGPEG-VRGRNSDNKLILEKLGWEPTVSLRDGLKMTYFW------------- 238897294 -----IIVTGGAGFIGSNIVRALNKIGYQDIVVDNLEKG-AKFVNLVDLKIADYRDKDDVRAKEVLGNIEAIFHLGACSSTMEW---DGQFMMKNNYEYSKTLLHFCLKACIPFLYASSAAVYGGRTDCFIEEPQYEKPLNIYGYSKFLFDQYVRKIWPKARAPICGFRYFNVYGPR------ETHKGSMASVVFHLDKQIKAGKPPQLF-----LGSEQFKRDFIFVDDVAQINLWCWQNQI----SGIFNCGTGHAASFQTLADTVVAYHNSKQYVDFPENLKGCYQTTQADITKLRTI-GYKPFKPLDEGVTHYLDW------------- 237806808 -----IIVTGGAGFIGSNLVKALNQAGRDIVVVDDLTDG----TKFVNLVDLDIADYIDKDEFIAWGGISAILHQGACSATTE--WNGKFVMDVNYEYSKDLLHYCLEYGVQ-FIYASSAATYGGRNDNFIEERRFEQPLNVYGYSKFLFDEYVRRILPETDLQIVGLKYFNVYGPR------EQHKGSMASVAFHLNNQVLKGENVKLFEGCDGFPNGGQTRDFIYVEDVAKVVLWFMNHPDKSG---IFNCGTGKAEPFQNVAEAVLKHHGKGE-IEYIPF-PDHLKGRYADLTKLRADVKFR---TVAEGVAEYMAW------------- 148259524 ---KTALVTGITGQDGAYLSQLLLGKGYEVFGVIRRSSHRGVEDHRLRWLDGDLADLSSLVRIVKDVRPDEVYNLAAQSFVASSWRQPILTANITAVGVTNILEAIRAEQPERFYQASSSEMYGLIQEPMQSEATPFYPRSPYAVAKLYGHWITVNYRESFGMHAS------------SGILFNHESPLRGVEFVTRKVTDGVARIKLGLAGELRLGNVDAKRDWGHARDYVKAMWLMLQ--QDKPDDYVVATGRTTTVRDMCRIAFDHAGLDMERHLVIDPYRPAEVDVLLGNPAKAEQKLGWRPETTLEQMIIEMVD-------------- 143381702 --------------------------------LDELDDATRRDL---DVFAGDVRDPHGVDVAVSGC--DVVLHLAALVAIPYSYHSPDTYVDVNVKGTLNVLQAARRHGTRRVVHTSTSEVYGTAQYVPIDERHPLHPQSPYAASKVGADQMALAFHAAFGTPVGVIRPFNTYGPRQSARAVIPTI-----------------ITQIAAGRPVRLGALRPTRDFTYVTDTAAGFVAAAESDAIVGTTTNL--GSGFEISVGDTAALIAEVMGARLALEHDDERASEVERLHASIDKARAELHWRPRDGFRTGLERTVAWF------------ 143233507 MRDKSILIFGGLGFIGSEYILKARKRDAKIINFDSQNYATSDTIENYIYIKSDIRYKDQVISALKEFSPDLIVNFAAESHVDNSIESAEVFIDTNIKGTFNILEATRLIQNCILHHVSTDEVFGDEGTAKFSETTPYDPSSPYSASKAASDHLVRAWARTYKINYLITNCSNNFGPRQYT----------EKLIPKAINLLLDNKKVPIYGN------GKNIRDWIYVGEHVDRL---IDLQMSSAKNDTYLIGGNNELSNVEIIKEIVSIWNPGEYIAFVDDRKGHDMRYAIDDTKMRNFLGKVDKESFSDQLQSTVTWYQKNLDWWE---- 94263404 ---KVALITGVTGQDGAYLAELLLEKGYLVHGIKRFNTARIDHLYQDPHEHGDMTDSSSLIRIVQQVQPDEIYNLAAQSHVAVSFEEPEYTANSDALGALRLLETIRIERKTRFYQASTSELFGKVRETPQKETTPFYPRSPYAAAKLYAYWITVNYREAYGLYACNGILFNHESP------VRGETFVTRKITRALTRIKLGLQQCLYLGNLEAKRDWGHARDYVEMQWLMLQQEQPEDFVIATGRQHSVREFRWEGAGVDERGYWQGAAAPEEPIVRVDPRRPTEVETLLGDATKAREKLGWTPKISFAELVAEMIR-------------- 137259402 ----------------------------------------------------DLKNKSEVKKLFSDYRFDGIIHFAAHKSVNESVNFPEKYYKNNIGSLENILE--NIDEKMHFIFSSSCTVYGQADKMPIKEDFPIKSESPYGETKQICESILKKFCDNNNFNNITLRYFNPIGAHNSGLIGELPLGPENLVPFLTQTAIGKRDQLIVFGDDYNTPDGTCIRDYIHIEDLADVHVSCLEYKKNKKNYEFYNVGTGEGLSVLELINLFEKVNNVKVNYKIGKRRKGDVIIAFADVSKIKQ--------------------------------- 144104034 --NMKILVTGVAGFIGYHVALKLLKKNISVIGIDNLNNYYDVNLKKKRLEKIDLNNFKSLNQIFKNNKIKRVIHLAAQAGVRYSLKNPRSYIENNIVCFFNVIELSKIYKIKNFVYASSSSVYGANKNLPFVETKIDHPIQLYAASKRSNELIAHAYSALYRLPTVGMRFFTAYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 142161438 MTEKIALITGITGQDGSYLAEQLLEKGYTVHGLIRFNTSRIDHLYRDPHHYGDLTDGSRLVTLLERIGPSEIYHLGAQSHVRVSFDEPEFTGDVTGLGTTRLLEAIRVSEVPARFYQASSSEMFGATPPPQDESTPFYPRSPYAAAKVYAYWMVRNYREAYGLFACNGILFNHESPRRGETFVTRKISMAVAAIATGRQSELY------------LGNLDAKRDWGYTPEFTDAMWRMLQTD----EPTDYVVATGTSYSVGDFVRMAFEHVGLDWHVKFDPRRPTEVDDLIGDASKARELLGWQAQV------------------------- 135299857 ---KRALITGITGQDGSYLAELLLSKGYEVHGIRRFNTQRIDHLYLDPHHYGDLSDSSALTTLIYSVKPDEIYHLGAQSHVAVSFETPEYTGDVTAIGTARILEAIRKSGVKTRFYQASSSEMFGAAKPPQNESTPFHPRSPYGVAKVYAYWLTVNYRESHGLFAVNGVLFNHESERRGETFV------TRKITRGLAAILAGREQALYLGNLDAKRDWGYAPEYCEAMWKMLQQDKAEDYVIGTGEIHTVREWTGKGTGEKGVVRKFRAALKPGDTIIHVDPRPAEVDHLQADITKARRKLGWSPRTRFEDLVKIMME-------------- 136033586 ----RVLVTGGCGFIGHRVVHLLEKQGHDVLIVDNHTTYERKRFIKSKVLTTDICDFVALYKAIE-FDPDVVVHLASFPRQKSVDINPQEGVKTMMEGLLNVLEISKN---RRLIYISSSMVYGDM-NGPAREDDPCSPKGLYAIMKYTGEKMVKDYGRRFGMEYNIIRPSAVYGPRDVG-----------------DRVIAKFLLNARVGKPLMVNGVEEKLDFSFVDDTASGICRVVNVGL---PNETYNITRGQARTIYDAACCVIDMVGDGEVIVQEKDGKF-PSRDALCIDKAREQLGYNPTTNLEEGL------------------- 158318296 ----RILVTGHDGYIGTRLVPFLRQAGHDVAGLDSMLFSDCTLGTEPDSVPALALDIRDVRP-SHLEGFDAVIHLAGISNDPLGDLNPRTTYDINARGTLMIGSAARQAGVPRFVFSSSCSLYGAHGDAPIDESAEFHPVTPYGESKVIAERELTA-LADDGFSPVFLRNATAYGPRLRGDL-------------VVNNLTGYAVTT---GKVYLKSDGTPWRPLVHIEDIARAMLAVCEAPREAIHCKAFNVGRGENYRIREVAEIVEDVV-PGSRVVFADEAGPDKRNYRVDCDRIAREIGFQPVWTVRKGVEELHAAYLA---------- 134736028 --------------------------------------------------------------ILNSNNFDVLMHFAGFIQVEESVKFPEKYFKNNTENAIKLFKICKKNGLDKIVFSSTAAAYGVRENKLIDESTNLNPQNPYAESKIETEKFLLE--NKNNFKFVILRYFNVAGADKKLRSGQISKRSTHLIKILSEVAIGKRDQIEIYGNDYNTPDGTAIRDYIHVSDLADIHLKVATYLIERLESNLFNCGYGNGFSVLDVVNTANKICQNKINYKFSERRDGDVEKLIAETSKISKHIQWQPKYDLEEIINSSIKWEEK---------- 143310125 ----TILITGVAGLLGSRLADYIIENNQDVIGIDDLSGGYIDNVNTNVEFWRMNLVSDNIEVCFEKRKIDYVFHFAAYAAEGLSPFIRSFNYQNNLVATSKVVNCCIKYGVKRLVFTSTMAVYGHGT-PPFDESHVPKPVDPYGIAKYACEMDIQVAGQQHHLDWCIIRPHNVYG------VKQNIWDKYRNVLGIWMYQYMNGEQMTIFGDGEQKRAFSYIDDCLDGLWKSALQENCSRQIINLGGTEYYTINEANRI-LREVIN--------DGEITYKEKR-HEVKDAYPTSQKSVDLLNYSDKTSLRDGLTKMWEWAKQQPK------- 143326300 --TKRVLITGGAGFIAHHLIKILKNTDWEIISLDNLNRLHDLMLSFEPEVRVRIVHHDLKAELNPLVRSEYILHLAAGSHVDRSIEYPMEFVFDNVVGTCNILEFARSQKDNRFIYFSTDEVFGPPEGIKYKENDRYNSTNPYSASKAGGEELAVAYENTYGLPIYITHTMNVFGER--------QHPEKYIPMCIKKIRDGE----TVTIHSDKTCTIPGSRHYIHAEDFLLNYEGQFEPTWGGAKCPKFNIVGAEELNNLELAQVIAEAQGKELNIDFHSSRPGHDLRYALDGDKMRQ-LGWVPAKSVKERIAEVTQWTLKNQRWI----- 219112613 -EGRVALVTGITGQDGSYLAELLLDKGYTVHGIVRFNTGRIDHLYRDRHHYGDLCDASNLITIISSVKPDEIYNLGAMSHVKVSFDMPEYTADCDGLGVLRMLDAVRAEQSVKFYQASTSELYGKVQEVPQSETTPFYPRSPYAVAKQYAFWILVNYREAYGMHLTNGILFNHESPR------RGRTFVTRKITCAVAAIKEKQQKTLYLGNLDAKRDWGHARDYVEGMWMMLQQETSDDYVLATGETHTVREFVELAFAAVDITVKWKGEFGTIDEIKIDPRRPTEVELLLGDPTKAKEKLGWTSSTPFPDLVKEMVK-------------- 140019125 ------LVTGGAGFIGAHVVGSLLEEGKEVLVLDDLSGGFEENIPEKAFVKGSITDHVLLEKLFNDYEIEYVYHLAAYAAEGLSHFIKRFNYTNNLIGSVNLINESIKHKVKCFVFTSSIATYG-ALPPPMREDMTPQPEDPYGVAKYAVELELKVSHEMFGLDYVIFRPHNVYGE------FQNLGDKYRNVVGIFMNQLMQGKQLTVFGDGSQTQAFTYIGD------------------------------------------------------------------------------------------------------------- 135161643 -----------------------------------------------RFVEGYLEEPGLIEGIVAEEKPSLIVHLAAQAGVRYSIEAPESYLQSNVTGTFRILEAARAHPPRHLLIASTSSVYGANTDMPYAETQKADTMSFYAATKKAGEAMGHSYAHLYGLPTTMFRFFTVYGT----------WGRPDMAYFKFTDRILRGEPIDVYNHGDMSRDFTYVDDLVEGIDAVPPAPGDRDAPQPGAPFRVVNIGNSAPVKLMEFIGALETALGRKAEYNFMEMQPGDVPATWADASLLEALVGKLPRTPVEEGLAKFAEWYLR---------- 135468807 ----NVLVTGCFGFIGYNFLMYIQKNDFNLVGIDSLITKTSRENKNFIFYELDINDISSIEQ----HRIDLVINFAAESHVDNSITDPNKFIKSNVMGTNELLKFAYKNEIKNFIHISTDEVYGSNKNNFSIETDILNPSSPYSASKASAEMICNAYIKTYDMNIKICRPANNYG---------NYQQPEKLIPYTIANLLSDK-------NIELYGDGKHIRHWLHVEDTCLAILNVID---NGRENSIYNIGSGEYFNNVEIANKILEALNLDSKITFVEDRPGHDFRYAVNFDELKNI-GFQPQKNLDEEIFKIVEWYKGNKSWWKEDY- 282890312 ---QKVLIVGGAGFVGSNLVHEILSTHASVHIVDNLLSAEKLNIPTDPRVEGSIADDRVLDQLQDEY--AFIFHLATYHGNQSSIHDPLADHQNNTLTTLKLFEKIKSFTSLKKVCAIAEKTFDEAHASKEIDTVSLDMDSPYSISKIIGEFYSKYYVKQHGSPIVRARFQNVYGPREILGAGQWRGTPATVWRNVIPTFIYRSLKQEALPLENG---GNASRDFIYVKDIARGLMHCALYGTA---GDVYNLASGNEITISTLAETINKLTGNPTPTQNLPKRSWDSGKRFGCPEKSFLQLGFKTKTTFEEGIALTLQWTKEH--------- 136042792 --NMRYLITGHAGFIGSALSNLLLNEKDTVIGLDNFNKYYDISLKNFKSINCDLRNKEKLRKIFSKYKFDIVINLAAQAGIRYSLKNPEEYITTNINGFFNLINLSKKYKVKKFIYASSSSVYGNSKNKIFKETDLTNPLQIYAATKTSNELIAHAYSHLYNLKTIGLRFFTVYGP--------WGRPDMAIFKFTKNILKKKNIDLYNFG--------KNQRDYTYIDDVVKCIKNICNSKKHKNLNYQINIGNNKPIKTLKMVKILEKNLNK----------------------------------------------------------- 256755866 ----RILVTGASGFIGYNLMPELLKEGHEVVAFSNINNPNVE------CYKGDILNQDDLSEAMKGC--DAVINLAAVNGYEQINSNRIIAFNTCIEGTLNLINAFNANELKTLVFASSSRVYGNHTQDYLSESLKVKPSSYMAKLKWQAEQLLSLYQKEKDKRIVVLRIFNTYGPGQR---EGFLIPKIISHIKSGSIRLGNA---------------DIKRDYIYVDDVASSIATVLKK--AQNGFSCYNVGSGISTSVSELINIVNHITGKNLKLEIDSDRNEETGNERADITQLR-ELGWEPLVSLESGLRKAWE-------------- 143378285 MKS---LVTGGAGFIGSHIVDRLLDMGHEVVVIDNSDNDHFNWRDEAQNYKYDICDYEKTRPLYDGV--DYVFHLAAEARIGPAIANPVNAMDINVVGTCTVLQCAREAGVKKVMYSSTSSAYGLNESPNI-ETQKNDCLNPYSVSKVAGEEVCKMYTNLYGLPTVIFRYFNVYGERA------PRKGQYAPVTGIFLRQKEAGETLTIVGDGH------QRRDFIYVGDIVNAN-------------------------------------------------------------------------------------------------- 144024168 ---KKAIITGVTGQDGSYLAEFLLQKGYEVHGIKRFNTQRIDHIFEDPHVEGDLSDSSNLTRIISEVEPDEIYNLGAQSHVAVSFESPEYTADVDAIGSLRILDAIRFEKKTKFYQASTSELYGQVQETPQKETTPFYPRSPYAVAKLYSYWITVNYREAYGIYACNGILFNHESPRRGETFV------TRKITRGLTSVAQGLSPCLYMGNIDSLRDWGHAKDYVRMQWMMLQQDVPEDFVIATGKQYSVREFIGTGINEVATVSSIKKVGDVVMRIDPRYFRPTEVETLLGDPSKAKEKLGWEPLISAQEMCKEMV--------------- 139310013 ------------------------------------------------MIEGDITNLENCMEACK--EIDVILHQAALGSVPRSIENPLKTNDININGFINILWAAKKENISRIVYAASSSTYGDSKKLPKIENEIGSPLSPYAVTKYVNELYAGVFSNLYGLELIGLRYFNVFGRKQDPDGAYAAAIPKFIKAFINHQ------------EPVIHGDGSQSRDFTYIDNVIQANELAATTKNPDALNQVYNVACGDQSFLKELVENLRDLLSKNIEIKNGPERIGDVKHSLASIEKAQKLLNYQPTHNLKQGLNSAIEWYWNYFK------- 135919017 FDNMQVLVTGGVGFIGTNLVKRLLNDGHKVECLDNYSTGKKENELDGCNYEVDISDSLRRHKGFQLEKPDVIYHLAAVPRIQPSFLEPTKTFDSNVKATQNIMEYARTLNIPV-VYAGSSSSHGDKY------------ANPYTHSKWLGEEVVTMYNKVYNTFTAICRFYNVYGE------YQLTEGAYCTVLGIFERLYNNNKPLTI------TGDGEQRRDFTHVDDIVDGLIRCGKGLSGSDDGVVIKGKTFEGRGKNYSINEIAGAFG-DYPTKYIDKRPGEMRNTLNTDTKAKDMLGWNPKGDIIDYIK------------------ 221639952 -------ITGGAGFIGSNLADALLSDGEEVILLDNLGRAGVEDNLRWHPVIADLRD--ERAMADAARDAAAVYHLAGQTAVTTSLESPVADFEINARGTLNLLEAIRATGRPVLLFASTNKVYGALEDHGIGEGRPLDFCTPYGCSKGVADQYVIDYAKSFGLPTAVLRMSCIYGPRQFGT-------EDQGWVAHFLIRALKGKGISVFGD------GRQVRDVLHVSDAVAAYRRLMAEVSARSQAFNLGGGPGNAVSLRQVLEEIGRLTGGPVAVTEEDWRQGDQLWFVADTRALGTAIGWAPTVGWREGLAGLAAWLAEH--------- 142334463 ---KNILITGGCGFIGSNFVRYLISLNYFPVIVDKLTYAGTKDNLDQINEKADICDERLLNDIFKNHKLDGIFHFAAESHVDRSIDGPREFIDTNIIGTFNLLQAQRNNNDFKFIHVSTDEVYGDGWDGYFDENSSYKPNSPYSASKAASDHLVRAWGRTFNLPFITTNCSNNYGANQ----------------------------------------------------------------------------------------------------------------------------------------------------------- 136338943 -KKDKIYVAGSNGMVGSAICKLLKKEGY---------TKENKKLITNSKKELDLTDFGQVKKWFAENKPDIVIIAAAVGGIMANNRYPVDFLLDNLKIQNNLIESSWKNGVKRLLFLGSSCIYPKLSNQPIKEDSLEETNQWYAIAKIAGLKLCEAYRRQHKFDAISLMPTNLYGPKDNYNLEDGHVMAALIRK-FQEAKIKNKNEVICWGSGKPLREFLFVEDFAEASIFADPNLVNSPKDNNGKSLYWLNVGSDFEISIFDLAHKIATISEFKGQIIWDESKPDGTPRKKLDNTHLKKI-GWEAFTDLDCGIRKTINSFLE---------- 123966602 -KEEKIFIAGGSGMVGSAIIRKLNNLGFKHLIFPNSS-------------ELDLKDSNLVFNWFKKNKPDIVIFAAAVGGIFANNTYPVDFLLDNLKIQNNVIESAWKNKVRRLLFLGSSCVYPKNSSQPINEDELLKSNEWYALAKISGIKLCQALRKQYGFDAISLMPTNLYGKG-----DNYHSSNSHVLPALLDRFHSHKLEQKNYIECWGSG--NPRREFMHVDDLADASIFALENWDPNKNDNWLNVGTGIDLSIKELAEMIASITSFKGKIIWNKDKPDGTFRKLLNVSKLEK-LGWRSKISLEEGLLITYKDYKK---------- 71401858 LSRRRVIITGITGQDGSYLAELLLSKGYDVHGVSSFNTGRIDHIYRNEHHYGDMTDGTVLHHLIAQLRPDEVYNLAAQSHVKVSFDTPEYTGQTDGIGTLKILEAIRANNTCRFYQASTSELYGKVQEVPQTEKTPFHPRSPYAVAKLYAFWITVNYRESYGMFACNGILFNHESPRRGATFV------TKKIVRAAVRIKKGLQKELLLGNVNALRDWGHAKDYVHGMWLMLQAEKPDDWVLATGEQHSVREFCNLGYELEWSGSGVDEVSKKVPLIRVDPRRPAEVETLLGDASKAERELGWRTTYSFGELVDEMVQ-------------- 253996397 --TKVALITGVTGQDGAYLAEFLLKKGYEVHGVKRFNTARIDHLFHDVHEHGDMTDSSSLTHIIQKVQPDEIYNLAAQSHVAVSFEEPEYTANSDALGALRILEAIRIQNKTRFYQASTSELYGLVQETPQKETTPFYPRSPYAVAKLYAYWITINYREAYGIYACNGILFN------------HESPIRGETFVTRKITRALARIKLGLQECLYLGNMNALRDWGHAKDYVEMQWLMLQ----QEQPEDFVIATGVQYSVRDFVNAAAKELGMAIAWKGEGFRPTEVETLLGDASKAKNKLGWVPKISFDELVSEMVR-------------- 226509148 -ARKVALITGITGQDGSYLTELLLSKGYEVHGLSNFNTQRLDHIYHDPHHYADLSDSSSLRRALDAISPDEVYNLAAQSHVAVSFEIPDYTADVTATGALRLLEAVRLSRKPMRYYQAGSSEMFGSTPPPQREDTPFHPRSPYAAAKVAAHWYTVNYREAYGVFACNGVLFNHESPRRGENF------------VTRKITRAVGRIKVGLQTRVFLGNLSAARDWGFAGDYVEAMWLMLQQEQPGDYVVATEESHTVEEFLQAAFGYAGLSWKDHVVIDKKYFRPAEVDSLKGDATKARKVLKWKPKVGFQELVEMMVD-------------- 143409849 ---------------------------------------------------------------------------------------------------INLLKVMEKYNCKNIVFSSSATVYRAQNNKLLNEDDICEPINPYGNNKLTIEGILKDIYKPSQWRIASLRYFNPVGAHESGLIGENPLGPNNLYPLITQVAIGKIKEIKIYGSDWQTTDGTGVRDYIHVLDLAEGHLLALDYILNNKPQILILNGTGIGTSVLELISTFEKVNNVKVPSSFEKRRHGDNAFVVADNSLAKSILKWMPKRNIIDICRDGWNWQLRNPNGY----- 135229010 ----KLLIIGGTGFIGKHLTRAAVKSGFQTIVLSLHEPHGENKVKGAVYLQADTTNFKDLSNKLLNIDIKFAINLSGYVDHCSFSAGGERVIDSHFSGVKNIVKVLDWGKLKRFIQIGSSDEYG-NLQAPQNETMRESPISPYSFGKVASTQFLQMLNRTEGFPAVILRLFLVYGPSQD-----------------HKRFLPQVIRGCASGKTFPTSLGEQLRDFCYIDDVIRGILMALMQDGINGEVINIASGI--PVTIRKVTETVKVLIGQGDPFGQIPYRSKENMELYADISKANNVLNWKPEVTLEDGILRTIEFYR----------- 254485709 ---KRALITGITGQDGSYLAEFLLEKGYEVHGIKRFNTQRIDHIYEDPHHYGDLSDSSNLTRILSEVRPDEVYNLAAQSHVGVSFECPEYTADIDALGTLRLLEAIRFSQKTRFYQASTSELYGLVQQTPQRETTPFYPRSPYAVAKLYAYWITVNYREAYGLYACNGILFNHESPRRGETFV------TRKITRGLSNIALGVEDCLHLGNLNALRDWGHAKDYVRMQWMMLQNETPEDFVIATGQQHSVREFVFEGEGLEEVARVVRVAGRYAPRIDPEYFRPAEVETLLGDASLARARLGWVPETTPRQMCTEMV--------------- 94314779 ---RRALITGITGQDGSYLCEYLLDKGYEVHGIKRFNTERIDHLYEDPQHHGDMTDTASLVRVVQQSRPDEVYNLAAQSHVQVSFEEPEYTANTDAVGTLRLLEAMRMEQSTRFYQASTSELYGLVQEIPQKETTPFYPRSPYAVAKLYAYWITVNYREAYGMYACNGILFNHESP------VRGETFVTRKITRAIARIALGLQEVLYLGNLSALRDWGHARDYVEMQWLMLQQETPRDFVIATGEQHSVREFVTDEVGIISHIDTSRRELSSRCIVRVDPRRPTEVETLLGDPTQAREQLGWSPRITFDELVHEMIE-------------- 135900620 FKNKKIVVTGGSGFVGTNFILELLERDANIITHTHIRPMEIQDERIKVIENIDLFKLEDCMKLLDGA--DYVIHCGGYITNPSEVRTNVQVLLHNITSTGNVLEAAAKCGLKGYLDINSSTGYPDKRYPIYWEEEPYEAYFGYGWMRRYREKLMEFVSGFSDLKIGLGRATALFGP-----YDNFNPKTCHVIPALINRVLKDENPFIVWGTPDVV------RDFLYVRDVIDGALLVLEKGKSM---RPYNIGAGKPVTVGDIVDSVLKATDKTPKVEYDSTKPTTIPFRMVDTTRITEELGFKPKYSFEQGIQKTVDWYIRN--------- 115526248 -RGRRVLVTGHTGFKGSWLTLWLSRLGAKVAGF-ALAPPTSPSLFEQKHQQADIRDLAALRQAFDWHRPQIVFHLAAQAIVRQSYVDPVETYATNLMGTVNVLEAIRHTPDIEAAVMITTDKVYDNKEWVWREDDRLGGVDPYSNSKACCELAIAAYRRSF----FDEGKLMVASARAGNVIGGGDWARDRLIPDIVRAFIA--------GQQLVIRYPDAVRPWQHVLEPLHGYLMIAESIAKKQLRVDPTFNFGPDEADAQPVRSIVEHMDSAEWTLDNNANPHEAGQLRLDCSKARQVLNWKPALALPQALDWIVEWHKRNPRSLSEAQ- 138731924 ----KILVTGAAGFIGYHLCAKLLNNGHDVIGFDNINDYYDQNLKKNRIKELDILNINDLKKVFKNFRISIVVHLAAQAGVRYSIENPSAYVQSNLVGFCNIIEECKLNNIDHFIYASSSSVYGGNKELPFNESDNVDPISLYAATKKSNELIAHTYSHLFKLPTTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 139186538 -----ILVTGAAGFIGYHLCEALLKLGHKVIGLDNVNEYYDVNLKYSRFIRLDLEDRIALPKIFKEFNFDIICNLAAQAGVRYSLENPEAYIDSNITGFLNILECCRNNNIRRLVYASSSSTYGNSHDVPFNESNADKPISLYAATKKSNELMAHTYSHLYGIETIGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 143686841 MKSKKILVTGGAGFIGSVMVPNLLRKGFTVTVLDNFRQNSLMDVCWHPELRGDVRDSVLMKQEISRH--DVLIPLAAIVGAPACKRDPELTNQVNLESIRALADLTSTDQFVLFPVTNSGYGIGEG-DIHCDETTPLNPISHYGRSKVDAEKYLLD-------------NGNAITFRLATVFGSAPRMRMDLLVNDFVYRAYKDRFIVLFESHF-------KRNYIHIRDIADVFLHGLEKYDEMKGEPYNVGLSEANLSKLELCENIKKHLPDFHIFESEIAKDPDQRDYIVSNVKIES-TGWKPQFSLDDGIKELIQCYS----------- 142773701 ---KRVLVTGATGFIGQHCLHYLVNEGFEVHAMSRSRHKTGVDDDGVHWYLTDLFNSGSQETIVNEVRPTHLLHFAWYAEPGHFWTSAENLQCVSASLAL--LQAFGEQGGERVVMAGTCAEYDWGQGLCLESVTPRKPSTVYGTCKNALQEILSIYSNQFGLSSAWGRIFFLYGP-----------------KEHPSRLVSSVIQSILRGETARCSSGEQVRDFMYVTDVASAFVALL----NSDIQGPVNIASGEAITIKDVVERIATKLDRPELLKLGARSTNDPPLLLADISRLKDELGWQPSFDIDRGLDETIAWWKEELKW------ 136355888 ---------------------------------------------------------------IDEAGIDTLIHLAALVSIPESMEKPMEYFESNEKGTFNCQELLKTKSKPFLIYASSPEVYGNPIYTPMDTDHPCRPRSFYAATKLAAEKQCMVLHEWYKYPVSVIRNFNTYGENQSNSYRGY-----AAVVPEFVTRALKNEKIKIHGNGKQT------RDLMYVKDAVEAYVRVM-KEKEKTQGEVFNIGTGIQTSILDLAKKIMEISGSSSELVYESERAADLERLEADCSKTEKLLKWKPEYTIDKGLDITISWFKK---------- 134968029 --GKRVLVTGHSGFKGGWLSLWLNELGARVYGYSLAPTPESEFYNRGEEEFADITDFKNFSECINKFKPDIIFNLAAQPLVRASYDEPASTFAINTMGVVNLLEAVRRSPIEPTIVNVTTDKVYSNKEWIWREYEELGGNDPYSASKACSEIITNSYVKSFFKSSSVK----IATARAGNVIGGGDMSKDRLVPDYLRAITN--------GSKVLIMNPQATRPWQHVLEPVLGYIQLAEKMASSKDGPWNFGPSGDPVSVIDVVTMLSEISNKKNYKIDLSSNPHEAQCLMLDSAKARNILGWKPRLTLETALKMTFSWFQ----------- 136114413 LMNKRLLVTGGAGFIGSALIRYIIRNDHDVINVDKLTYAGNEDSDRYIFEQVDICNAKEINRVFRNYQPDIVMHLAAESHVDRSIDSPADLLKQMLWYLYFTYEANTIGQIFRFHHVSTDEVYGDLDKELFTEETPYSPSSPYSASKASSDHLVRAWQRTFNLPTLITNCSNNYGP--------YQLPEKLIPLIITNALEGKDLP--------IYGNGMQIRDWLYVDDHAEAL---LYVALKGRVGETYNIGGHNEKKNIEVVRLICSILDKQQLITHVDDRAG----------------------------------------------- 135349055 ----KIYIAGHKGLVGSAIFRNLKNRGYKNI----LTKSHS---------NLDLKNQTEVLDFFNKEKPDYVILAAAVGGIHANSTYPGDFIYDNLMIQTNVIHSAFKFKIKRLLFLGSTCIYPKLAEQPIKEDDHLEPTNPYAIAKISGIKLCESYNRQYGTDFRCLMPTNLYGINDNFHSKNSHVIPALLKRFHQAKINN-------LSEVIVWGDGTVMREFLYVDDMAEASIFILEIDKKSPMVSHINIGSSKDVSILELAKTIKKVTGFKGELKFDRSMPNGTPRKLVDTSLINS-LGWKHKTNLTEGLNKTYSWFLK---------- 280957675 MSDKTVFVTGAGGYVGSLLIPALLGEGYKVRAHDIFWYGKDKDNPDLTIIEGDLRDAALLGKTIPGS--DAVIHLACISNDPSYELDPDLAKSINYDAFLPLVDISKAAGVKRFIYASSSSVYGIKEGVEVTEDLPLEPLTDYSKYKAMCEEYL---------NGAASDVFTATTIRPSTVCGWAPRLRLDLTVNILTNHAINNGKITVFGGQ-------QKRPNLHIKDMVGVYLFMLKQDTAKIQKKIYNVGYEN-FKVMEIAEKVRKTLAKDVEIVVTP--TNDNRSYHVNSDKIKNELGFVPKHTIEEAV------------------- 135118306 ----RVMVLGSRGMVGSSLVRHLSAAD------------SVADVIPVCRNQVDLMDAVRTFHYIESEKPDWVIVAAAVGGVHANNEYPRDFLLSNLAIEMNAIEGAYRAGVEKVMFLGSSCIYPKFAEQPIREESLLSTNEPYAIAKIAGIKLCESYNRQFGTDYRSVMPTNLYGPG-DNYHPENSHVIPGLIRRFSEAKMRSSGRVVVWGTGKVRREFLYVDDLAEAVVQVMRVSKSDLSKIVEPMCSHINVGFGVDVTIAELAGLIQEVTGFEGTIQFDESRPDGTPRKLMDVAKIRS-LGWHPRHDLRDGLKKTVEFRLEH--------- 74317793 -KNARIYVAGHRGLVGSALMRDLERKGYGNFV-------------TRTHAELDLTKQAAVEAFFASERPDYVFLAAAVGGIHANDTYPADFIRDNLAIQTNVIHAAWKSDVKRLLFLGSSCIYPKFAPQPMKEDGELEPTNPYALAKIAGIEMCWAYNRQYKTQYLAVMPTNLYGPGDNYHPENSHVIPALIRRFHEAKQAGAP-------SVSVWGSGTPRREFLYSEDMADACTHLMAQDRNDGLAPLVNIGVGHDLTIRELAETVKSVVGYPGDIVFDASKPDGTPRKLLDVSRL-NAMGWQARTEMGTGLRRAYEDFL----------- 142913020 ---KVALITGITGQDGSYLAELLLEKGYEVHGIVRRSTHRIDHIYDNPNHYGDLTDATNIIGVIKKVEPDEIYNLGAQSHVKVSFETPEYTGNVDGLGTLRILEAVRMENEVRIYQASTSELYGLVQEVPQRETTPFYPRSPYGVAKLYGYWIVKNYRESYGLHASSGILFNHESPRRG------------ETFVTRKITRGLSRISTGEQNILSLGNLDARRDWGHAKDFVRAMWLMLQ----QDEPDDYVIATGVQYSVRDFVDAIEWMGEGLNEVGYDWNRPAEVESLLGDPTKAKEKLGWEPEISFTELVEDMV--------------- 138745749 ----------GAGFIGSHLVDALLAENIKVRVIDNYSTGRPENLAHVELVEADIAASGSWQKSIK--DADWVFHLGALADIVPSIQRPDDYFQANVVGTFNVLEAAKHASVKRFVYAASSSCYGIPDSYPTSESAEIRPQYPYALTKRLGEELVMHWAQVYQLPAIALRLFNVYGPRSRT---------SGTYGAVFGVFLAQKLANKPF---TVVGDGSQTRDFTYVTDVAN---AFLTAAKSSQRGEIYNVGSGQTISVNRLVELL------VGDVTYIPKRPGEP--------------------------------------------- 159186321 LNGKRVWVAGHTGMVGSALVRRLERENCE--------------ILKVSRRELDLTRQYETEQWMAAARPQVIFVAAAVGGIAANAAYPADFLYTNTLISMNIMKSAADIGVEKLLWMGSSCIYPKFAAQPITENAPLEPTNEAYAAKIAALKLSQFYSIQYGLNCVSVMPTNIYGLNDNFDPQSSHVIPAMIRRMHEAKISGQNK-------IVLWGTGSPLREFLHVDDLADACCFLM---KSSAHFPLINIGSGREISIRNLAHLIAGIVGYEGQIVFDTSKPDGAPRKLLDCSRL-NALGWNSTVELRYGIQDLYEWWR-HPKSLQSD-- 269839811 MSGGTALVTGGCGFVGRHVVSRLLAEGWDVWVIDNTSTGKPPEGWVPAVRTIRRTDGADVRQVLRAAIDARVCHLAAVVGGRMKIDGDPLAVATDLSIDAEFFNWAIRANPDRVLYASSSAAYPVDEDMIDFERGRLGPDMTYGWAKLTGEYLARIAAQKYGLHVACVRPFSGYGEDQD---------ESYPVPAIAARAARREDPLTVWGSGQ------QGRDFVHIDDCVEAMLRAVEVIS---DGSAVNIGSGKLTTFLEVAAMFARLEGYEPEIKPLVDKPVGVQARYADPSRARQLLGWAPSISLEEGFRRVLE-------------- 140093968 ----NILVTGSAGFIGSQLCINLLERGDKILGLDNLNDYYNIDLKKARHYHVDISDKDALMKVFKDNNIDVVVNLAAQAGVRYSIENPDIYIQSNIVGFMNILECCRHNMISNLVYASSSSVYGANTTKPFSHDNVDHPLSLYAASKKSNELMAHTYSNLFDLSTTGLRFFTVYGP--------WGRPDMALFKFTKAIMNN--------NEIDVYNYGNHERDFTYIEDIIEGVIRVIDNPANSN--------------------------------------------------------------------------------------- 142321821 --SKKVVVTGGAGFIGHILIKYILDNDWQVISLDRLDYSGNLNRFSHMLKDYPEEDKKRLQIIYHDLDAEIIYHLAASSHVDRSIVDPLTFVKDNVLATANLLNYARSMDKLELMYFSTDEVFGPAKGQSFLEWDRYNSTNPYSAAKAGGEELAIAFENTYGLPIAITHCMNVYGERQ------------HPEKYIPNTLWKLKNNKKITIHANKDRSTPGSRHYLYSEDVARSVMFITENYEKGPKCLKVNIPGTKELDNLEVAKLISEFSGFNLDYEFHSSRPGHDLRYAIDGEFITSA-GWGPKYTVEDSLEKLVKWYMKNPEWLE---- 171912314 ---KKALITGITGQDGSYLAELLLAKGYEVHGIIRFNTSRIDHLYTDPHFDGDLTDSVALVKLLYALKPDEIYNLGAQSHVRVSFDIPESTGDIDGLGTLRILEAIRETEKVRFYQASSSEMFGKVQEVPQTEKTPFWPRSPYACAKVYSYWLTVNYRESYNLHASNGILFNHESPRRGETFVTRKITRAATRIKLGLQDKLF------LGNLDAKRDWGFAKDYVEMMWMMLQQDQPDDYVVATNETHSVREFVQETFSCLD--------LDWELYVKHDQRRPAEVELLIGDPAKAKRQLGWEPKVRFKELVKIMVD-------------- 137694871 ------------------------------------------------------------------------------------------------------------------MFSSSATVYSPKEESPLYESYKVDPIDPYGKTKLAVEHLLRDFIETQNWKIICLRYFNPIGAHYSGRIGESPRNPNNLFPYMCEVAIGKRKLLKIYGNNWPTHDGTCIRDFIHIMDLVEGHLAALEYLIENDKAKYFQIGTGKGTSVLELIQTFESVNKLKLNYKFSERRMGDKAIVYSNCDLAKKILNWEAKKNISEMCKDGWNWQYNNPNGY----- 148546719 -SGRRVFLTGHSGFKGGWLALCLREMGAEVYGYDTSPYGSARLAECVAGEFADVRDADRLLRSVAAFRPEIVLHLAAQPLVRESYRSPAQTYATNVIGTLNLLEAVRKCDAVRAVLVVTSDKCYENREWPYREQDALGGHDPYSSSKACVELLCASWRESF----LRERGVALATARAGNVIGGGDWSADRLLPDILRAWEA--------GESVTLRYPDAVRPWQHVLDPLEGYLLLAQALIERGEAWNFGPDSGGTATVGELVHAMAQLWPGEAGWSVDPYQPHEAGLLTLDSSRARQRLGWRPKWGLKQSLRHTLEWHRAWRDGQDMQQ- 307825869 --NKIALITGITGQDGAYLAEFLLQKGYIVHGIKRFNTDRIDHLYQDPHVKGDLTDATNLIRIIQQVQPDEIYNLAAMSHVAVSFDTPEYTANADGIGTLRLLEAIRIEKKTRFYQASTSELYGLVQETPQKETTPFYPRSPYAVAKLYAYWITVNYREAYGMYACNGILFN------------HESPIRGETFVTRKITRALARIKLGLQDCLYLGNLDALRDWGHAKDYVEMQWLMLQ----QEQAEDFVIATGVQYSVRQFVDIAAKELGIRCIVQVDARRPTEVETLLGDPSKAKEKLGWTPKITFHELVAEMVR-------------- 137694737 --------------------------------------------------------------LFQLEKFDVVCNLAAQAGVRYSIKNPRAYIDSNIKGFFNILEACRDYKVKHLIYASTSSVYGKNKKVPFKTDNVDHPISLYAATKKSNELMAYAYSNLYNIPTTGLRFFTVYGP----------WGRPDMAIYLFADAIANNRSIKVFNNGNMSRDFTYIDDIVNGIEIILKNPPDIDNIDTS--YRIFNIGNGKSETLSDFIKAIENCFNLKAKKKYLKMQAGDVPQTWSDIGELEK-LGYKNRTGIEEGVKKFVEWYRLYHN------- 254384424 ----KAVVTGGSGFLGSTIACRLIEEGHDVTVLDLKPIGTKGLRPDARFVQGDVRDSELLMKTFQ--DAEEVYHLAGVLGTSELQETPQEAIDVNIGGTVKVFEAAGTCGVPRVFYPGKPNVW----------------LNTYTITKAAAESFAQMANESGPSRICSLRYYNAYGPGQALLPIRKIVPAFAAQAM-------RGLPIQVYGD------GEQIVDMVYSHDLADMTIRFTRTERTD---VIPDCGSGISVSVNEVAASVNEHFGNAAGIRHLPMRPGEVPRTVADMTELREVLG-EPRLSYTTSLAETLDWYARLP-------- 136119864 MEKKTALVLGAGGFIGSHMVKRLRSEGYWVRGVD-LKRPEYSDTEANEFIQGDLTDVSLVHRVIRFYQFAADMGGAGFVFTGENDADIMRTINLNVLEEQRRFNESHDVNQTKIFYSGSACMYPDPDNPDCREESAYDPDSEYGWEKLFSERLYFAYNRNHGIPVRVARYHNIFGP--------EGTWEGGREKAPAAICRKVAYLPEVGGCVEVWGDGLQTRSFLFIDECIEATRRLMDSDFM----GPVNIGSEEMVTINQLVETAEKISGKVVKKMYKLDAPTGVRGRNSNNDLIREKLGWDYSQTLEEGIRKTYNW------------- 135540687 ---KNILVTGGTGYIGSHTATLLLEMNYNVIIIDNLINSNYSVLQKIKFFNKNLCD--NIDRIFEEHEIDAVIHFAGLKSVNDSISNPLYYYENNLVSTINLLKTMQKYNCNHLIFSSSCTIYGSQTVPPVKETDNQNITNPYGQTKYMLEKIMLDYCKSNKCKIIALRYFNPIGAHP----------------------------------------------------------------------------------------------------------------------------------------------------------- 139003479 ----------------------------------------NKIIKDTNIISGDIRDHDFLNK--HTKNIDIIFHLAALIGIPYSYHAVKSYIDTNATGTYNILNAAKANNISKTIITSTSEVYGTAQKIPILENHPLSAQSPYAASKIAADQLALSFYRSFKLPVTIIRPFNTFGPRQS---------ARAIIPTIITQILQKKNIVKL-------GNLTPTRDFTYIEDTVDAFYNTIKANKIAGEVINI--GNNFEISIKGILEIFKKDFGYNFKVRTDKKRLSEVYRLLASNKKAKKILKWLPKYSFKEGLKKTINWQTKNLKYYKSD-- 260788448 ---KVALVTGVNGQDGSYLAEFLMNKGYIVHGIIRFNTGRIEHLYSNPMIHGDMTDSTCLTKIISEVQPDEIYNLAAQSHVKVSFSLAEYTADVDGVGVLRMLDAIRATKKIKFYQASTSEMFGLVQEVPQKETTPFYPRSPYGAAKLYAYWIVVNYREAYNMFACNGILFNHESPRRGETFV------TRKITRGVAKILLGIQTEVVLGNLDAQRDWGHAKDYVRAMWLMLQCEKPEDFVISTGTVYSVREFKHIGVDIIWEGKGLEEVGKDSSTGTVRVRRPAEVEFLQGDSTKAKNVLGWEPYVSFEELVAEMVE-------------- 256827455 -RGKRVFITGHTGFKGSWLCRMLVHAGATVHGYDDTFSLFTIANIGQDIEFGDIRDVSALERAFNRAQPDIVIHLAAQPLVRESYQHPRETYEINVMGTVNVMECARKTNVQSILNVTTDKVYRDNGNQVFSEEDFLDGADPYSNSKSCSELVTHCYQRSFLSSAGAALST----ARAGNVIGGGDFARDRLVPDCVRAVLADRP--------IVIRNPRSVRPFQHVLESLGAYLMIARAQYDDAAAGWYNVGPRYEDCLSAGALADEFCTESARWEHHGDNGPYEAARLQLDSTLIRKTFGWHPVWDVHEAIYRTVEWTRAW--------- 134397382 --NMKYLVTGAAGFIGFHLCQSLLQTGKSVRGIDDINSYYDIKLKKERLKNLDISNFNNLKKTFSLFKPDVVIHLAAQAGVRYSIKNPHAYTKSNLVGFANILECSRLLNIKHLIFASSSSVYGNTKNIPFKEDENLNPISYYAATKLSNEVMAYSYSHLYRIPITGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 141819969 ----KILITGGSGFIGSHAVDRLLDLNYHVVNLDSLTYAFAEKNSNYTFIKGDIKDIKFLHQLFEKYKFNKVIHFAAESHVDNSIKDPFNFVKTNVEGSLNLLEVCKVNWKNNFYHISTDEVFGNEVEESWKETSPYDPRSPYSASKASSDHFVRAYYHTYGLPIKISNCSNNFGPRQ----------------------------------------------------------------------------------------------------------------------------------------------------------- 135618937 --SMNILVTGGLGYIGSHVSLALAELGFNVHIIDNLDKNIQETSSNIFIHYCDIREEEQLNLLFESIEINTIFHFAGLKSVPESFLYPQKYYKTNVQGTDNLLNAFNRSNKKKFIFSSSASVYGKPTYLPVDEKHPTSPMSPYGETKVAVEEKLKKTFEEEGNWSFISRYFNPVGDKLNYFYNQTNSYKEKNLFENISDVLKGKEEMKIYGRDYDTPDKTCIRDFIH---------------------------------------------------------------------------------------------------------- 144081112 ---KTALITGITGQDGSYLTEFLLSKGYRIHGIIRRNTDRINYLLNNPYHYGDMTDFSSLTSIIQETRPDEIYNLAAQSHVKISFSNALYTSDVDALGVTRLLEALKMINTTKFYQASTSELYGEVQSIPQRENTKFSPRSPYGVAKLYAHWILKNYREAYGLFACSGILFNHESPRRGENFVTR-----KTTKVLSEIKNGKRSAPLELGNLDAKRDWGHAKDYVEAMWLMLQQEHPNDYVVSTGEQHSVCEHIGFNIEWIDEVATIKETGEVLVRVNPDYYRPTEVDSLVGDPTFVKNEIGWEPKYSFTDLVKEMCD-------------- 124485659 ------IVTGGAGFIGSHLVDLLVENHHQVIVIDSMVAGREKNLAEITFVQADLLE-DGWQKHFAGA--DRVYHIAADPDVRGSARKSFEVYENNVTATIRVLEAMKEHGVKEIVFTSTSTVYGEASVIPTPETYSPMPISIYGASKLACEAMISSYAATYGWKAWVYRFANIVGARSTHGIIYDFVQKLRANP----------KELEILGDGRQSKSYLAVENCVKAMIFAPEVSNDTFNFFNIGSEDWVNVKRIAELIVEEM-----GLENVKFNFTGGDRWVGDVPLMRLGVDKMKS-LGWDPEITSEESVRRAIR-------------- 195970730 ----RVLVTGASGFIAGRLVERLRRDGHRV------RAAGRRPAAADEFVQADLRDAEQCRR--AVEGTDVVFALAAMGGIGWTHHAPAEILRDNLLITTQTVEAARAAGVTTLVYASSACIYPRPDSPALREDQPADPDMSYGWEKLTGETLCATYRDAFGMDIKVARLHTVYGPGA--------AWSGPRAKALMALCAKVAAIDGNAGTIEVWGDGTQTRSFCHVDDCVEG----LTRLAASGVTVPVNIGSDERVTIADAVRLIADAAGKEVTMSFAPHQPVGPLGRSSDNTLCGELLGWTPGVPLAEGIRETYHW------------- 225165868 ---KKALITGITGQDGSYLAELLLAKGYEVHGIIRFNTSRIDHLYKDPHVNGDLTDSVQMVKLLYDLKPDEIYNLAAQSHVRVSFDVPEYTGDVDGLGAQRILEAIRETKKVRYYQASSSEMFGKVQQVPQTETTPFWPRSPYGCAKVYAYWLTVNYRESYNLHASNGILFNHESPRRGETFVTRKITRAATRIKMGLQDALY------------MGNLDAQRDWGYAKEYVEMMWLMLQ----QDKPDDYVVATNETHSVKEFIQETFDHLGLDWYVKYDARRPAEVELLIGDPAKARKQLGWEPKVRFKELVKIMVD-------------- 135357515 LSKKLIWIAGHEGMVGNAITRRLLSEDYEIITAGKRS--------------LDLRDSESVLKWMINNKPQVVIIAAGVGGIHANIHYPAEFLYDNLMIEANIIHCAWKCGVEKLLFLGSSCIYPKNVSQPITEDELEASNEGYALAKIAGIKLCQSYRQQYGVDFISVMPTNLFGPG-DNFHPENSHVPAALLARFHNAKIKDEKEAVVWGSGIV------KREFLYIDDLADALIYLL---NNYSDFQHINVGTGTDITIKDFASKVQQCVGYKGKIIFDKSKPDGIRQKRLDISKMSQ-LGWKSKISLDKGLAKYYEWYKENINTI----- 135048073 -EPMKVLVTGTAGFIGCDVALRLRARGDEVIGLDNVNDYYDVSLKHARDVRADLADRDAVERVFAEHRPERVVHLAAQAGVRYAAENPHAYIASNVTGFLHVLEGCRRHGVAHLVFASSSSVYGANRVMPYSEHAPTEPLSLYAASKKSNEMMAHSYAHLYGMPTTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 85860473 ---KRVLVTGASGFIGRHCLPILLARGFDVHAVDIIIPENNFC--GVQWHDVNLLNKERALELIETIHPTHLLHFAWYAKAGEYWHSIENI--RWLEASLHLLQAFQKTGGVRFVMAGTCAEYDWNFGYCSEFVTPLVPSSLYGHCKNTMQHLLKDFSRETHLSSAWGRIFFLYGPHENPN-----------------RLVSSVISNLIHNRVAPCSHGNQIRDFLHVKDAASAFVSLLMSNVD----GPVNIASGKPIALKEIVLTIADMLGKNHLVKLGPQRENDPPVLFGNTRRLFNEVVWQPDYDINQGIRETIDWWQE---------- 187921347 LRRKTYLVTGARGFLGTALSARLRAEGHIVRA----------GVSAAPIRDCEVACPASVSEWQAIEGCDGVFHLAWSTVPGSANKAPLSDLETNLLGTVRLLEAIRQQPNTKILFASSGAVYGTPTRIPIDEDHSRSPLGAYGAAKLAAETYLDVYRRQWQVDARIMRLSNPYGPGQ--NINGNQGAATIFAARAVKQQ-----------TIDIWGEGDIVRDYLYIDDAIDAFSRFMNTDAAVFENAMLNVGSGKGISLNEIILTIERILKRKIKVQYSPSRGFDVDVNVLDVTHAYHLIGWRPKIGFAQGMAQCLA-YLK---------- 142235723 MNYKKALVTGGAGFIGSHLVETLHKNKVEVLVVDNLLTGKKENLASLDLHNGDVGSEDTLENI-KNFNPDACFHLAAQSSVVISVEDPLLDFEHNLLQPVQLIKTLLETDCKKFIFTSSGGTFGEPEVIPTSEDDYAEPVSPYGLAKKKLNELIEIMLQDEEMSYSILNLSNVYGPRQD------PHGEAGVMSIFTRKLLNNETPT-------IYGDGEQTRDYVYVKDVVDALIKSSELDED----LFLNIGTGVETSVNEMVSILSQKISWDGEPEYAPKR------------------------------------------------- 291615357 -KTRKVLITGVTGQDGAYLSELLLDKGYEVHGIKRFNTARIDHLFHDLHEHGDMTDSSSLTHIIQKVQPDEIYNLAAQSHVAVSFEEPEYTANSDALGSLRILEAIRMTKKTRFYQASTSELYGLVQETPQKETTPFYPRSPYAVAKLYAYWITVNYREAYGIYACNGILFN------------HESPIRGETFVTRKITRALARIKLGLQECLYLGNMNSLRDWGHAKDYVEMQWLMLQ----QDKPEDFVIATGVQYSVRDFVNAAAKELGMEIRWEVDPRRPTEVETLLGDASKAKNKLGWTPKITFDELVSEMVR-------------- 218462823 LSNKKIWVAGHRGMVGSALVRRLHSENCTVV--------------TATRQELDLKRQDEVERFVQTNRPDAIILAAAVGGILANGTFPADFLYDNLIIEANIFEAAHRSGVDRLLFLGSS---------------WSRPTNGMPSPRLPGIKLAEAYRKQHGRDYISAMPTNLYGPGDNFDLQSSHVLPALIRKAHVAKVTRAP-------EITIWGTGTPRREFLHVDDCADALVFLLR---NYSDAQHVNVGSGEDIEIVELARLVCRVVGYEGTIAHDLSKPDGTPRKLMSTDKLKS-MGWKPRMSLEDGVRGVYEWFLQF--------- 136247826 --SKRILITGITGFVGSHMADYLIQNKNKIFGIKRYHLSRLDKVQHINWYDCDLTDPVSTQTMIKDINPNIIFHFAAESFVSPSWQHPHRYMSVNYNGTLNILEMKKIKSKARILIPGSGEEYGLESQMPIDEKTELNPVNPYAVTKVAQDFIANVYFESYGLNVIRVRTFNHEGPRRENVFGISSYAYQI-----------AKIEAGLQKKIVNVGYLGDKRNFTHVYDIIKAYWLAVQKCK---PGKMYLIGNNDKKSIYTFKQALDKLKKISFVKNIKHRRPTKVPFLITNSKEFSKLTKWKPKISFDQILKDTLNYWRQ---------- 239628579 -KGKRVLVTGHTGFKGAWLCRMLGLAGAQVTGY-ALEPPTDPNLFEMDSVIGDIRDLKHLREVFDRVRPEVVFHLAAQPIVRDSYKEPVYTYETNVMGTVNVLECVRTESVRSFLNVTTDKVYENREWEYYRECDPLDGYDPYSNSKSCSELVTHSYQKSFLADGRCA----VSTSRAGNVIGGGDFANDRIIPDCVRAAAS--------GKDIIVRNPHSTRPYQLVLEPLAVYLTIAMRQYEDGKFQGYYNDDRDCVTTGNLVDIFCRAWDGGM--TWVDRYDGGPHELKLDCSKIKRVFGWRPRYGVEQAVEKTVEWSKAY--------- 134783571 ---KVALISGITGQDGSYLAELLLQKGYEVHGIIRRCSLINTHRIDHIYPQGDLTDSTNLVRVIQQVQPDEIYNLGAQSHVKVSFEMPEYTGQTDGLGTLRVLEAVRMEDKVRIYQASTSELYGKVQEIPQTETTPFYPRSPYGVAKLYGYWIVKNYRESYGMYACSGILFNHESPRRGETFV------TRKITRALKAISEGKQDCLYLGNLDALRDWGHARDYVEAMWLMLQQDKPDDFVIATGKQYSVRQFVEEAAPNFEMLIRWEGDGLNEVGIDVETFRPAEVETLLGDATKAKEKLGWKPKTDFKELVAEMCR-YESYYE------- 140226718 ----KILVTGGAGFIGSAVIREIINNTHSIVNVDSLSYSGNDKNERYSFEHADICNRTDIKSIILNYQPDIIMHLAAESHVDKSIDGPEEFIQTNIVGTFSLLQEALFYWQFRFHHISTDEVYGDFSDKMFTEDSHYAPSSPYSATKAASDHLVRAWNRTFGLPTIITNCSNNYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 53804758 MTRKIALITGVTGQDGAYLSEFLLGKGYEVHGIKRFNTDRIDHLYQDPHHHGDLTDSTSLVRIIQKVQPDEIYNLAAQSHVAVSFEEPEYTANADGIGALRILEAIRMEKKARYYQASTSELYGLVQEVPQKETTPFYPRSPYAVAKLYAYWITVNYREAYGIYACNGILFNHESP------VRGETFVTRKITRAIARIALGLQECLYLGNLSALRDWGHARDYVEMQWLMLQQDQPDDFVIATGVQYSVRQFVDFAAEELGISLAWEGEGESETIVRVDPRRPTEVETLLGDASKAREKLGWRPQTSLRELVKEMVQ-------------- 170590272 ---RNVLVTGGCGFIGSNFVNYIFRTQTNIVNIDKLTFYVNEEVIESSRYKLDIRNCALVERILNENKIDTVIHFATDCTSTRCYDDPVESIENNVIAFIQFMKCIQYKKIERFLHISTDEVYGDADEKGKIEDAVLLPGNPYAATKAACESYAHICCDLFAMPIIILRINNIYGPNQ----------------WDVKVIPRFIKLAKNMEKFTVQGSGKQLRSWLYVDDAAEGIRKAVE---SGKIHEIYNIGTYFEMNVIDLAHVIQAEVDRQLGRSLTPDRPYNDLRYLLDYSKISLDTGWSPKVSFEEGISRVI--------------- 143192257 --SKKILVTGVAGFLGSHLSERLVSLGHKVVGIDNMLGGYEDNIPNNIFHKGDCCNFEQVKSIMK--DIDVVYHCAATAHEGLSVFSPFEITKNNYLASVSIFSAAVNEKVKRIIFCSSMARYGD-QVTPFTESMKPKPVDPYAISKVAAEEVLKNLCELNGIEWVIAIPHNIIGPRQ------KYDDPFRNVVSIMINRMLQGKAPIIYGDGEQTRCFSYIDDCL----------------------------------------------------------------------------------------------------------- 141162546 ---------------------------YYDVELKKKRNAILNQYSNFHFEKFMLEDADKLENIITTFRPNFVFHLAAQAGVRYSIDHPKSYLDSNIVGTFNLLETLKSRKCSHLLLASTSSVYGSNVNLPYEENNKDNPLSFYAATKKATEVMAHSYSHIYRLPTTCFRFFTVYGP----------WGRPDMALFKFTEAILNGEAINVFNNGEMWRDFTYIDDLVEAICRLRKSDYSSDSKSNSAPWRVVNIGNANPVKLLDFISVVENELGIVAKKKYLPMQVGDVEKTSANTDLLFSLTNYIPTTDIKIGIKNFVNWYIDHYN------- 294053938 -SGKRVLLTGHTGFKGAWMAEWLLDLGAEVVGVSNTSPSLFEQLNRMEHHIADIREADRLHAIVQEAQPDIVFHLAAQPLVRYSYEQPVETFASNVMGTVHLLEALRFKRCQAVFITTDKCYENLEQRRPYSEEDRMGGHDPYSASKGAAELVIASYRRSFFEPAKYSHQIALASARAGNVIGGGDWALDRILPDCMRTLAMEKP--------VPVRNQYATRPWQHVLEPLGGYLLLGEQIEAICSGFNFGPDPEANRPVRDLVEKVLTVWPGSWEDQTQPNAPHEAGLLNLTIHKAADLLGWQPVWDFDTAVEQTVRWYK----------- 139096020 -------------------------------GIDNLNAYYDVSLKKDRFFKINIANKKKINENFLDNSYDIVIHLAAQAGVRHSIQQPDDYLQSNIVGFFNIIEASKKIAIKHFLFASTSSVYGAGKKFPLKEEYNTDPLSFYAATKKSNEIMAFTYSNIHKLKCTGLRFFTVYGP--------YGRPDMALYKFAEKINKGKNIELYNYGKHE--------RDFTYIDDVVKSISKLINKPSKDKIPYNILNVAGDPQKLTYFLKEIENAIGKKAKIKYRGLQQGDVIKTYASSTKLKRKIGFLPRIKIEKGINFFISWYLNY--------- 143736268 -KNERILVTGSSGLVGSNLIRKLSKYNNNVFGIDSKN---------------DLRIPENAFKLFSEFKPTIVFHLAAKVGGIHANYNFADFYSDNVLINTNVVNACVQNNVYIFAMGTGCAYPKRLENQILFEKDFLDGIDAYAYAKRGLLVHLKALYESKILKYTYCLPANIYGP-----YDNFHPLNSHVVPGLIRRFI--DCKNKNLNEIIIWGDGSARRDFLYIDDCIDAMIKLAELNF----CGPVNVSSNKLTSIKELSEQICNATNFEGIIKYDKTKLSGQSQRIMDCSK-MSELGWYPKINLPSGIKKTVSWFNENRLSFREK-- 142624699 -KNKVALITGITGQDGAYLAELLLDKGYEVHGIKRFNTDRIDHLLYFHFHHGDMTDSSSLVNIISKLRPTEIYNLAAQSHVQVSFEEPEYTANADGIGVLRILEAIRSEDHTRFYQASTSELFGKVLETPQSETTPFYPRSPYGVAKLYAYWITVNYREAYNIFACNGILFN------------HESPLRGETFVTRKITMALARIKIGSGEVLRLGNLNALRDWGHAKDYVRMQWMMLQ----EDKPDDYVIATGIQYSVREFVNATCAALDIKITWDGEGFRPTEVETLLGDFSKAKEILNWEPSISFEELVKEMAE-------------- 86748653 LTGRRVWVAGHRGMLGSALVRRLSRENCEI-----LTVGRDE---------LDLRHQTKVQEWFSSERPDVVILAAAVGGVLANSKYPASFLSDNLSIQDNVIQSAAAAGVKKLLFVSSSCVYPRLASQPIEEDAALEPTNRWYVAKIAGMMQCAAYREQYGCDFIAAVPGNLYGPGDYFDKENSHVIPAFLRRFHDAVTTGAD-------EVAVWGSGTARREFVFVDECADALVFLLRSYSS---GEIVNIGSGVDVSISELAHLVADVTGFRGRIAFDTSQPEGAPRRLLSTKRL-GELGWQSQMQLREGLSRTYQWFLDN--------- 119899876 --KKVALITGVTGQDGSYLAELLLAKGYEVHGIKRFNTDRIDHLYQDPHEEGDMTDSSSLIRIIQQVQPDEIYNLAAQSHVAVSFEEPEYTANSDALGALRILEAIRIEKKSRFYQASTSELYGLVQEIPQKETTPFYPRSPYAVAKMYAYWITVNYREAYGIYACNGILFN------------HESPVRGETFVTRKITRALARIKLGLQDCLFLGNLNAKRDWGHAKDYVEMQWMMLQ----QDKPEDFVIATGVQYSVREFVDAAAREIGIRITWKGEGFRPTEVETLLGDASKARRLLGWTPRISFSELVSEMMR-------------- 135506665 -----------AGFIGSHLIERLLSDGKEVFVFDALNLREVKNHPKMHYFEGDIRNVEHMKEFFVS-DASMIFHLAAVVGIKHYLDDPLKLIDISVGGTRTILELAKENR-NKLIFTSSSEVFGRNPKIPWSETDDLGPTSVDRWSKAVCEHMIYGMYHKFNLPFSIVRIFNAYGPRQNPIFVLSQS----------VHKALRGEKPLLYDDGSMTRCFTYIDDIIEGVVRIANNPKAVGESFNLGNTVETTMKFVVESVIKAVDPCLG--YDVFNTVEKYGDIYEDIGRRVPDASKAKEMLDWHAVTQYQEGIEKTVEWSKKNPWWLE---- 136438741 ----KIYVAGHRGMVGSAIVRYLLDHGIQP-----------KQIITRTHADLDLGHQEEVQSFFAKEKPTQIYLAAAVGGIHANNTYPAEFIYNNLMIQANVIDAAFKNGVKKLLFLGSSCIYPKLAPQPMREDTLESTNEPYAIAKIAGIKLCESYNRQYGA-SHGVDYRSVMPTNLYGPGDNYHPENSHVIPSLIRRFHEAKVSQSPIVAIWGTG--TPKREFLYVDDMAAASVYVMNLPKPTYDQHHINVGYGQDVSIAEAAQTIAQVVGYTGEVTFDTSKPDGTPRKLMDSSRL-NTLGWQAQVPLLKGLNQAYQDFL----------- 310636101 ---KRALITGITGQDGSYLAEHLLELGYEVHGIDRLNR-LSNVLEDLHLHAASLESFPSLFSVITEVQPDEIYHLAAQSFVSYSFDDSFSTFRTNIDGTHFILECVRRNPQCKVYFAGSSEMFGQVHESPQTEQTRFYPRSPYGISKVAGFHMARNYRESYDMFVASGILFNHESPRRGLEFV------TRKITSHVARIKRGELNTLPLGNLDAKRDWGFAGDYIKGMHLMLQQDQPDDFVIATGETHTVREFCKLAFET--------AGLNYEDHVVVDPRRPAEVHVLMGDASKAEKELGWKPNVRFEELVPMMVQ-------------- 86131120 -KEAKIYVAGHRGLVGSAIVKALTAKGYHHIV-------------TRTHQELDLTDTLATATFFKTEKPAYVFLAAAVGGIIANNTYRADFLYLNLMIQNNVIHQSYKHGVKKLLFLGSTCIYPKNAPQPMPEDTLEYTNEPYAIAKIAGIKLCESYNLQYGTDFLSVMPTNLYGYNDNFDLEKSHVLPALIRKMHLAKLLSEGKNDEVCKDLEVWGTGSPRREFLWSQDMADACVHIMEKDSDEVRNTHINIGTGIDISIKELAMLIKSTVGFKGKLAFDTSKPDGTPRKLTNVDKLH-ELGWKHTVNLEEGVVNLYNWYLK---------- 143499897 ----RLLVTGGCGFIGSNFISIALKKKIRIINIDNLTYANHPNDKNYKFFKLNILDEKKILKILNKFKPDGIIHFAAETHVDRSIKNPEIFFKSNTIGTLRLLNACRIFKKFRFINVSTDEVYGTIKEKSFSEKNKFFPNSPYSASKASSDHIARAFFRTYKMPIITTNCSNNYGPNQY---------REKLIPLIIHNALKKKK-------LPIYGNGKQIRDWLYVGDHCNAI---LKIFYKGKVGEVYNIGGQNEIRNLDLVKKICIILDKKMNLNKKED-------------------------------------------------- 136120063 IKNKKILIIGGAGFIGHNLAIKLKSLKAKVVIVDGLKINNIESLKKNPLKKVDARNYHKLSKIFSEFNPNVVVHLAAVSHANRSNKDPHSTFDHSLRTLENALDNSR-GIVDHFIFLSSSMVYGNFKKKIVYEDSQCNPLGIYAALKFSAEKIIKAYNQVFELPYTIIRPSALYGERCISRRVGQIFIENCLNKKKINIEGDGKEKL----------------DFTYIQDLIQGIVKIIENKKSLNNTFNITYGEAQPI--NKLIKILKEDF-PKLKVKYSKRDKLMPKRGTLSTSKAKKLINYKSNWPLEVGYKEYINWYKQ---------- 304399003 -----IIVTGGAGMIGSNIVKALNDRGHTDIVVDNLKDG----TKFANLVDLDIVDYMDKEEFLMSVPIEAVFHQGACSSTTE--WDGKYMMENNYQYSKELLHFCLEREIP-FLYASSAATYGGRNENFIEERQYEQPLNVYGYSKMLFDHYVRQMLPEANSPVCGFRYFNVYGPR------EGHKGSMASVAFHLNTQISNDENPKLFEGSDGFK-----RDFIHVDDVAEVNLWCWE----NGVSGIYNCGTGRAESFQEVADAVLKFHQKGQ-IEYIPFPERYQAYTQADLTKLRAV-GYKPFKTVAQGVADYMVW------------- 137072316 -------------------------------------------------------------------DIDQVIHLGAQAGVRNSIKEPHDYMNSNLAGQLNILEYCRNNNFKKLIYASSSSVYGANAKIPFSVHDKTHPVSFYGATKKACEVMTHSYSSLYKIPSIGLRFFTVYGP----------WGRPDMSPYLFTKSILEGKEINVFNNGDM------RRDFTFVDDVVEGIIGALGKNLNAGDHKIYNLGNNKPVKLMDYIQIIEKTCCKKANLKLLPMQPGDVFETYADIEISKEELGFVPKTDLNEGIRRFVEWFQQYHK------- 118595002 -KNKSVLITGHTGFKGGWLALWLNSLGAHVHGYSFFVAANVESLLASHTV-ADIRDAGMLQTTIKSVQPDIVFHLAAQPLVRQSYIDPVETYTTNVMGTVNLFEAVRKMSTVKALINVTTDKCYENNGSPFVEGDSMGGYDPYASSKGCSELITNSYRQSF----LADKGVAIASARAGNVIGGGDWSVDRLIPDFLKAVDS--------GQELVIRSPSAIRPWQHVLEPLKGYLQLGEKLLKEGQPFAEAWNFGPSVKDAKTVEFIVKKLNQAFWSMDGSPQPHEAHYLTLDSTKANNKLKWQPRWTLDNTVDGIIAWHKAWRNGDNMQH- 144040656 -----ILVTGGAGYIGSHTLVSLLEASQNVVVYDNLVNSSETSLKRFDFINADIRDKAALAQVFKDYQIDSVIHFAALKAVGESAIFPLKYYETNVYGSICLLEAMIEASVNNLVYSSSATVYGESNPIPYVETMALGPSSPYGASKVMVERILEDAKANPDFRAVSLRYFNPIGAH------------------------------------------------------------------------------------------------------------------------------------------------------------ 135346285 -KNKHIFITGIAGFLGSNLSDYYLKKKYKVSGCDNLIGGDMSNIKKINFYKGNCENLEFMTKITKGV--DVLCHAAAYAHEGLSSFSPTLICNNNVTGSTSTFTAAIRNKVKRIVFCSSMARYGNIKS-PFHENNKVNPVDPYGVSKVAAENILKILSSTHDIEYNIAVPHNIIGP------KQKYDDPYRNVVSIMVNLMLQNRQPLIYGDGEQTRCFSDIDDCIYCLDKLLFDKDIKSQTVNIGPDEEF-------ITVNKLYEKLSNLMKFNLNPIYAEDRPNEVKHAYCSSEKARKILDYKTQVNLEMSLKKIIDF------------- 307353532 MDKENIYVAGHKGLLGSALIKKLHSEGYTNIIFKN-------------HDQLDLTNQNAVDDFFKNETPEYVFLAAGLTGILANKTYPATFLHTNIAIQDNVFQAAVKYDVKNLVFYASSCIYPKECSQPIKEDYIEETSEGYAIAKTAGVIGCRTYNRQYNSRFIALLPNSMYGPNDNFDLENSHVLSALIRKIHDAKNNNDQD-------ITLWGSGNPRREFIYCEDVANASIFAM-KNSEILQNRHYNIGTGVDYSIKELAEIISEIIGYNGQIKWDTTKPDGVKQKLLDITEFLN-LGWEPRVEIRDGIKNTYDWYLQNINN------ 154247696 ------LVTGGAGFIGSNIARAAAEDGYRVVVADWLEDGPKWRNISDIALH-DVIRPETVNAFVEKERLAAVVHMGAISATTE--RDADKIVARNIRSTLDLWELCARKALG-LVFASSAATYGDGTSGFVDSEEALAPLNPYGWSKLFVDRRIMADVRPRPPQFAGLRFFNVYGPG------EGHKGDMRSVVHKIYPAAAAGEDVTLFKSHDPYEDGGQLRDFVHVGDCVEVVRWLLESPDVSG---IFNVGTGEARSFADLARAVFSALGEEPRIAYVDMPESLQKAYQADVSKLRAA-GFRPFTSLEDGVASYVNGHLK-PRN------ 140719670 MKNCKSLVTGGAGFIGSNLVDRLLEMGHEVVVIDNYSDAHDQWNDKAQNYKYDIRDYENTRPLYDGV--DYVFHIAAEARIQPAILNPIEAVSINSVGTVTVLQCAREAGVKRVMYSSTSSGYGLNQ-TPNIETQPDDCLNPYSVSKVNGEKLCKMYTSLYGLQTVCFRYFNVYGERQ------PLRGQYAPVIGIFLRQREAGEPLTIVGD------GNQRRDFTYVGDVVQAN-------------------------------------------------------------------------------------------------- 86138104 ----KIYVAGHRGMVGGAILRQLEAR---------RAGGEDLQLVTRTSAELDLTNQQQVQSFMQAEGPDQVILAAAVGGIVANNSYPAQFIYENLMMECNVIHAAYEAGVKQLLQLGSSCIYPKLAAQPMAEDAVLEPTNPYAIAKIAGIKLCESYNRQYGVDYRSVMPTNLYGPG-DNFHPDNSHVLPALIRRFHLAKLNGDASVTIWGSGAPRREFLHVDDMAAAALFVLDLPQATYASETQEMLSHINVGCGTDISILELAQLVAQVTGFEGEILTDPSKPDGTPRKLMDVTRLER-LGWKASIELNDGIAETYQWFLK---------- 186477220 MTQKVALITGITGQDGSYLAELLIDKGYEVHGIDHLYRDVHDPDQRLQLHHGDLTDSTSLLRIMQRVEPDEVYNLAAQSHVAVSFEEPEYTANADGLGTLRLLEAIRICKKTRFYQASTSELYGLVQEVPQRETTPFYPRSPYAVAKLFAYWTTVNYREAYGIYACNGILFNHESPVRGETFVTRKITRAIARIAVGLQDQLY------LGNLSALRDWGHARDYVEMQWMMLQQEQPEDFVIATGELGITVRFEGSGVHEVGIVEHVERRHRAEMRVRVDPRRPTEVETLLGDPSKAQQRLGWTPATPFEALVKEMV--------------- 206890367 ---KKALITGITGQDGSYLAEFLLSKGYEVHGLIRFNTQRIDHIYIDPHHYGDLSDSGQLVHIIYNIQPDEIYHLGAQSHVRVSFDMPEYTGDITALGTTRLLEAVRRSGIKTRFYQASSSEMFGASPPPQNEKTLFYPRSPYAAAKVYAYWVTVNYREAYGLFACNGILFNHESPRRGETFV------TRKITRALAHILAGKQKKLYLGNLNAKRDWGFAPEYVEMMWLMLQADEPDDYVVGTGESHSVREWKGSGTDEKGIVSSLKENLDIEQRIEIDPRRPTEVEHLEADITKAKNKLGWQPRTTFDELVKIMVDYDMKF--------- 242039695 -EKLRISITGAGGFIGSHIARRLKSEGHYIIASDWKKNEH--MTEDMFCHEFHLVDLRVMDNCLKVTQVDHVFNLAAMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPLDTNVSLKESDAWEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGP-----FGTWKGGREKAPAAFCRKAQTSTERFEMWGDGLQTRSFTFIDECVEG----------VLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFEDRKLPIHHIPGPEG-VRGRNSDNTLIKEKLGWAPTMKLKDGLRFTYFW------------- 144216319 MANNKVLITGITGQDGSYLAEFLLDKGYEVHGIDHLYQDPHETNRKFILHYGDLADSMSLVRLIQEIKPDEIYNLGAQSHVAVSFESPEYTADTVGLGALRILDAIRTEKKTRYYQASSSELYGEVKEIPQKETTPFYPRSPYAAAKLYAYWITINYREAYGIYACNGILFNHESP-----VRGETFVTRKITRALARISLGLQEKLYL-GNLDAKRDWGHAKDYVEMQWLMLQQEKPDDFCIATGDFVNFTWGHLGKKILWKGEGQDEKGYDSETIVEVDPRRPTEVETLLGDPSKAKEKLGWEPKITLEEMVHEMME-------------- 141461361 MRNKKAVVTGGAGFIGSHMVDLLLKKNFYVNVIDDLSGGTINNLKNHNFEKKDLCKLNVKHKFF--LGCDYVFHFAGKGDIVPSIENPIKYLDVNIMGTARVLEAAKKAGVKKLVYSASSSCYGMAK-TPTSENDTINPLYPYAMSKYLGEKLCFHWFKLYKLPVNSIRIFNAYGPRVKT------TGVYGAVFGVFFKQKLEKKPFTIVGN------GNQKRDFVFISDVVD---------------------------------------------------------------------------------------------------- 136411854 ----KILITGISGFVGSHMADLLLQKKNKVYGLKRYHLSKLDNIKHIHWVDCDITDPKSAKIALNNIRPDAIFHFAAESFVSPSWNHPRRYMDVNYNGTVNLLEAMLELNMKNFHIPGSGEEYGIAKELPLNEESVLRPVNPYAVTKIAQDLIGYVYFKSYNLKVIRTRAFNHEGPRREKTFGIPWYAYQIARIERGMQK-----------PIIETGHLGDKRNFTHVSDMVRAYYLSIKKCK---PGELYLIGNNDPKSIFTFEQALKKLIGMSHFKKKITIRPTNVPYLIGDMKKFNRLTKWRPLISFDKILLDTLNYWR----------- 297844158 --------------------------------------------------------------------FDTAIHFAGLKAVGESVGNPRRYFDNNLVGTINLYETMAKYNCKMMVFSSSATVYGQPEKIPCMEDFELKAMNPFGRTK------------------------------------DPKGIPNNLMPYIQQVAVGRLLELNVYGHDYPTKDGSAVRDYIHVMDLADGHIAALRKLFADPKIGCYNLGTGQGTSVLEMVAAFEKASGKKIPIKLCPRRSGDATGVYASTEKAEKELGWKAKYGVDEMCRDQWNWANNNPWGYQKK-- 16125262 ---KTALITGVTGQDGAYLAKLLLEKGYTVHGMLRRSASADDRLRWIQFELGDLLDEGGLARLMRRLQPDEVYNLAAQSFVGASWDQPHLTGSVTGLGTTNMLEAVRLECPQRFYQASSSEMYGLVQHPIQSETTPFYPRSPYAVAKLYAHWMTVNYRESFGLHASAGILFNHESP-----LRGIEFVTRKVTDAVAAIKLGQQKTVDL-------GNLDAKRDWGHAKDYVEAMWLMLQQETPDDYVVATGKTWTVRQMCEVAFAHVGLNYQDHVTINPKFLRPAEVDLLLGDPAKAKAKLGWEPKTTMQQMIAEMVD-------------- 222479502 -----VLITGGCGYIGSALIPRLLADERDVVVLDSLSSGSPANLAGSIFRRGDVREYGAVEGAVRGV--DAVIHLAAITGAASTHDRKAETFAVNRDGTENVLTAAGKFDVENVVVASSCNNYGRAASTDIDEETEQNPLNPYAESKVACERLLNEAIEAHGFDGTALRMSTNYGWSLG--------VRFNLVVNHFVFRGLTDRPLTVYGD------GSNWRPFIHVRDAARAYADAALSPDAWDERVYNVGSNDGNYRIAEIAEIVREELDRDLDVTYEDEQPG--PSYHVNFDRL-AETGFETEWTLREGIRD----------------- 139879552 ----KILITGGAGFIGSHIAESLIAAGHEIIIYDNFSTGIMENIEEINSKNIELGDILDLKKLIKAMDGVDIVHHAAQLEIFVGIDEPEKDLSINTVGTLNVLESAKQNNVKKVINASSACIYGQ-TNQATGEDDPTEPNWAYGVSKLAAEKYANIYSKYKKLPVTNLRYGIVYGER----------EWFRRVLPIFIKKVINKQSPVVFGE------GKQIRDFIYVTDLVDFHNKCL--FNDKANGETFNVGSGLPITIVELAKTACMLADEDVKVFHED--------------------------------------------------- 229829605 -AGKRVFVTGHTGFKGSWMCKILSILGAEVYGY-SLTPPSDPAAFDIMGVEGDIRDFEALKSAYRQAKPDCVFHLAAQPIVRASYDLPRYTYETNVMGTVNILECLRYPGAESFLNITTDKVYENNDDHAFQEDEKLDGYDPYSNSKSCSEIVTHSYKKSF---FSHEGDIAISTARAGNVIGGGDFAADRIVPDCV--------RSTVEGKTIGIRNPYSTRPFQHVLEPLYVYMIVMKQAEDPSYAGYYNVGPVDEDCITQLADYFVQFWGAAWKSQAEPDAPHEANFLKLDCSKLRHTLGWKPVWRVDEAIRHTVEWYRAWHEG------ 141927727 ------LVTGGAGFIGSHIVEQLISLGHEVVVVDNEYSDNENWRKDTYNVNIDITDKA-LKNAFTNV--DYVFHLAAEARIGPSIENPVNAVNINTLGTCNVLQCAREAGVKKVMYSSTSSGYGLNTSPNI-ETQSDDCLNPYSVSKVAGEKICKMYTDLYGLKTVIFRYFNVFGERA------PKKGQYAPVIGIFLRQLAAGEKLTIVGD------GEQRRDFVYVKDVANANIM------------------------------------------------------------------------------------------------ 142351126 ----NVLVTGGAGFVGTNLIKQLLKEGHKVVSIDNYHTGKSNHQDGAQYIEFDIRN---INDYSAWGEFDIVYHLAAIARIQPSFKDPYDYFTANANATFKIAKYCSDKNIP-LVFAGSSS------------HHSGKFKNPYTFSKDVSEEIIQLFQQHYGLKASITRFYNVYGP------YHLKEGGYCTLIGKWETCLEDHRPLTIYGD------GTKRRDFTHIDDIVDALVLINEK---QAWGHIFELGRGKNYSIKEIADMFQ------TDIDYEVDKPGEAQETLCTDKLANEILGWEAKLNIEDYIKNYIN-------------- 139463594 MKNKKCVVTGGSGFIGSWLVDSLIQQEKEVHVIDNLSAESNEFNEDAYYYDADITWEKFPTSIFK--KTDYVFHLAAESRIIPSIKNPVRAVEVNVLGTAKMLQYSRENNVKRFIYSSTSAAYGLNCPLPTDETSPIDCLNPYATSKYSGEEIVKVYTKMFGLDSCIFRYFNVFGERSPT------KGQYAPVIGLFLKQLKNKKPLIIYGDGQK------RRDFVHVADVVQAN-------------------------------------------------------------------------------------------------- 239946534 ----KILITGAAGLIGSTLVERLEKHDYEIISCDIRLRDNP---LSFYSEQI----------IPLLNECSGIIHLAGISRVIHGEQYPDLCNKVNVAETIKFLELCKMPHKPWFIYGSSREVYGAQSKLPVTESASLNPVNNYANGKVLIEKFIVD-LENTGFNVAVLRFSNVYGGLLD---------HDSRVVPAFCINALKNEPIRIEGK-------ECVFDFTYLEDVVNGISLAVNHLQNVKSSLPPMHFTNRPCSLGELANIILKLTNSNSKVDIYPSRNFDVSRFYGDFSRAKELLNWSPKHSLEEGLNKFIE-------------- 135926012 MKKKIALITGITGQDGSYLAEFLLKKDYEVHGIKRLNTHRIDHLYQDPHHYGDLTDSTNLIKLIGEIQPHEIYNLGAQSHVAVSFESPEYTANCDALGILRILEAVKLIDHTKIYQASTSELYGLVQEVPQREITPFYPRSPYGVAKLYAYWIVVNYREAYGMFACNGILFNHESPRRGETFVTRKITRGLTFIN------EGIEDCLFVGNLDSKRDWGHAQDYVELQWKMLQQETPEDFVIATGRQETVRRGWGGIIWEGKGLKEIKDNLDIVIRIDKKYFRPTEVDSLVGDSSKAKNKLGWKPLTTLEELISEMIN-------------- 298507048 -RGKTVLVTGHTGFKGSWLSLWLTMMGARVVGYATVPNHYDLLNLDMISIEGDIRDGQKLCDVLTMHKPEIVFHLAAQPLVRHSYNNPVETYQTNVIGTLNVYEACRSSDSVRAIVSVTTDKVYENKEWHWRENDELGGYDPYSSSKACAEILTASYRNSFFTEYGTSHQTLLATARAGNVIGGGDWGKDRLIPDIMKATAKR--------EPVVIRNPHSVRPWQHVLESLSGYLLLGQKLLNGDAPVADSWNFGPMTQDSATVHSVKDIHKFWRATNFATEKLHEARMLRLDTSKANSQLQWLPVWDYDETISKTVEWYRDYYEGIKTEHD 140897495 ----KCIVTGGAGFIGSHLVEKLVKKNFSVTVIDNFSTGRIKNLSNVKKKIKDIVSDKNLDKYFKGAK--YVFHLAAKADIVPSIEDPKLYFDTNVSGTLNILEACRKNNVKKIIYAASSSSYGIAKEYPTTEFSKINPEYPYAFTKYLGEKLIAHWSKVYNLSFISLRLFNVYG------IRSRTTGAYGAMFGVFLAQKINNKPLTVVGS------GKQSRDFTYVSDIAEAFTKQL---------------------------------------------------------------------------------------------- 282874372 ---KTALITGVTGQDGSYLAELLLDKGYTVHGLDHIYQGPEEPERSFVLHHADLSDGVALVNLLRDIQPDEVYNLGAQSHVRVSFDAPLYTGDVTGLGTIRLLEAVRASGIETRIYQASSSEMFGASPPPQNEDTSFHPRSPYSVAKVYAYWATVNYREAYGMFAVNGILFNHESPRRG------------ETFVTRKITRGVARIKAGLQDRLHLGNLDAVRDWGYAPEYVDAMWRMLQCDTPD----DYVVATGEGVSVRQFVEFAFEHAGLDWHVRYDPKRPSEVDALIGDASKAEQLLGWKPEVRSRELARIMVD-------------- 135433213 ---KKVFITGITGMVGSHLLDYLFQKTNWNIYLENINSHIKNINLKKRVEYGDIREAHALDTIIKKIKPDYVFHLAAQSYPRTSFDMPADTYETNTIGTSNLLESLRRYKKNAIIHVCSSSEVFPKDKIPITEDCSFHPASPYAISKTGTDLIARFYAEAYKMKIMTTRMFTHTGPRRGDVF-----AESSFAKQIAMIEANMQEPVVFVGNLKSLRTIADVRDAVHAYYLLLTKKPIGGEVYNIGGDHTCSVGEILDFLLSKSKKKIIYKTDKNRI------RPIDADLQVPDTTKFRKHTGWKPKYKFEETMLDLLNYWRK---------- 303325465 ---KKALITGITGQDGAYLAEFLLQKGYEVHGLKRFNTDRIDHLYQDPHHYGDLSDSSNLVRIMQEVKPDEVYNLAAQSHVQVSFESPEYTADVDALGTLRLLEAIRITGCTRFYQASTSELFGLVQEVPQTEKTPFYPRSPYACAKLYAYWITVNYREAYGMYACNGILFNHESP-----IRGETFVTRKITRALSRMVLGLQD-CLYLGNMDAKRDWGHARDYVEMQWLMLQQEQPDDFVIATGRQFSVRDWEGSGVDETGTVAAVCHVKPGDVIVRVDPRRPTEVETLLGNPVKAKEKLGWTPKTTFEDMVAEM---------------- 139620836 MQNKTSLVTGGAGFIGSHMVDFLISKGHYVIVIDNLSSGKKKNIQKHLKSKKALCKIENFKSQISKKKIDYIFHFAGIGSIVPSITNPTEYIKTNATGTLNILEQTKNLNYRKFVYAASSSCYGKA-NTPTSEHDKISTLYPYALSKFMGEQLCIHWNNVYKLPTCSIRIFNAYGNRIS---------------------------------------------------------------------------------------------------------------------------------------------------------- 140138646 ----KYLITGVAGFVGNAVSRKLLDDGHDVIGIDNINDYYDVKLKTDRFYKLNIFNKDKLRDIFNQNKIDCVIHLAAQAGVRYSLENPQAYVDSNITGFINMLECCKDSKIKHFLYASSSSVYGLNNKLPYSNDTVDHPVSLYASTKKSNELFAHTYSHLYRLPVTGLRFFTVYGP----------WGRPDMAPFIFTDAIYKNKKIKIFNNGDMWRDFTYIDDIVEATVLASRIIPEPDASWT----------------------------------------------------------------------------------------- 141229308 MNDKNIVVTGSAGFIGSTVCMELLKRGDNVIGIDNHNDYYDPKIKDARHYKIDISDRKSLDDVFNKYKPQKVINLAAQAGVRYSIENPLAYINSNILGFANILENCRYHNIKHLVYASTSSVYGANTKMPFSEHNSTHPLSVYAASKKSNELMAHTYSYLYQLPTTGLRFFT----------------------------------------------------------------------------------------------------------------------------------------------------------------- 141662040 ----KMVVTGGAGFIGSNLVELLINSNYDVHVIDNFSTGRKNRIKKAIYHNLDLSKSSNFNKIKKCENVDTVFHMSCIARVQPSIDKPIEYEQNNTIGTMNILKAAVDQQVRRVVYSSSSSIYGNQTKLPLREDFAADPLSPYGAQKLYGEILCKTFSKVYNIETVCLRYFNVYGERQN------IHGAYPLVIGIFLNQYLNNIPLTIRGD------GNQKRDFTYVGDVVRANLLASQY-ANIKPGEIFNIGNGDNRSVNQIASYF----------------------------------------------------------------- 139833703 ----KVLITGGAGYIGANICTALLDKGYDVVVVDDFSNGLMSRMEGLTIEKGDILDRDFLQK--AMVGVDAVIHLAAKKSVEESVSDPLKYFANNVSGTINVIAAMASQGVKKAIFSSTAVVYDSTAGLPLKEADNKNPLSPYAQSKLLGEELFAKVCEATGISSISLRYFNVVGAGGPNL-------------------------------------------------------------------------------------------------------------------------------------------------------- 143784891 ---QKILVTGGLGFIGSNLTRMLLDKNYYVINIDKVSYASNFYNLRDRFIKTDIANKKRIKKILNYFKPICIFNLAAETHVDRSIDSPKNFIDTNIIGTFNLLECFREYNNRKLVHISTDEVFGDVLVGRSKETDAYAPSSPYAASKASSDHLVYSYIRTYKIPAIITNCSNNYGPR--------QHPEKLIPKLIYNAITNKALP--------IYGKGKNSREWIYVDDHCD---------------------------------------------------------------------------------------------------- 143033128 ----KVLVTGGAGFIGSNLIKELLKKDYEIVSLDNYSTGNTNNELDGKYINTDITNIDSLDT-----DFDLCFHLAAQSRVQPSFENPEESFRVNVLGTTKVMEFAKKNNI-RVTYAGSSSKHHEPSD------------SPYAMNKYLGEQVCKLYKKSFNTNVEIARFYNVYGPGES-------------VDEKFGNVIGIWRSKVIKGEPLPIGDGNQKRDFVHVYDIVDGLIKIGLSELNHDDA--WELGTGINYSINELFNYFKDKFNVTS--VSIPDQPGNYRQTLRENDDSLQLLGWKPMDRLKD--------------------- 74317898 -QGKRVFVTGHTGFKGSWLCLWLQSMGAEVLGFDPNLYEAAGVTRGMHSHLGDIRQGEELARVLAASRPDVVFHLAAQPLVRHSYTHPVETYSTNVMGTVNLLEAVRRAPSVRSVVIVTSDKSYENREWPWREIDPMGGYDPYSNSKGCAELVVSAYRSSYFNPANYQEHRVAASARAGNVIGGGDWAADRLVPDIVRAVSVGRPVW--------IRNPHAIRPWQHVLEPLSGYLLLAQRLYEQGPAFAQGWNFGDAQPVSWIVDRVTALWGEGARWELEGEHAHEANYLRLDCSKARAELQWHPKWTLGQALDKTVEWYRA---------- 294673195 -RGKRVFVTGHTGFKGSWLCKMLANAGAIVTGYSLAPNTKAELEKDIHSVIGDIRDYAVLKKAFDKAQPEIVLHLAAQPIVRESYKDPAYTYETNVMGTVNILECVRNSNCVKSFLNVTTDKVYLNKEWEWRENEELDGYDPYSNSKSCSELVTHSYKRSFFTDKDGKAIIPISTARAGNVIGGGDFANDRIIPDSVRAAQK--------GEDILVRNPYSTRPYQHVLEPLYAYLMIAAKQYEDCKYADYYNVGPDDVDCFQTGALVELFVGLKWTNKYDGG-PHEANFLKLDCSKLKSTFGWTPRWNLGEAMDKIVEW------------- 88855622 ---KRALITGVTGQDGSYLAELLLARGYEVHGV---TRDADEVVTEGVTHELDLATDSSIAELIASVEPNEIYNLAALSSVYQSWQNPTLTARLNGAVVAEMLAADRGDVDIRFVQASSAEIFGAPTVAPQNEETVVRPTSPYGAAKAYAHGLVGAYR--------------TAGVAASSVILYNHEPRRPETFVTRKITAAAARISKGLQETLELGNLDAQRDWGWAPDYANALFLAAQHS----EADDFVVATGVSHSVRDFVEAAFVRAGVADWRERVQLRSGDAPVQMGDASKARRVLGWSPTVDFDEIVAAMVD-------------- 183982349 ----HYLITGHTGFKGPWLALLLLSRGHQVLALDSEQAGLFKRAGLADQLRVDIRESEATTAALQAVAPDVVIHLAAQSLVRESYRNPRYTYETNAMGTLNVLEAVGATPSVRAHVVITTVYRNVNQEAGYVETDPLGGDDPYSASKAMADLLTQSWVRSF-------PNCPTAIARAGNVIGGGDISRDRLFPDLVDAYAR--------GQAPRLRFPRAVRPWQHVLDCLNGYLTLADALLAGSGLGQWNFGPGRDVEVGQVASLAAELWGGGAHWDLDDDHPHEANLLALDAAKAQAELGWRNRLGFRDAVAWTIDWERQ---------- 149196371 ---KKALITGITGQDGSYLAEFLLEKGYEVHGIKRFNTERVDHIYEDPHHYGDLSDSSNLIRIIKDIEPDEIYNLGAQSHVAVSFESPEYTADVDAMGTIRLLEAISLEKKTKFYQASTSELFGDVRETPQTETTPFYPRSPYAVAKMYAYWICVNYREAYGIYACNGILFNHESPRRGETFV------TRKITRAIANIALGLEDCLYLGNMNALRDWGHAKDYVRMQWLMLQQDTPDDFVIATGELGITIEWQGSEEQEVGIIKAIEKVGQRIVAVDPKYYRPTEVETLLGNPAKAKEELSWVPEITLQEMVEEMVQ-------------- 257469346 ---KKAMIIGAAGFVGGYLIDHLKDDMKWEVYGTKLNTEKIER-EDIEIYNLDILNKEEIIKIFEKIKPDYIFNLAAQSSVSLSWKNPLLTIDINIKGAINILDAVRDKYDPRTMLIGSSEEYGYENEIPVKEENNLRPGNIYAVTKVCQNMIGKIYSDAYGMDIVNVRAFNHIGPKQAPIFV-----VADFCKQVSEIEKGLREPIIYTGNLEAKRDFTDVRDIVRAYSALA---------LNGKKGETYNVGSGKAISIKEILDIILKNSTKNIEIRRDEKRPIDISIIEADIEKLRKVIDWKPEILLEESIKEILGYWRKNENN------ 140027976 -SNKKILITGGAGYVGQNLVYFLLKKKYQIYVLDNLSTSNKSIKKKINFYKIDLTQERKVKNFFKKRNFDLIIHLAAFSGVQEFKKNVLKSFNNNVLSTKNLVNYGFKNPKTKLIFSSSAAIYGKVSGEKISEDVVAKPVNYYGLSKLACENIIENSFKNKRNDYAILRYFNVVGSVVDFKIKKK---VNALFDIIINNIKKKKPKININGRDLNTKDGTPERDFIHIEDLCKIHEKAYKYLITNKK-IILNCGSGVRYSVLSVIKEFQKKLKKNFIITY----------------------------------------------------- 50418499 ------LVTGGAGFIGSHFLDYFVVRNYHFTCIDKLNYATNENVMESPNFNFIKMDLSAEYTVLYNFKITNIIHFAAESCVDRSFSNPLYFTTNNILGTQNLLECSRVKDHFRFIHISTDEVYGEQHEGTVDETSKLNPTNPYAATKAACDLILKSYYYSYKIPVTSIRSNNVYGPRQYPEIIPMTLNKLKEYVRQYPNSETMAHKIKIHGNGSNKRAYLHIQDFIQGIALIWKKFKEEQYAKEQVINQTFNIGSEDEIDNLSLVKFICDNYNYSNYIEFIKDRNYNDSRYSIDYNKIKQ-FGWKPTIDLKSGIIDLID-------------- 188591884 ---RRALITGITGQDGSYLCELLLGKGYEVHGIKRFNTERIDHLYQDPQHHGDMTDTASLVRVVQQSQPDEIYNLAAQSHVQVSFEEPEYTANTDAIGTLRLLEAIRMEKLTRFYQASTSELYGLVQEIPQKETTPFYPRSPYAAAKLYAYWITVNYREAYGMYACNGILFNHESP------VRGETFVTRKITRAIARIALGLQETLYLGNLSALRDWGHARDYVEMQWLMLQQPAAEDFVIASGETITFHGSGVDEVGIVEHVDAIAPACKPGEIVVRVDPRPTEVETLLGDASRARERLGWTPRIGFAELVREMIE-------------- 142910176 MKKEKIYVAGNTGLVGSAIVRKLQSQGYNNIV-------------SSPRSHFDLRKQFDVEKFFNNNQPEYVFLAAACGGIFDNLNYPVDYLLDNLNIQSNIISMAHKYGVKKLMFFASSCIYPKNVKMPIKEDDELEPTNEYSIAKIAGIKLCEAYRKQHKVDFISVNPCNLYGPG-----DKVHPLKGHVMSCLIYKFWKAKRENLPIVEC--FGDGSPKREFLYVDDLADASVYLMNKDTSETDTL-INIGTGTEISIKEIAQLISDVVEYDGEIHWDTSKPNGALRRILDVSKV-NALGWEAKTSLIEGVKKVI--------------- 142353152 ---KKAFITGIAGQDGSYLTEYLVGLGYEVIVRRNSTPEHQESRISHLDNKVDLLDQSSMERLLDDIQPDEIYNLAAQSHVRISYDIPQFTIQTNALGVVNVLEAYRRACPNAKFYQASSSEMFVDDDNCQRETTPMNPVSPYGCSKVFGYNIVRNYRRAYKLFTSNGILFNHESPRRGSNFVTNKVVKAAVKIKLNMQDTLE------LGNMDSFRDWGHSKDYVRAMHLILQHDKPDDWVVATGQTHSVREMCEYVFNRLD--------LDYKKYVVQNPARPEELPYLRGDATKIRTQLGWIPEYTFETLMDDMINHWLK---------- 94970838 -----VIVTGGAGFIGSNLVHELNAEGIDVLVVDNLANAAKFENLLGAKF-ADYMDKRAFRAAIRERKIEAILHQGACSNTLE---DDGVYMMDNNYQCTKELLHFAIEQGARFVFASTAAVYGLAGPGHFPIPGNERPLNIYGYSKLMFDNYLRHKIAADEVSITAVRYFNVYGPR------ERHKGRMSSVIHHFTGQMKKEQKLRMFQGSGGYGDGEQRRDFVYVRDLARMNLFFAQFEAAKGEPERVNAGTGLSRSFNDVAAALMTIHG-KVPVEYMPFPSDLIGRYQADISGLRK-LGWEP-TTLEAGIDETYA-------------- 19571711 --SRRALITGITGQDGSYLAEHLLAEGYEVWGVVRGQDAPGKPLNEVRLLQGDLLDQRSLIEAVDQAQPDEVYNLGAISYVPLSWKQAEITSEVTGLGVLRILEAIRSAGGVRFYQASSSEMFGQVEESPQNERTRFHPRSPYAVAKTYGHYLTQNYRESFGMHCVSGILFNHESPRRGPEFV------------TRKISLGVARIKLGLAGELRLGNLEARRDWGFAGDYVRAMHLMLQ----QPVPRDYVIGTGRTHSVREAVEIAFAAAGLDWRRHVVVDRPADVELLCADASLARRDLDWKPETEFAELMEAMVE-------------- 38382939 -KSRKVLITGITGQDGSYLAEFLLEKGYEVHGIVRFNTGRIEHLYKNPHAHGDLTDSTCLVKIINEVKPTEIYNLGAQSHVKISFDLAEYTADVDGLGTLRLLDATKTCNSVKFYQASTSELYGKVQEIPQKETTPFYPRSPYGAAKLYAYWIVVNFREAYNLFAVNGILFNHESPRRGANFV------TRKISRSVAKIHLGQMEFVSLGNLDAKRDWGHAKDYVEAMWLMLQTDEPEDFVISTGEVHSVREFVEKAFMNENEVGRCSETGKIHVKVDHKYYRPTEVEFLQGDCSKAKNKLGWIPKVSFNELVKEMVE-------------- 142717562 ---KKVLITGITGQDGSYLAELLLEKGYEVHGIDHIYQDYHESDKNFHLHYGDLSDSLSIFSLIQKIKPDEVYNLGAQSHVGVSFENPEYTGNVVALGALRVLESIRHCSKTKFYQASSSELYGKVRQVPQTEKTPFYPRSPYGVAKLYAYWITVNYRESYGMYACNGILFNHESPRRGETFV------TKKITRGLARIALGIEKCLYLGNLEALRDWGHAKDYVEMQWLMLQQKEPRDYVISSGVECSVCKKMGIEIAFKDEVGYIKSLSRFTDTLKVGQERPAEVDKLLGDSNLAKEKLKWSPKISLDELCEEMINYDLE---------- 266620084 -KGKKVLVTGHTGFKGAWLTRMLTNAGAVVTGY-SLEPPTDPSLFCVAGIEGDIRDLPHLMEVFERTQPELVFHLAAQPIVRDSYKDPVYTYETNVMGTVHVLECIRRNPCVKFLNVTTDKVYENREWEYYRECDPLDGFDPYSNSKSCSELVTHSYAKSF----FADGHTAVSTSRAGNVIGGGDFANDRIIPDCIRAATAGRE--IVVRNPYSTRPYQLVLEPLAIYMAIAMKQYE---DLNYQGYYNVGPDDRDCVTTGELADLFTDFWGGG--ITWVNRYDGGPHELKLDCSKIKKTFGWRPRYSVKEAVEKTVEWTKAY--------- 144219034 ---KTALITGGAGFIAHHLIRILNETDWNIVTLDRLDYGNLNRLNDILQYQCTPEQRKRVRVVWHDGKVDYVLHLAAGSHVDRSIDYPMEFVMDNVVGTCNILEANSMDYLERFLYFSTDEVFGPPDGIKYKEEDRYNSTNPYSASKAGGEELAVAYENTYNLPVYVTHTMNVFGERQ------------HPEKYIPMCIRRARDGDVITVHSDKTKTVAGSRHYIHADDVSSAVLFLLNYKWGNAKCPKFNIVGSEELNNLELAQIIADAQGKEEMVDFHSSRPGHDLRYALDGSKMK-ELGWIPAKSVRDRIAQVTNWTLQNERWL----- 307721423 -KDKTVLVTGHTGFKGSWLVYWLDQMGAKVVGYSLEAPTTPNHILDIISIIGDIRDVDKLNQTMQTYKPDIVFHLAAQPLVRLSYENPIETYETNVIGTLKVFEACRNANVKAIVNITSDKAYENKEWIWYRENDPMGGYDPYSSSKGCADLLANSYRNSYFNPNDYKKTHNTASCRAGNVIGGGDWAKDRLMTDIMLSV--------SQGKKVSIRNPYATRPWQHVLEPLSGYLHILEEQVAFGEAWNFGPSDEGSITVEEVVKNVKKHWEIDYEINREQNQPHEANLLKLDCSKAHIILKWKDVWDSDTTFEKTVKWYKAYYE------- 136256890 --------------------------------------------KNYKFFRSDINNKKNIFKILNKYKPKAVFNLAAETHVDRSIDGPESFIKSNILGVFNLLQVFRKYHYSYLIHISTDEVYGDVLSGRSKEDDAYKPSSPYAASKASSDHLVYSYIRTFKIPAIITNCSNNYGPRQ---------HPEKLIPKLIYNILNNK-------TLPIYGKGTNSREWIFVDDHCEALIKILEKGKAGNFYNIGSNLNLNNIKICEKLLKIAKRTGKNVKIKFVKDRPGHDERYALNSNKLINNIKWKPKINILNGLKKTFDWYLKNPGYFSN--- 157871359 ----RILVTGGCGFIGSAFIRHLLMYAPASVHVFNLDTCDVSPVSRYHFIAGSILDATLVLHSLRTHHIDIIVHMAAQTHVDHSFSRSVLFTQVNVVGTHTLLECARQYQLTRFLHMSTDEVYGEVPATARPANTVLCPTNPYAATKAAAEHLVSAYYHSFKLPVLISRGNNAFGPG--------QYPEKVIPSFIVHALRRERLPIHGDGHH--------QRRFIYVDDVARALCTIL---VRGGVGEVYNVASEREFSVHEVAQRVVACVAGDDHVRYVADRAYNDARYCTESEKL-AALGWALEVSFEEGLRRTVAWYRRHP-------- 143142574 ---KRALITGVTGQDGSYLAELLLSKGYEVHGIKRRSSSFNTDRVNHIMHYGDLTDATNLIRIIQMVQPDEIYNLGAQSHVKVSFETPEYTANSDAIGTLRILEALRIMEHVKFYQASTSEMYGLVQENPQSEKTPFYPRSPYGVAKLYAHWITKNYRESYGMFACSGILFNHESPR-----RGETFVTRKITRDLTRVKMGLLKTLKL-GNLDAKRDWGHAKDYVRAMWMMLQQEKPDDYVISTMQQITVREFCNLTAAELGMHLAWRDMTTGKLVIEVSPRRDAEVDTLLGDSTKARMELGWYPEITIEQMIHEMVE-------------- 168701834 ----RILITGITGFVGGHLVEHLMSLGHELFGVSRRAEWPEGLSHLSPHARVDLCDGAGTERVVRECRPDWVCHLAGYANTGGSFRDPERAWRENLTATRCLYDAVAGSGKPRILFVSTGLIYGEPDDGVCDESTTLKPASPYASSKAAADLISYQYTRSAGLDIVRVRLFNQIGPRQSADF-----AVPNFARQIAAAEVGKQAPEVNVGD------LSARRDVADVRDIVAAFPLLLEKGQC---GEAYNAARGESFLIQSLLDRLVAMSRVPIQVKIEPGRKADTAVARADAAKLRTATGWAPQVTLDQSLAGV----LNYWRSLSS--- 186680845 --TKTALVTGITGQDGYYLSHLLLNRGYRVVG---LVPPHRQPNLQVEIFTVDLRDSRALLTAVEQLRPQEIYNLAAPSFVPDSWNDPLGTLDLITGTATRLLEAVRQVGSTRFYQASSSEMFGDVFSSPQDEETPFRPKNPYAAAKMHAHWTMVHHRQRYGLFAC------------SGILYNHESPLRAPQFVTRKVSLAAASIKLGLTDTLEMGNLDAKRDWGFAGDYVEAMWLMLQVD----EPEEYVIGTGKLHSVRDLVATAFESVGLDWTRYIVLNRQDEHFQLVADPSKAKRNLGWEPQVSFEELLEKMVK-------------- 206890431 MQYKTILITGGAGFVGSNLAIKFKERDIKVIALDNLRRRGSELNLGVEFIHGDIRNREDLESILMIECSAEPSVLSGYNESPEYLINTNLIGTINCLEMLRKNKADIIFLSTRFELKLYQSIKGVSQKGITEEFPLNGIRSLYGTTKLASELLIQEYIGVYGIRGVINRCGVLTGP------WQMGKVEQGFVVLWVAKHIYGGELCYIGYK----GTGKQVRDILHIDDLYNLIKQILNIHTYNGQIFNIGGGRNISVSLKELTQICQDVTGNKIEIKSIPDRFADIPYYITDYTKAQTEIGWIPKYSVREIIEEIARW------------- 149412801 -KSRKVLITGITGQDGSYLAEFLLEKGYEVHGIVRFNTGRIEHLYKNPQHYGDLTDSTCLVKIINEVKPSEIYNLGAQSHVKISFDLAEYTADVDGVGTLRLLDAVKTCNSVKFYQASTSELYGKVQEIPQRETTPFYPRSPYGAAKLYAYWIVVNFREAYNLFAVNGILFNHESPRRGANFV------TRKISRSVAKIHLGQLECFSLGNLDAKRDWGHAKDYIEAMWLMLQTNEPEDFVIATGEVHSVREFVEKSFKNENEVGRCKETGKIHVTVDLKYYRPTEVEFLQGDCSKAKQKLNWKPRVTFDELVKEMVE-------------- 134433107 MMMKKILITGVAGFIGYHLAEKLLNNNYHIIGIDNLNDYYDPNLKKARFHKIDFTHKKELQPIFENNKIGQVIHLGAQAGVRYSISNPQFYIDTNITGFLNILENSKNFKVQNIIYASSSSIYGINEKMPFSEHDKTEQISMYGVSKKTNELMAHTYSKLYGLNTFGLRFFTVYGP----------WGRPDMALYIFTKAIIENKIIDLFNEGNHTRSFTYIDDITEPIHRLIKINYDKNNEISNNEILNI---------------------------------------------------------------------------------- 262381209 ----KILITGGAGFIGSHLCDALIERGHNLTVVDNLVLGRKENISHFEFIEEDLLHTEAMREIFKDRKFDMVYHLAANSDIQKGGKDPQVDYDLTFNTTFHVLRYLKEFGIKKFFFASTSAIYGETSDILNENYGPLCPVSNYGAGKLASEAFISAFSFAYHIQTWITRFPNVVGERFTHGVIYDFIHKLRKNPA----------------ELEVLGNGEQYKPYVYVKDLVEGILYVIDHASD--DYNVYMLGSDSRTKVKEIAAMVIEEMGLNAEIKYGGDRVGDVPEF------------------------------------------ 139116232 -----------------------------------------------------------------------------------------EFYTNNVLGTLNLLNAMKNTNARKIIFSSTCATYGEIDDVPIMETVTQNPSSVYGKTKLAIEHMIESFHTAYGLDYIILRYFNAAGADPEGDIGEEHEPETHLIPNALEA-AANGKFMKIFGDDYDTIDGTCMRDYIHVNDIAEAHIHSMQAQENGLVAADVNIGTGTAYSVLQIINMIEKVTGYSVKYKICKRRAGDLSKLYADTSKAE---------------------------------- 142297270 -------------------------------------------------IEGDIRDLKDC--IKASKNVDYVLHQAALGSVPRSIKDPKTTNDVNINGFLNMLIASKDNKVRRFVYAASSSTYGDSSQLPKVEDIIGKPLSPYAITKYVNEMYAEIFSKTYSLETIGLRYFNVFGRKQDT-----ESTYAAVIPTFVYQLMNKKSPT-------VNGDGNYSRDFTYIDNVIQANILSITSTNVKAINNVYNIAYGDRNTINDLLDYIVEILSKNVEILYGPNREGDIPHSQASILKAKKLLNYDPKFSLKAGLKEAISWYWKN--------- 119493815 ----RVLVTGHKGYIGTILVPLLLTKGHEVVGLDDLYSTFGEGIANIPEIVKDVRDVE----ISDLEGFDAVLHLAGLSNDPLGNLNPDLTYEINHLASVHLAKLTKEAGISRYIFSSSCSNYGAGGSDWLTEESAFNPVTPYGRSKVLVEQDV-AKLASDNFSPTFLRNSTAYGVSPRLRFDLVLNNLVAWAFTT--------------GKVYIKSDGTPWRPIVHIEDISRAFLAVLEAPREKVHNEAFNVGRNEDNYQIRDIANIVKEVVPNCEIEYAADGGPDTRCYRVDCRKILRVLEFQPQWNTRKGAEELYNTYKK---------- 310762354 MTNQRVFVAGHRGMVGAAITRELQRRGYRNV----LTRGRDE---------LDLENQNQVNRFFSTTPVDVVYLAAAVGGILANQTHPVEFLYKNLMIQCNVIRAAYAAGVRKLLFLGSSCIYPREAPQPIREDALLATNEPYAIAKIAGLKLCEAYQREFGARFICAMPTNLYGQHDNYDLQSSHVLPALIRKFHEGREAGQE-------SVSIWGTGAPLREFLYVDDLAQACVMLMEHPQAEG---MYNIGAGQDISIADLARLVARVVGYEGNIVYDSSKPDGTPRKLMDSARVQA-LGWKPEISLTHGITLAY--------------- 293375842 ----KVLVTGGAGYIGRTVVSALEENGHIPIILDSLVTGRLEYTEGKIFYKGDIADRDILAQIFKDHEIKHCIHFAALIVVPDSVANPYEYYTENVAKSLDLFKNLNEFGCKNVIFSSSASVYDVVEGFKVTESAPLKPSSPYARTKFMMEMVLEDFCRAYGMHGIALRYFNPIGADP----------------------------------------------------------------------------------------------------------------------------------------------------------- 158320967 ---KRILVTGALGQIGSELVMELRKKGHDNVVADISSKGSEEVIGSGPFEILDVVDRDKINEIVKKYNIDTIYHLAALLSAT-GEKNPSLAWHINMGGLFNVLEVARENNCAVCTPSSIAAFGPSTPKDNTPQDTLMRPTTMYGVTKVAGELLCDYYYEKYGIDTR--------GVRFPGLISYKSLPGGGTTDYAVHIFYEAIKNKK----YSSFIDKGTFMDMMYMPDAITALIQLMEADPAHRNAFNITAMSFDPEMLAAEIKKHIPEFELEYDVNPDIQKIADSWPNSLDDSDARAEWGWNPQYDLSAMVKDMLE-------------- 135286271 ---KTIVVFGAGGFIGGNLSKRLIEQGHRVIGADIKTHEYLD-IPFHKFYKLDLTHIENVRKVICKENVDELYQLAADMGGITYINNNADIMSNSVRINLNTAQVAVEKGVKKLFYSSSACVYPDPDNPMCAEDSVYDPDTEYGWEKLFSERLYESYRQQYGLNIRIARFHNIFGPYGTYKGGKEKAPAALCRKIIECK-----------GSIDIIGDGKQTRSFLFIDDCLD----AMELLMQSDYYHPINIGSEDMVTIKHLADIIKDISAKDLIYQYIKGPQG-VRGRNSDNTIIRKVLNWEPKVTLQEGLVHTYIW------------- 139397583 ---KNILITGGAGYIGSHIAEVLIKNKKKIFIVDNLSTGYRRLIKKAKFFKVDILKNKKIRDIIVTNRIDSVIHLAANLIIGEGEKYPKKYFKNNVLGTKNLLKACENTTIRNLVFSSTAAVYKDGQYK-VDEKSTIKPKSVYGKTKIKAEKIIKQFCIRNQINYCILRYFNIAGSSPSGKIGLINKSD-HLFKNFSREIVKKRPILKIYGNNYNTKDGSCIRD------------------------------------------------------------------------------------------------------------- 139367128 --------------LGSALSRKLIKIGHRVTIVDNLTTGNRRNIPSSANFILGGCHLPETYKLLD-EKYDVIYHIAGQSSGEISFDDPIYDLQTNTQSTLLLLNFAKEIGCKRLLYASSMSVYGDQPDKPVREKAHCNPKSFYGVGKKASENYLKIYHEL-GINTTALRLFNVYGPGQNMDNLRQGMVSIFLSMALKN------------SEILVKGSMERYRDFIYVDDVVSAFIECEKNKKSYGK--IYNVGTGIKTDVKTLVEKISYLYINPLSLKCEGKTPGDQFGIYASNDLMVRDLNWYPKTSLDEGLKKMI--------------- 304570592 -----IYVAGHGGLVGAAIVRRLQAEG-------------CQNLLLRRSRELDLRNQQAVDDFFATYRPEYVFLAAAVGGIHANSTYPADFIRDNLQIQTNVIDAAYRNGTQKLLFLGSSCIYPKFAPQPMPEDSALEPTNECYAAKIAGIKMCQAYRKQYGFDAISAMPTNLYGPG-----DNYHPENSHVIPALIRRFHEAKVQGLPQVTIWGTGA--PRREFLYSDDLGDALVFLM---KNYSDIEHVNVGYGEDVTIKELAGLVAKVVGYAGEILTDPSMPDGTPRKLLDCTKLFS-MGWRPHVQLAEGLDFAYR-------------- 143332463 ---KKILICGATGFIGRNLLNYFYNQKNYNIRAVHFNRPAIEGYDGVEWVKADLRNPETVKQVLQGVDI--VLQFAATTS-GAKDITTRPYIHVTDNAVMNSLLLRECYEQSIEHFFPSCTVMYQPSSCALAECDFNGDQELFPNTKVYIEKMCEFFSRLGRTKHTVMRHSNMYGPYDKYDLERSHMFGATITKVMTSTD----------GKVNVWGTGEESRDLLYVEDLVKFVDAAIQKQETP--YELFNVGVGKAISVKEVVTKIIQHSGKDLEIVHDLSKPTIPTSLFLDCTKAKEILDWEPETDLDTGIIKTLSWYKEN--------- 136012847 --SKVALITGITGQDGSYLAELLLEKGYEVHGIVRRASLINTHRIDHIYEQGDLTDATNIIGVIKKIEPDEIYNLGAQSHVKVSFETPEYTAQVDGLGTLRVLEAVRMEKKTRIYQASTSELYGLVQATPQTETTPFYPRSPYGVAKLYGYWIVKNYREAYGMHCSSGILFNHESPRRGETFV------TRKITRGLSNISVGAQKELLLGNLNAKRDWGHAKDYVKAMWLMLQQDEPDDYVIATGVQYSVKDFFGLSIDFRCEVGYCRSLARDIIKTDERYFRPAEVESLLGDPTKAKEKLGWEPTTTFDQLVEDM---------------- 139292764 ---------GHGGMVGSAMVRRLARE--------------RCDLITAPRHEVDLCDQALVRRWLKENRPDCIVLAAAVGGIHANNTAPVDFLQNNLVIQNNILAAAHACDVDRLLFLGSSCIYPKFAEQPIHETGALEPTNEWYAAKIAGIKLVQAYRTQYGRDWISAMPTNLYGPGDNYDLETSHVLPALLRKFHEAKVSGAK-------EVVLWGSGTPLREFLHCDDLADALVFLLKYYS---EYEHINVGSGTEVTIRGLAETIASVVGYDAELVFDATKPDGTPRKLMDSSRLSQ-LGWANARPIRIGIKDTYRVFLE---------- 144067830 ---KKAIIFGITGQDGSHLADLLLEKGYEVVGVTRRTTSRIKHLLDQENESGDITDAHSVINILKDHQDAAVYNLAAQSHVAVSFKQPALTWDITGKGCLNILQAMVDMKNSRFYQASSSEMFGKNYDVFQDENTKFMPQSPYAIAKCAAHYMTRLYREGYDLHASAGILFNHEGPRRGENFVTRKITKWIGDFIKWCNVNGVKPADLINDTDEVYGNLDAYRDWGYAGDYCEAMWTMLQ----QESPDDYVICTGETHTVREFLTIVFNSVGLDEWVDPEFYRPAEVDYLRGDCSKAKQKLGWTPKHTFED--------------------- 137081691 -----------------------------------------------------------------YHDINRIIHLAAQAGVRYSISNPFDYVQSNLVGHLNILEYARKKNLDNLVYASSSSVYGGNTKIPFSSDSVDEPVSLYAATKRSDELLSYSYSHLYGINQVALRFFTVYGP----------WGRPDMALFLFTKSIINEKPIKVFN------YGDMSRDFTYIDDIVNGTISALDHCPSKNDHRIYNLGNDNPEKLVKLIEVIEKCTGKKALRNLEPMQLGDVKNTMADIERSKKDLNFHPKVNIEEGVPLFVNWYRNYFK------- 142509152 ----KILITGVAGFIGHSIAADLLSKKHYVYGLDNLDNYYSIKIKKKRFKKIDLNNKKNLENYFKRKKFDLVVHLAAQAGVRYSFENPEKYINSNFFGFLNLVLCSRDNNVKKIIYASSSSIYGDSKKLPVSEKDKLSTKNIYAVTKKLNEDTAELYSKLTNIKF--------VGLRFFTIFGEWGRPDMLIFKVFKSHFTNKKIYINNYGHHY--------RDFTYIGDVTKIIKKLIFKKLSKHD--IFNICSNNPININELIKNFSK--NYKLNKQFVKLHKADVINTHGNNNKIKKQLNLNSFTNFYKAFYKTFEWYKKN--------- 142077704 -------------------------------------------------------------------------------------------YENNLSCLIYLLQAIENKQEFSFIFSSSCTVYGQPDELPITEEPIKKAQSPYGNTKQIGEDILFDSTRSNELKVISLRYFNPIGGHPSIKIGELPKGPQNLVPFITQTAAGLHEIINVFGDDYPTRDGTCIRDYIHVVDLAKAHVVGLKRMMADENFEVFNLGTGKGNTVLEVIKAFEKVSGVSLNYKIVDRRVGDIVAAYSDTTKANKTLGWEAKSDLEEALKTAWEWEKK---------- 255014246 -KNAKIYVAGHRGMVGSAIVRELELQEYTNII-------------TRTHKELDLTRQEAVERFFAEEKPEYVFLAAAVGGIIANQSALADFMYENMILEMNVIHAAWKNGCKKLEFLGSSCIYPRMAPQPMTESCLLKTNEAYALAKISGLKYCEFLNRQYDTDYISVMPTNLYGPN-----DNYHPEHSHVLPALIRRFHEAKEQRLPYVICW--GDGSPLREFLYVDDLANLCVFLM---NNYSGNETVNAGTGKELTIKALTELVAKIIGYSGEIRWDTTRPNGTPRKLLDVSKA-TALGWTYKTELENGIRLAYEDFLNNP-------- 134986656 ----NILVTGGAGYIGSHIVELLVKKNNNVIILDNLVTGYKKLIKKAIFVKADIKDKKKLGDIIKRYKINSIIHLAAYLNVSEAEKNKRKYYLNNVKGTENLIKACKNSSVTNIIFSSSCAVYGNV-SGAVGENKKPNPKGYYGFTKFKGEELLKKYQKKYNFKCGILRYFNVAGASPSGKIGE----------------------------------------------------------------------------------------------------------------------------------------------------- 134962354 IKDSKILVTGGAGFVGSYIVEELLKKEAQVIIIDNMLRGNMENFINNPFKEGDIRDFELMEKLISSV--DYVFHLAAL-RITRCAENQKEAFEVMAQATFNITDLCKKHGIKKIIYSSTASVYGLAQNFPTPEDNTYDNKTFYGAAKSFGESLLRSYHDMHGLDYVALRYFNIYGPRMDT------EGKYTEVMIKWFDCIKNNKAPLIYGD------GSTSMDFVYVKDVAKANILALESEITD---EVFNVGFQRETSLKVLLSIMLKVTKS----------------------------------------------------------- 135862627 ---RNILVIGGGGYVGSELVPELLNKNYKVSVYDLFIYGKNEENKNLNLIEGDIRDINKLKSVIK--NIDIVIHLACISNDPSFELNPDLGKSINLDCFRPLVEMCKTNNVKRFIYASSSSVYGIKKEKNVSEDLSLEPLTDYSKFKVECEKILLEY-KDDKFETVIIRPATVCG--------YSKRQRLDVVVNILTNLAFNKRKIKIFGG-------DQLRPNIHIKDMVRVYLNLIEAKSELVNGEIFNAGYDN-MKVKEIANVVKKVVGDDVEIDREE--TNDNRSYHISSEKIKKIIKFEPMFTIEDAVKD----------------- 142358928 ---KVALITGITGQDGSYLAELLLEKGYEVHGIVRINTHRIDHIYDKIRHYGDLTDSTNLVRIIQLVQPDEIYNLGAQSHVKVSFEIPEYTGQVDALGTLRILEAVRMENKVRIYQASTSELYGLVQETPQRETTPFYPRSPYGCAKIYGYWITKNYREAYGMYACTGILFNHESPRRGETFVTRKITRALSRISTGEQNILE------LGNLNAKRDWGHARDFVEAMWLMLQQDEPDDFVIATGVQYSVREFPYFGMTIVWKGEGLEEVGIDKTSGRTVVRRPAEVETLLGDASKAKEKLGWEPTTSFRQLVEEM---------------- 196230860 ---KKALITGITGQDGSYLADLLLEKGYEVHGIIRFNTSRIDHLYADPHVHGDLSDALNLTRLIDRVGPEEIYHLGAQSHVRVSFDIPENTGDVTALGTIRILEAIRESKVQRFYQASSSEMFGKVQAVPQTETTPFWPRSPYAVAKVYAYWATVNYRESYGMHASNGILFNHESPRRGETFVTRKITRAVAAIKKGKQKELY------------LGNLDAKRDWGFAPEYVEGMWRMLQ----QPEGDDYVLATNETHSVKEFVVEAFGHVGLDWYVKYDARRPAEVELLIGDPAKAKTKLGWEPKTHFKDLVKIMVD-------------- 225412350 --GKSVLVTGHTGFKGSWLTRMLTLAGARVTGY-SLNPPTDPSLFEMDSVIGDVRDLARLQEVFERVQPQVVFHLAAQPIVRDSYKDPVYTYETNVMGTVNVLECVRRTMVQSFLNVTTDKVYENREWEYYRECDPLDGYDPYSNSKSCSELVTHSYQKSFFQDGRCAISTSRAG----NVIGGGDFANDRIIPDCVRA--------AGCGREIAVRNPHSTRPYQLVLEPLAVYMAIAKRQWEDRNFQGYYNVGPDDKDCVTTVDLFCTAWGPAAWVDQYDGGPHEANFLKLDCSKIKRALNWTPRYGVKEAIEKTVAWSKEYLEG------ 305666604 FKGKRVFLTGHTGFKGSWITLLLKALGAEVTGY-ALSPDQKQNLKKMCSHIGDIQDFSALKEAMRNADPEIVIHMAAQPLVIESYKNPVYTYGTNVMGTVNVLETVRQPNVAVCLNITTDKVYKNNEWLWYRETDSLGGHDPYSNSKACSELVTQAYRDSFFTDSNTI----LATARAGNVIGGGDWAANRLVPDFIKSIQS--------GEQVVIRSPKAVRPWQHVLDCILHLIWQLSTSKKLASSYNFGPDNDNIRTVESLIEIVCKAWGNSVSYRIEGNDTFHEAGLLLDISRTIQELGWQPKWGMEKTVLQLVDWYKGFSEG------ 134708505 ----RVLVTGGAGFIGTNLVRRLLSEGQEPVIFDDFSSGLGSNVLDVRVIRGSLVDLEAV--VGAAQGVDAIVHLGARGSVPRSIAHPVATHEVNATGTLNVLEAARAQDSHVIM-SSSSSVYGANPLLPKVERTWTQPLSPYAGSKLAGEGYMLGYQASYGLPTLVLRFFNVFGP------WQRPDHDYAAVVPKWLWKIMTGRPIEVHGD------GTQTRDFTYVDSVVDVLIDALDRRV------------------------------------------------------------------------------------------ 307154119 ---KTALITGITGQDGSYLSELLLEKGYQVHGIIRFNTDRIDHIYVDPHHYGDLTDGTTLRRILEQVQPIEIYNLGAQSHVRVSFDSPEYTADSVGMGTLRLLEAIRDYQHRRFYQAGSSEMFGKVQEIPQKETTPFYPRSPYACAKVYAHWQTLNYRESYGMFACNGILFNHESPRRGETFVTRKITRAIARILAGQQKKLY------------LGNLDSKRDWGYAKDYVKAMWLMLQ----QQEPDDYVVATNETHSIKEFLEIAFKFVNLDWYVEFDERRPAEVDLLIGDSSKARTKLGWQPSVTFEELVHLMVE-------------- 118602873 MNKKKALITGITGQDGAYLAEFLLEKGYEIHGIKRFNTDRIDHLYQDPHHYGDLSDSMSLVRIIQQIQPDEIYNLGAQSHVAVSFEIPEYTVDTVGLGALRILEAIRIEKKTRYYQASTSELYGEAQEIPQKETTPFYPRSPYAVAKLYAYWITVNYREAYGMYACNGILFNHESP-----IRGETFVTRKITRALARISLGLQDRVYL-GNMDAKRDWGHAKDYVEMQWLMLQQDEPDDFCIATGDFVNFSWGHLNKKIRWEDKGMNEKGYDMETGNLIVAFRPTEVKTLLGDSTKAKEKLGWVPKITLEEMAREMME-------------- 143180603 MTKKAI-ITGITGQDGSYLSEFLIDKGYEVHGIDDLISKHGSTNKLILHYS-DLLDSSSLNTLVQTINPDEIYNLAAQSHVMVSFKNPMFTTQTGTIGSLSLLEAIRHDKTIKFYQASSSEMYGGKAREPLNEDSRFDPRSPYAASKVFAHNMTKMYRDSYDLFCVNGILFN------------HESPKRGETFVTRKITKALGRIYLGIQEKLTLGNLDASRDWGYAGDYVEGMWKMMQHETPD----DWVLATGTTHTVKEFLEIAFGILDLNWEKYVQTFRPNEVEYLLGDASKAEKELNWKPKTSFKELVDMMVK-------------- 139447971 ------------------------NKGHKVIIIDDLSTGHISNIENIDNKKLTFIKKSILNKNIKKYKIDTVFHLAAQSDIVPSIENPNKYFDINVKGTLNILNFVREYKIKKFIYAASSSCYGIPKKYPTDEKSEINPRYPYALTKYLGERLVQHWAKLYNFKFLSLRLFNVYGPKVRT------TGHYGAVFGVFLSQLYNNKPLTIVGD------GKQKRDFTYVSDVVNAFIG----ASNTSHEGIYNVGTGKPISINNVVKL-----LKAKKKVHIPKRPGEPFQTNANIKRIQNDLKWKPKISFRDGL------------------- 23011046 --------------------------------------------------------------------------------------------------------------------------------VPVPETLETNPINPYGRSKLMSEWMLADAAAAHGFSYGILRYFNVAGADPRGRTGQSTPNATHLIKVATQAALGQRSHLEVFGTDYPTRDGSCLRDYIQVSDLAEAHMVVLNHLRGGGESLTLNCGYGRGYSVLEVVEVVKRISGRDFEVRLSPRRPGDPAQIIAGADRIRNELGWVPKHDLDAIVAQAFAW------------- 83309898 ------FVAGHRGMAGSAILRRLQSEDCETLTVDRLA--------------LDLRNQSAVEAWMEDKRPDAVFLAAALVGIRANSTRPAEFLYDNLAVEMNVIHAAHRVGVSRLLFLGSSCAYPREAAQPMAESSMLEPTNEAYAAKIAGIKLCEAYHRQYGRHFMSAVPASLIGPGDRFDAENGHVGAALVMKFHDAVQRGAD-------TVELWGTGSPIREFLYVDDLADACVFLMKSL---GGGEIINVGSGIEASIRELAELTARVVGFKGKLSFDTTKPDGMMRKLVDSTRIRA-MGWQAATSLEESIRRGYEWYLANSKA------ 149050198 ---KRVLVTGGAGFIASHVIVSLVEDNYMIINLDKLDYCASLKNLEYKFIQGDICDSHFVKRLFESEKIDIVLHFAAQTHVDLSFVRAFEFTYVNVYGTHVLVNTAYEARVEKFIYVSTDEVYGGSLDQEFDESSPKQPTNPYASSKAAAECFVQSYWERYKFPVVITRSSNVYGPHQYP--------------------------------------------------------------------------------------------------------------------------------------------------------- 119718400 ----TALITGITGQDGLYLAEFLLAKGYDVHGVIRGQNNPKRDLVEQRLHNGDLTDMSSLIRALRDSCPDEVYNLGAVSFVAYSWENAQLTTDVTAKGVLNMLEAVRLHTGDRFYQASSSEMFGKVQEVPQHERTLLWPRSPYGVSKVFGHYMTINYRESYGMHASSGILFNHESPRRGPEFVTRKISEAVARIKLGVQ------KELVLGNLDAERDWGFAGDYVEAMWLMLQQPAADDYVIATGEAHSI-------RDFLDAAFAHIGIDDWAPYVRQDPRRPAEVDHLIGDASKAREVLGWKPKVSFHELVALMVD-------------- 78355479 ---KKALITGITGQDGAYLAEFLLNKGYEVHGIKRFNTDRIDHLYQDPHVDGDLTDSTNLIRIIQEVRPHEIYNLAAQSHVKVSFDSPEYTANTDALGTLRILEAIRIEKHTRFYQASTSELFGQVQEIPQKETTPFYPRSPYACAKLYAYWITVNYREAYGIYGCNGILFNHESP-----VRGETFVTRKITRALARIALGLQQKVYL-GNMNAKRDWGHARDYVEMQWLMLQQEHPEDFVIATGEVGIFLDWQGSGAEEKGVVRAVARMPSPGDVIVEVDRRPTEVETLLGDPSRAKEKLGWTPRISFEEMVREMVQ-------------- 140318857 -----IIVTGGAGFIGSNFLHYLKGTDQKIIILDNLTYADLRFVPATPQFEFDITNENHVDHIFKKYKPKKVFHFAAESHVDNSIKNYRPFLESNVVGTINLLNASLSIDIEKFHHISTDEVYGLDDDNIFTEETPYDPRNPYSASKAAADHYVKTWHNTYGLPYIITNCSNNYG---------KHQHIEKLIPKVIYRALKGEVT-------YMYGGGHQIRDWLHVWDHASAVWTLEEQGIL---NDNFNIGGDCELSNMTVTKMILDIMGKSHDLVGVSERPG----------------------------------------------- 46241634 --SKVALITGVTGQDGAYLAELLLSKGYTVHGVKRRSSSFNEGDVRFRMHYGDLTDATNLIRIVQEVQPDEIYNLAAQSHVQVSFETPEYTANSDGLGTLRLLEAIRIEKKTRFYQASTSELYGLVQETPQKETTPFYPRSPYAAAKLYAYWITVNYREAYGIHASNGILFNHEGPTRGETFV------TRKITRAVAAIQLGLQKTLYLGNLDAKRDWGHARDYVEGMWRILQQDNADDYVLATGETHSIREFVCVGRKIEWRGTGIDEVATGDILIEVDPRRPTEVDLLLGDPSKAHAKLGWKHTTTFPELVREMV--------------- 139630268 ---KKILVTGGCGFIGSNFVRYMLKKNIQIINLDTLTYAGNEQDKRYSFINGDITERNDVVPALK--DADSIVHFAAESHVDRSIDKAEIFIKTNVIGTHSLLECAKEHDIAKFIHVSTDEVYGLGKDGYFTEETPIAANSPYSASKAASDLLARAYYMTYGFPVIITRCSNNFGP--------YQFPEKLIPLMICQARQNKPLP--------VYGDGMNVRDWLYVEDHCAAVDRVLQSENRRG--------------------------------------------------------------------------------------- 134960951 -------------------------------------------------------NKNKVYNLLKKHKPVAIFNLAAETHVDRSIDGPKEFIKSNIEGIFNLLEALRKYFNIKLIHVSTDEVFGDVLRGRSNENFPYKPSSPYAASKASSDHLVYSYFRTFKIPVIITNCSNNYGPNQ---------HPEKLIPKLIFNILNNR-------TLPIYGNGKNSREWIYVTDHCEAL---LKIFLNGKIGEFYNIGSGKNLNNIQISKKLISILGKKTKIINVKDRPGHDLRYAIDSSKIKKYLKWKPKTDIDNGLKQTFEWYKNYFKSLNKK-- 58040045 ----TALITGITGQDGAYLSQLLLGKGYRVVGLLRRSASADERLCWGILDDVELTDLSSLIRIVETVKPDEIYNLAAQSFVAASWQQPLLTGNVTGMGAVNMLEAARIVKSDRFYQASSSEMYGLIQEPVQNEKTPFYPRSPYAAAKLYAHWMTVNYRESFGMHAS------------SGILFNHESPLRGIEFVTRKVTDGVARIKLGLAKELALGNLDATRDWGHARDYVRAMYLMLQQEV--PDDYVIATGRTTSIRDLCRIAFSSVGLNYEDHVVTNPARPAEVEVLLGDASKAKKALGWEPETTLEEMITEMVE-------------- 302384933 -KGKKVLVTGHTGFKGTWLSHLLVKAGASVTGY-SLTPSTDPNLFEANSIIGDVRDLEHLKRVFSQEEPEIVFHLAAQPIVRDSYKDPVSTYETNVMGTVNVLEGIRTPSVRSFLNVTTDKVYENREWEYYRETDPLDGYDPYSNSKSCSELVTHSYAKSF----FSDGRVAVSTSRAGNVIGGGDFANDRIIPDCIRAAAA--------GQDIIVRNPHSTRPYQHVLEPLAIYMTIAMKQYEELRFQGYYNVGPDDKDCVTTADLFCETWGQG--IRWLDKFEGGPHELKLDCSKIKKVFGWCPRYGVKEAVEKTVEWTKAYLEG------ 136856737 ---KKILVTGGAGFIGSNLIKRLVSEGHRVVSLDDYSTGRSTHIEGVKYINADIETIEYLKG-----QYDVCYHLAALSRIQPSFDDPTECFRVNVKGTESVLEWARHNNI-KVVYAGSSSKHHNPAD------------SPYAMYKYLGEEVCKLYKQTFDVDVEICRFYNVYGPGETT------DGDYAALLGIWRTQVFNSEPITIVGD------GEQRRDFTHVDDIVDGLIKVSETDTKHEDA--WELGCGVNYAINDVAQMFRERYGCDH--VYIPDQKGNYRETLRDNDDTLEILEWKPEDRLKEYI------------------- 141214279 ----KYIVTGGAGFIGSNLVDSLIKKNNEVHIIDNFSSGKKQCHPDAIIHELDIADEKNLDAIRNIFFGATIFHCAAVARVQPSIQNPIHYEKNNTIGVVNSLKAAADSKVKRFIYSASSSAYGPTEKLPSIESDPVNPISPYAAQKYYGEVVCKMFSEVYGLETVSLRYFNVYG-------------------------------------------------------------------------------------------------------------------------------------------------------------- 301309858 -KGKRIFLTGHTGFKGSWMCRILANAGAVVTGY-SLEAPTEPSLFQIANIEGDIRDYASLKKAFDESQPEIVLHLAAQPIVRDSYKDPAYTYETNVMGTVNILECVRNNCCVKSFLNVTTDKVYLNREWSWRENEELDGYDPYSNSKSCSELVTHSYKRSFFTDIDGLPIIPISTVRAGNVIGGGDFASDRIIP--DCIRAAVKHEDIVVRNPYSTRPYQHVLEPLY-----AYLLIAMKQYEDIGFADYYNVGPDDVDCFQTGALVDLFVNKWGEGMRWVNKYDGGPHELKLDCSKLKKTFGWSPRWNLDEAMEKIVEW------------- 135459951 ---KKVLITGATGFIGSHLTELCVKKGFKVTAFDRYNPNYNLGCLKYNFEFGDIRDYDFVSKVVKKNDI--VIHLAALIGIPYSYVSPLAYYKTNVEGTYNILEASKNHNIGQVILTSTSETYGTAKYVPIDEKHPLFAQSPYAASKISADQLALSYWNSFKLPIKILRPFNTFGPRQSS--------------------------------------------------------------------------------------------------------------------------------------------------------- 139373108 -----------------HVSKRLLSEGHTVIGIDNINDYYDVALKHDRFHKEDIVNLDALRNITKDKDISVIINLAAQAGVRYSIENPQAYIDSNITGFMNILQISKEINVEHLVYASSSSVYGSNSQIPFTEHSVDHPVSIYAATKKSNELMAHVYSHMYGIPTTGLRFFTVYGP----------WGRPDMAPMLFSDAITKGKSIKVFN------HGEHHRDFTYISDIVDMAGAPMRPDCAEAPFRVYNIGAQNPVHLMRFIEHIESNLGKEAVKEMLPMQPGDVGRTFADVSALVKDTGYSP--------------------------- 116514776 ----KIIVTGGAGFIGSNFVFYMMKKDYKIICLDKLTYAGNLSTLKDVMVKLDICDREGVYKLFEEEHPDVVVNFAAESHVDRSIENPEIFLQTNIIGTSVLMDSCRKYGIKRYHQVSTDEVYGDRPDLFFHEDTPLHTSSPYSSSKASADFLVGAYGRTYGLPVTISRCSNNYGP----------YQFPEKLIPLMIQRALDDKPLPVYGEGQ------NVRDWLYVEDHCKAIDLILEKGT---VGEVYNIGGHNEMHNIDIVKLICDYLDKPY--------------------------------------------------------- 141935199 ---QRIFVTGCAGFIGFHVAKRLLDEGGAVVGIDNINDYYSQELKRFQFHEADISERKVVAELVGDSSASAVVHLAAQAGVRWSISNPWAYLDSNLTGFLSVLEACRHASVPRLIYASSSSVYGKNANVPFDVDRVDHPISLYAATKKANELMAHSYSHLYGLPTTGLRFFTVYGP--------WGRPDMAIWKFVEAIYQG--TPIKLFNNGNMKRDFTFIDD------------------------------------------------------------------------------------------------------------- 140237133 -KNEKILITGAAGFIGSALSNKFLLNGHDVIGIDNLNNYYDVKLKKWTFYNASIENSIQIEDIFNSENPNIVVNLAAQAGVRYSLTNPASYTATNIVGFGNILEVCRKNEVKHLVYASSSSVYGGNELLPYKERHVDHPVSLYAATKKANELMAHTYSHNFNLPSTGLRFFTVYGP--------WGRPDMAPIIFAKAISEGRYINVNNFGE--------MKRDFTYIDDIIEGLFRCC---------------------------------------------------------------------------------------------- 142510279 ---KKFLICGATGFIGKNVILGLSKNKNYQIHAVRFNRKAYDTPKNVIWHRADLRNPNTVNKLTK--NIDIVIQCAATTSGSKDIVSKPYIHVTDNAVINSYMFRSAFSNGVKHFIFPSCTVMYQSSKKPTKEKDFNGRIIDKYETKVYLEKIAKFYSMMSKTKFTIIRHSNIYGPHDKYDLEKSHV---------FGATITKVMRAKDYLEVWGTGKE--IRDFLYAEDLVDFIKKAIQKQKTQ--YEIYNCGSGEPVTVKDLCKKIIEISGKDISIKFNKTKPSIPFDMYLDCSKAKKELGWTPQIEIDQGIKKTISWWKKN--------- 262384771 ----KILITGGAGFIGSHLCDALLERGHRLTVVDNLVLGRKENISHFEFIEEDLLHVEAMRTIFKNKKFDMVYHLAANSDIQKGGKDPKVDYDLTFNTTFHVLQYLKEFGIRKLFFASTSAIYGETSDVLNENYGPLCPVSNYGAGKLASEAFISAFSATYLIQTWITRFPNVVGERFTHGVIYDFIHKLRKNPA----------------ELEVLGNGEQYKPYVYVKDLVEGILYVIDHASDA--YNVYMLGSDSRTKVKEIAAMVIEEMGLNAKIKYGGDRVGDVPEF------------------------------------------ 139728671 -------ITGGAGFIGSAVVRHIISNTDTVVNVDKLTYGNLESLARYIFEKVDICERGELDRVFSQHQPDVVMHLAAESHVDRSITGPSDFIQTNIVRTYTLLEAVRQYWMFRFHHISTDEVYGDLPHPLFTETTSYAPSSPYSASKASSDHLVRAWLRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKPLP--------IYGKGDQIRDWLYVEDHAR---------------------------------------------------------------------------------------------------- 138714758 -------VTGCAGFIGFHVASRLIKEGYRVIGFDIINDYYSTKIKRDRFYKINLINYEKQKKIFIRFKPKYVVNLAAQAGVRYSLKNPMAYVESNLIGFTNILELCRHFKIKHLIYASTSSVYGASLRQPYQEKDVAHPVAFYGATKRANELMAHSYSYLYKLPTTGLRFFTVYGP----------WGRPDMALFLFVKNIINKKKINVFNKGKHTRDFTYVDDIVESIYRVNKKDNFTDLSKSSAPFRILNIGNNSPTKLMTYISEIEKQLKIKSKKNFLPLQKGD---------------------------------------------- 285019467 ---KKLLVTGAGGFVGKHLLDA-VARGQ---------FGHVEAMSLPAGT--DLRDMAAIESALGDACPDAVVHLAAQSFVPQSFDDPDETLQVNLIGTLHLLQAARKGFSGRFLYVSSGDIYGRVPEGPVDETLLPEPRSPYAVSKWAAEQLCMQWHRSEKLDVVIARPFNHVGAGQGGRFVL-----SSLARQVVAIAEGRQPAVIEAGDIDTT------RDFSDVRDVVSAYAALLTRGRSGG---IYIVASGVERRVRDLLLEMCRLTGVEAEVRQDPMRPAEQRRMVASPAKLQSDTGWMQAFDIQSTLSEILEHARKNQ-------- 93115463 --KKKALITGITGQDGSYLAEFLLDKGYEVHGIKRFNTNRIDHIYQDLHHEGDLTDSLNIVRLVQEIQPDEIYNLGAQSHVAVSFESPEYTADVDAIGTLRLLEAIRICKKTRFYQASTSELYGLVQEIPQKETTPFYPRSPYAVAKMYAYWITVNYRESYGIYACNGILFNHESPRRGETFV------TRKITRALANIAYGLEPCLYLGNMDALRDWGHAKDYVRMQWLMLQQETPDDFVIATGKQISVREFVRMAAANLKEVGVVKAIHGNEAPVQVDPRRPAEVETLLGYPEKAKIKLGWEPEITIEEMCKEMIR-------------- 86137092 -QGKRVFLTGHTGFKGSWMALWLSQMGAQVTGFDQLDIANR-----ISSQFGDINSYEALLSALDEAKPDFVFHLAAQSLVLRGYSDTLTTWQTNVMGTANLFEALRVLKRPATVVAVTTDKVYRNTEHAFVEDDPLGGIDPYSASKAGTELVVNSYR---SVLLQEKLPIRVASARAGNVIGGGDWCENRLVPDIARALIA--------NEPLLTRNPQAVRPWQHVLEPLAGYMQLAELLHGDPQFAD-AYNFGPDITDNRTVQDVIVESLKSWPGTYAPTDNSDMPHLMLNIEKAKAKLGWAPRWNFETAVAKTMQWYRQTHEG------ 140147849 ------LVTGGAGFIGSHMVDLLLSQGYFVRVIDNLSGGHQKNLKHCKFKKYDICKLRYNDSFFKNV--DYVFHFAGIGDIVPSIENPEKYMLTNVQGTIKVLEASRYNNIKKFVYAASASCYGK-TKGLTNENHKIDIEHPYALSKYLGERAVIHWNKVYKLPTNSIRIFNAYGPRVRT------TGAYGAVIGVFLKQKIKNKPLTIVGN------GKQSRDFVYVTDVCKAFY------------------------------------------------------------------------------------------------- 135614683 -----------AGFIGSALSIKLLDLNYRVIGLDNHNDYYSTKLKTDRHYDLDLIRKSEIFELFDKHKPKIVVNLAAQAGVRYSLENPRSYIDSNIVGFLNILEACRHFNIHHLIYASSSSVYGANKKILFSVDNVDHPLSLYAATKKSNELMAHTYSHLFGLCTTGLRFFTVYGPRPDMALQKFAMSIINEKKIDVFNHGKHRRDFTYIDDIIDGIIKVVENPLIRNKD---WSGEKPDPSSSLAPWRVYNIGSNNPIDLLDYITLLENELGKKAHKSFLPLQPGDMVDTFADV-------------------------------------- 99081322 LRGKRIFVAGHRGMVGGAVLRRLAEEDCEVV-----TAGR---------EDLDLTRQQAVMEWMAATRPDAIIMAAARVGGIKANSDYVDFLLQNLQIETNLAEAAHAADVQRFLFLGSSCIYPKFAPQPIPETGALEPSNEWYAAKIAGIKLMQAYRQQYGRDWISAMPTNLYGPGDNYDLETSHVLPALLHKFHTARLTGAD-------QVTLWGSGTPLREFLHCDDLADALVFLLKHYS---GADHVNVGSGKEISIRALAELIAEIVGVSPELVFDSSKPDGTPRKLMDSARL-AAMGWSGARPLRDGIAETYA-------------- 141411513 ----------------------------------------------WEFHKEDLADKERINKIFKLNRPSIVINLAAQAGVRYSLLNPMSYIQSNIVGFLNILECCRNFKVKNFIYASSSSVYGGNKIAPYSEKHVDHPISLYAATKKSNEVIAHSYSHLYKIPSTGLRFFTVYGP----------EGRPDMAPMIFSDSMLRGKPINVFNNGKMSRDFTYIDDVVDAIYKCSFYAQNPEPSTSFAPHRIFNVGSNNPISLINFIEKLESALGVKAIKKMKGLQPGDVESTFADISRIQEWIAYSPNTSFENGI------------------- 143608272 ------------------LAELLVKKGFNVKALTYYNSFNSWGWLDHINQKVDIRDEQLISNTIKK-KIDVVINLAALIGIPYSYRAPKSYIDTNVYGLMNILNSARKSNIEKIIHTSTSEVYGNPVFIPITEEHPVSGQSPYAASKIAADQIALSYEKSFKLPITILRPFNTFGPRQS---------ARAVIPTIISQILKQGK--------IELGSLFPTRDFTYVEDTAEAFIKSIKNKKNIGEVINI--GSGFEISIKDLVKKIAKLMGKSVSVKRVRPKKSEVLRLCASTKKAKKLINWSPKFTFNEGLKKTISWFS----------- 222147494 -AGKRVLLTGHTGFKGSWLALWLTQMGAQVSGLANLCTGHDNHAEGGIC---DLRDRIAVAALVARIKPQIVFHLAAQPLVRLGYRDPVATFETNVQGTVHVLEALRISPDVKSIVVITTDKVYENAETAFVETDPLGGHDPYSASKAAAEIVVSSYRSSF----FAARGVGLATARAGNVIGGGDWAEDRLIPDAVRAW--------SLGAPLDVRRPNAVRPWQHVLEPLAGYIAMAHHLWHDPASLNFGPDHASAACVADVLALAVRHFGSGEVVLGDGRDPHEAGYLMLDSSKACMTLGYRPLWSLEETVARTMNWYRR---------- 143374601 ----TVLVTGASGFIGGYVVEELLVRGHEVIGLDNFSKYGRDAHPRYRFVEGDARDADLMARL-ALECDHLIAGAALIGGISYFHAYAYDLLATNERIMASTCDAHRQGRLRKVTYLSSSMVFESTDRWPSVEGDERRPLSSYGFQKLAVEYFARAAHDQYGLPYTIVRPFNCVGVGEGRALGEAEVSGNVTLAMSHVVPDLVQKVVKGQDPLHLLGDGTQVRHYTYGGDLAKGIATCMEHPAALNEDFNVSTDRSTTVLELAELVWRKVRGDAPFRWTSDPAFAHDVQRRVPDVAKARRMLGVECPTTLDEMLDEVIPW------------- 187477752 -AGRRVLLTGHTGFKGSWLSLWLTQMGAQVTGMDLF--GVASAGAGMTSIIGDIRNAERVLETVQAAKPEIIIHMAAQPLVRYSYTHPVDTYATNVMGTVHVLETLRHVPGVRAVVVVTSDKCYENRETPFLEDDPMGGHDPYSSSKGCAELVTTAYRNSYFSPSAYGSHVAVASARAGNVIGGGDWAQDRLIPDIMRAIGA--------GQAVHIRSPNAIRPWQHVLEPLSGYLMLAQALYERGAEFADAWNFGDARPVQWIVEQLVMAWGPPARWTLDQDHPHEAHLLMLDSTKASRELGWKPVWSLEQTLSRIVAWHKAH--------- 139993503 ---KSILVTGGAGFIGSHLVRLLVNKEYHIVNMDVLTYAGNLDNLKDIFVKCDICDFKKVKQVFVDYKIDSVIHLAAESHVDRSIEDPFSFAQTNVMGTLSLLQVAKTYWKNNFYHVSTDEVYGLVKEGFFTETTKYDPHSPYSASKASSDHFVRAFHDTYGLPVVISNCSNNYG-------------------------------------------------------------------------------------------------------------------------------------------------------------- 134969804 ----KILVTGAAGFIGSHLVHHLVARGDEVFGLDSINDYYDVRVKYGRFIKLKLEDNDRLNRLFDEQGFDAVCNLAAQAGVRYSLTNPEAYINANIVGFINILEACRHNDVKNLSYASSSSVYGLNSDLPFTDHNVDHPISLYAASKKSNELMAHTYSHLYNIRTTGLRFFTVYGP--------WGRPDMALFKFVKAALDGE--------NIDVFNKGDMVRDFTYIDDIINGVMRVIDKP------------------------------------------------------------------------------------------- 254487758 ---RKIYIAGHRGMVGGAILRQLQARKD---------AGEALTLLTRTHAELDLTSQAAVRDFMMAEQPDVVILAAAVGGIMANNTYPADFIYENLMIECNVIHQAFAAGVKSLLQLGSSCIYPREAAQPMAEDATLEPTNPYAIAKIAGIKLCESYNRQHGVDYRSVMPTNLYGPG-DNFHPQNSHVLPALIRRFHEAARDNLDEVVIWGTGKPMREFLHVNDMAEASLFVLDLPQDVYAANTQPMLSHINVGTGTDVSIGELAQMVADVTGFQGKLGFDTTKPDGTMRKLMNVSRL-ADMGWRAQIDLKDGLQETYNWFLN---------- 143726973 ------LVTGGAGFIGSHLVDKLLEMGHQVTVVDNESSICNQKFYWNWNVKADISDAQVMEQVFSCVKIDWVFHLAAYSRIQIALKNPVGCVRTNVLGTTTLLQNAREHGVKAFVNSSTSSSYGLKNEPPLREDMTPDCLNPYSVSKVAAENMCNMYSDLFDLNIVNLRYFNVYGDRQ----------------------------------------------------------------------------------------------------------------------------------------------------------- 141452325 -----------------------------------------------------------------------------------------EYYENNVGGTLNLLGAMAAKGVEQLVYSSSAAVYSPNDKEAVLEDDPTAPLSPYGASKLLAEQLISSVGSAEQISNISLRYFNVIGSN----IAEFGDNSKDNLVPKVFLALKNGKRPQIYGSDYPTSDGTCIRDYIHVQDLARAHLAALNKVESGYISQVYNVGSGKGYSVKEMMDQISKSLGRDINPEVSQSRAGDSPKLIASIDKIKEQLGWSPKASLEEMIDSAWQ-------------- 135294937 MANKTILVTGCAGFIGSNFVPYFLEKEYTLVNLDLLTYAGDEDNSRYKFIKGDICNRELVEFIFDEYDIKGVIHFAAESHVDNSIKNPGVFVETNVNGTYTLVDVAQKYWMCRFHHISTDEVYGTGETGLFTETTPYAPNSPYSASKAGSDMIVRSYVETFGLNGVITNCSNNYGP----------KQHDEKLIPTIIRNALAGNPIPIYGDGKNIRDWLYVLDHCKGIDLAYHQ-------------------------------------------------------------------------------------------------- 309781051 ----TIIVTGAAGFIGANIVKGLNERGETDIIVDNLTRADKNIVDCQISDYLDKTDFVERFARGEFGKVRAIFHEGACSDTME--TDGRYMMDNNYRYSLAVMRACLDQGVQ-FLYASSAATYG-ASETFREEPHFERPLNVYGYSKLLFDQIVRRVMPTALSQIVGFRYFNVYGPR------EQHKGRMASVAFHNFNQFRAEGTVKLFGEYNGYPQGGQMRDFVSVEDVVKVNLFFFD---NPDKSGIFNLGTGRAQPFNDIVNTLRAAEGKPALSTEELAQEGDALRTQADQSRLRAA-GYAPFLTVQEGVERYCQWLLKQP-------- 142082778 MSMNKIIVTGGAGFIGSNLVKRLLDDGAEEIVIDDFSTGKKENLHDSSKVHLILEDIEDLKSKFKGYDFC--FHLAAGVGVQYIMDNLSDSLLTNIQGTHIVFEACKVNNIPV-MITSTSEIYGTSKEESWDEETKIGPTTKLRWSKMIDEFLALSEFEAGNLKPIIVRLFNTIGPNQVSDY--------------GMVVPRFIESALQNKDIIIHGDGSQTRSFTWVGDVIDYFIKLSEL---ESYGEIYNIGQTNEISIKDLAQLIIDTTSSNSEIKFLSDKFEDPTRRTPNIDKIVKATGMKPSYDIQTMIKEIVEYKRQN--------- 297154066 --SKCALITGITGQDGSYLAEHLLAQGYRVWGLIRGQANPRKLRIDVTFVDGDLMDQASLVAALDAVQPDEVYNLGAISFVPMSWQQSELVTEVNAMGVLRMLEAIRMRGGIRFYQASSSEMFGKVTETPQRETTIFHPRSPYGAAKAYGHFITRNYRESFGMYAVSGMLFNHESPRRGAEFV------------TRKISLAVAQIKLGLQDKLFLGNLDAVRDWGFAGDYVRAMHLMLQ----QDEPSDYVVGTGRIHSVRDAVRMAFESVDLDWYVAIDPTRPAEVETLCADSARARTELGWEPTVDFRELMNMMVE-------------- 144124450 ---KRALITGITGQDGSYLAELLLDKGYEVHGIIRFNTDRIDHLYNDPHVHGDLADGVQMVKLLYDLKPDEIYHLGAQSHVRVSFDVPEYTGDVTGLGTLRILEAIREDNKCRFYQASSSEMFGLVQEVPQTEKTPFYPRSPYGCAKVYAYWLTVNYRESFGLHATNGILFNHESPRRGETFVTRKITRAATRIKMGLQDKLY------------LGNLDAQRDWGYAKEYVEAMWLMLQ----QDVGDDYVMATNETHSVKEFVQETFALLDLDWHVEYDKRRPAEVDLLIGDPAKAKRQLNWEPKVRFKDLVKIMVD-------------- 291557992 -KGKTVLITGHTGFKGSWMCKLLSMAGAKVIGYSNPPTTPSLFELSGVEKEGDIRDLAKLNETMQKYRPEIVIHMAAQPIVRESYAKPVYTYETNVMGTVNICEAVRCDSVKSFVNVTTDKVYKNNEWEWYRENDALDGYDPYSNSKSCSELVTSSYIKSF----FYNRDIAVSTCRAGNVVGGGDFAKDRIIPDCVRAMEAKQE--------ITVRNPYSTRPYQHVLEPVVTYLVLAMKQYEDAGNYNIGPDDCDCAATGELVDLFCSHWGDGASWKNVADKPHEANFLKLDCSRIKRVLGWSPRWHISDAIEKVTEW------------- 135419654 ---KNVLVLGGGGFIGRNIVEFLINRGDCNITAADIKEGNDSFAKRFKAVTADFTDIAAFDNLDK--RFDEVYMMAAVVGVNRTIKAPQDVIRINTLLTMNTLDWISRNPIKRLLFSSSSEEIPTSEKVPLCVSDVTHPRWTYAMTKMHGESAFIHSAKSHNYKCIIIRYQNIIGPDMGFGHAIPHIVERFVK--------GERSPFKIYG-------HDQTRAFCYIDDAVKGTVSAME--SDKAVGEIYHIGKQCEITMETLTTYIGKLMGYTGKFEGAMTYPGSVSRRCPNIDKAKSDFGYSPKTDWEKAVSLTVDWYRNFFNS------ 114778137 ----RILVTGGAGYIGSNIAAALCKRPADVLVVDDFSSGDWRNLVHVDCERACNCDDPALLDEIADGAFSAIYHEAAITDTT--VMNQRLMIEANTNAFAMLLEAS-SMSGTRVVYASSAGTYG-NSPAPNKVGSGEEPENIYGFSKLAMDRIAYRWYDRHPAPLIGLRYFNVFGPG------ETHKNERDGNKTASMI-LQLYEQMKSGRNPRLFKYGEQMRDFVYIRDVINANLAAL----NAPRSGVCNVGSGQARTFNDIVHITAETLGIDAKIEYMDPHTFYQNHTEADVSDSHALLGWQPEWSLENGMKEYI--------------- 308275090 ---KTALITGITGQDGAYLAEFLLEKGYNVHGIKRFNTQRVDHLYKDPHEQGDLTDATNLIRIIQEVQPDEIYNLAAQSHVQVSFEIPEYTANTDALGALRILEAIRIEKKTRFYQASTSELYGKVQEVPQSEKTPFYPRSPYACAKLYAYWCTINYREAYNIYACNGILFNHESP------LRGETFVSRKVTRAAARIALGLEKKLFLGNLNSLRDWGDARDFVRAQWMILQQEKPDDFVIATGEAGIKIEWKGNGIKEKGIVAAVKKLKKGQTVISVDPRRLTEVETLLGDPSKAKKVLGWVPVISFEKMIREMVAY------------- 139592577 -------------------------------------------------------------------------------------------------------------------------VYGDKNLESLKENLLPNPTNPYGETKLVVEKILSNLYKENCWRIANLRYFNPIGAHESGMIGENSLNPDNLFPYICKVAEGSLKKLNIYGNDWPTPDGTCIRDFIHVMDLADAHLSTLEFLENRPQIKNINIGTGKGTSVLELVHTFISINDCKLEYTFSKRRSGDVSILVADNKLALSTLNWEPKRDLKQMCKDGWRWQKLNPNGYK---- 198284959 ----KLLLTGANGFVGQYVQAAL----------------PGVPLPDG----LDLRDRAALTAAVAAIQPEAVLHLAAQSFVPAAFENPHETFDINFTGTLNLLEALQSSFMGRMLFVGSGDTYGSEADLPVREDHPLRPRNPYAVSKVAAEALCYQWSQTSGFEIVMVRPFNHIGPGQSPRFAIADFA-----RQVMEIRMGRRAPVLQVGDIDVT------RDFTDVRDVVRAYTLLLEKGQNGG---IYNVCSGREYRIRDLLRQLLTLAGVEATIERDPARPAEQRRMVASFDALHRDTGWQPAIPMEESLQDLLDWEK----------- 141024635 ---------------------------------------------------GDVRDKEFLEK--NTNDCDKIIHLAALIGIPYSYYAPRSYIDVNVTGTLNLLEIAKKKNFKKFIHTSTSEVYGSAKYIPMDESHPINPQSPYAASKSSSDQLAMSYYYSYDLPITILRPFNIFGPRQSS---------RAVIPTIINQVINSKTNIKL-------GAKNTTRDFTFVEDTISSYAKTINNKMSNGEILNV--GNNFEISINDIVKIVSKIFGKKINIQLDKKRKSEVNRLYANNNKAKKIIGWKPIYSFEKALKITCEWF------------ 163839446 ----RLLVTGGAGFIGSHLIDAALAEGWQVRILDSLDPSVHRSTPSLPDIRGSVTDPHAVLTPLDGV--TAVSHQAAKVGLGLNFQDAPDYVAANVHGTAVLLAAMSKRGLRTLVLASSMVVYGEGRPELVAENALLGPRNVYATSKLAQEHLASNWAREVGGRAFALRYQNVYGPRM------PRNTPYAGVASIFRSALACGEAPKVF------EDGQQRRSFIHVEDIASANVAALQAVQQPGAAFQYNVGSDTVHTIGELAATLAQLSDGPAPEHAGDYRLGDVRHITASSESIRAELGWRQKWDFEAGMQQFAQ-------------- 140349075 MKNPKSIITGGAGFVGSNLTDHLVRIGHKVIILDNFVSGKKSNLSHHKKKNVKISQKKNLDKYFK--GIDYVFHLAGLAEIIPSIKNPKKYFINNVIGTLNVLEASRKAKIKKFIYAASSSCYGAPKKIPTSEKDRIDLNHPYALTKYMGEELVMNYASQFRMPNISFRFFNVYGPRLNA------SGQYSAVIGSFLTQKKNKKPLTIVGDGKQT------RDFIHVYDLA----------------------------------------------------------------------------------------------------- 134416864 -----------------------------------------------------------------------------------------KYWENNLQGTENLLDAMRINNIKEFIFSSSASVYGHSTRQPITEESKVDPLSVYAKTKAASEKLIKEHTLKGALKAISLRYFNPLGAHGDLVIYENPMTEFNIMPKLLRVFLKIDSDFSIFGDDYNTRDGTGERDYLHISDLIEGHFLALSHLKNISNYDVFNLGTGKGITVLELLNTFEKASGIDIQKNFKNRRLGDVDVCYSDSSKSNKILKWSAKKSLLDMCNDSIQ-------------- 89070456 -------------MVGGAILRKLEAR---------RAAGEELELLTRTHAELDLTDQQAVRAFMEAERPDAVILAAAVGGIHANNTYPADFIHDNLLIQANVIGQAHAAGVRRLLFLGSSCIYPKLAPQPMREDATLEPTNPYAIAKIAGIKLCESFNRQHGTDYRSVMPTNLYGPG-DNFHPENSHVVPALIRRFHEAVQGGADEVVIWGTGTPYREFLHVDDMAEASLFVFDLPRDVYKANTEPMLSHINVGTGQDVTIAELARAIAKITGFEGRITFDTTKPDGTPRKLMDVSRL-AAMGWRASTGLEDGLADAYRWFRE---------- 139795319 -------------------------------------------------------------------------------------------------------------------------------NVVLDEHSAQQPINAYGASKRAVENILADFGAAYGLNSVIFRYFNVVGADPDGVVGEFHQPETHLIPLILDAIDGKLDALTIFGTDYDTPDGTCIRDYVHVCDLVDAHVLGLKWLQSGRGSRVFNLGTGDGFSVRQVIDQAKHVTNRPVPVTHGARRPGDCTKLVSGSLRAKTELGWSADRSLKQMITDAWRWHQN---------- 134687883 ---------------------MLTKTEFTVINVDKLTYAGNLGSLAGYFEKVDICDAKEVSNVLRKYQPNLILHLAAESHVDRSISAPETFIKTNVFGTYVLLSEARKYFDFLFHHVSTDEVYGDHTNTTTNEDTPYAPSSPYSATKAASDHLVMAWLHTYKLPIVITHCSNNYGP--------YQFPEKLIPNIILNALQCKPLP--------VYGDGQQIRDWLYVDDHVEALFKVLTL---GKVGHSYCIGAQNEKTNITVVEMICDLLDYRELISFVADRRGHDFRYAINASKVQKELGWMPSYSFESGLRK----------------- 143839604 ---------------------------------------------------------------------------------------------------------MKEFGVKKIIFSSSATVYGTPLTLPIPEEHKTIPNNPYGISKLAVEQVLQTYKENKNWSIINLRYFNPIGAHSSGIVGEKNTQASNIMPLLIKVARGDVSHFKIFGNNYSTKDGTGIRDYIHVCDVADGHISALNLILKEKCFNTFNLGTGRGYSVLELIKEFENATNKKIPYVFEGRRKGDVESAYADASSAKNILGWSAKRTIYEACIDAWVW------------- 142843778 ---KTILITGGAGFIGSNFIHFFLEKKYNIINLDSLTYAASVNNLSGCFIEGDIRDRALIEKIFQDNHISGVIHFAAESHVDNSISDPGIFIESNINGTFNLLDVAYKCWMNRFHHISTDEVFGLGEQGLFTEQTPYAPNSPYSASKASSDFLVRSYFKTYGLNVTISNCSNNFGPRQN---------------------------------------------------------------------------------------------------------------------------------------------------------- 136148181 FEGKKVVVTGGSGFLGSNYIEGLVKRGADV-------YTHIHHKPLQTKVEGIFCDLTNLDDCVNLVDGADVIHSGGNIAHPSTVPTDIQISIQNINVLGNVLDACVKTGVTKFLDLNSSTGYPDRRYPIKEEDEPYKAYYGYGWMRRYREKLMEHVSKFSNLQIMLTR-----GTAIFGPYDNFDTKTCHVVPALINRVLTDENPFTAWGSPDVV------RDFLYVEDVVNAALLVLEKGTPM---RPYNVGMGKTITIGEILDAILAATGKTPEVVWDNSKPTTIPFRMVSTERITNELGFTPQFSFEEGIEKTVAWYKENY-------- 134386729 MSKINILITGANGYIG-NCLFNFLKKNFNVSGLDRNNTFNKRI------YKCDIMNIKKFEKILDITKPKLIVHLAAQSLVDE-TINEKKYYRNNILATNALLDLMEKKKIKNIIFSSTASIYKKNTK-PLKENSNLQPLSCYAKTKFICEKDIKKQKR---LNHIILRFFNVCSALSVPLVGELHKPETHLVPTVTYKGL-KNKTVYIYGKNFNTPDGTCIRDYVHIKDICIAIKKSIIFLFKNKKSLLLNIGNSSGISNNDIVNFVEKKIKRKIKVKYKRKRKGDVSSLICNAKKAKRYLSWTAKNSIDKIVKNEIRW------------- 115466832 -------------------------------------------------VDGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPSSYVRSNVAGLVSLLESCKDADPQAVVWASSSSVYGLNDAVPFSEAHRTDPASLYAATKKAGEAITHTYNHIYGLSITGLRFFTVYGP----------WGRPDMAYFFFTRNILQGKPVTVYRGRD---HVDIARDFTYIDDIVRGCLAALDTAGRSTPYRIFNLGNTSPVTVPALVAMLERCLMVKARRHVVEMPNGDVPFTHANISLAREQLGYKPTTSLEMGLKKFVRWYLSYY-------- 242019803 -KSKVALITGISGQDGSYLAELLISKNYDVHGVIRFNTSRIQHLYANPKHYGDMTDTSCLIKIISEIQPDEIYNLAAQSHVKVSFDLSEYTAEVDALGTLRLLDAIRTCRTVRFYQASTSELYGKVQEIPQKETTPFYPRSPYACAKLYGYWIVINYREAYKLFACNGILFNHESPRRGENFV------TRKITRSVAKIVLGQLDVLELGNLDSKRDWGHAKDYVEAMWLMLQQDKPSDYVIATGESHSVREFRYVGIKIIWEGKGVSEVGKDAVKINPKYFRPTEVDFLLGDPTKAKNELGWKPKVTFLELVKDMID-------------- 143287057 ----KVLVTGAAGFIGSALSMKLLARGDEVIGVDNHNDYYDPRLKEDRHVRVDIRDKGLVDSLFKDNDFDVVVNLAAQAGVRYSIENPLAYIDTNIVGFSNILEGCRNNEIKHLVYASSSSVYGSNSKTPFSHDNVDHPVSLYAATKKSNELMAHSYSHLYRLPTTGLRFFTVYGP--------WDRPDMALQKFTRSILNGEKIQVFNYGKH--------RRDFTFIDDIIEGLMRVIDKPAVPNNN------------------------------------------------------------------------------------- 308511317 -EPKCVLITGGCGFIGSNYINFTFNKNTKFINYDKLAFGEIRESPRYIFVEAKLEDQPTLIKTLQENEVDMVIHFAAITHVDESYSDRIGTIQDNIISTTTLLESIVYKGVKKLVHISTDEVYGDEDTTPKSESASLNPTNPYAASKAACEMVIRSYWHSYKLPYVMVRMNNVYGPR----------QIHTKLIPKFTKLALDGQPYPLMGDGLHTRSWMYVEDCSEAITRVA-----LEGKLGEIYNIGTDFEMTNIELTKMIHSTVSKLLNREPTFAPIPDRPYHDRRYYIDFSKIRNAMGWQCTTPFSEGLMKTIEYYVK---------- 139869957 ----RVLVTGADGFIGSHLVEALLKSGHQVRALDSLTSATKAEL---DVVLGDIRDPLCVREAMRGC--DQVFHLAALIAIPYSYIAPASYIDTNIHGTLNVVQAARDLGVHRVVHTSTSETYGTAQFVPITENHPLVGQSPYAASKIGADQIALSYWRSFETPVAVIRPFNTYGPRQS---------------------------------------------------------------------------------------------------------------------------------------------------------- 144219680 --SKTALITGITGQDGSYLAELLLSKGYKVVGMVRRSSTVNYERIGHLMDDGDLLDQMSLLEVLGSHRPDEVYNLAAQSFVQTSFTQPVLTGETTALGVTRLLDAIRMVDPNRFYQASSSEMFGKVHEVPQNESTPFHPRSPYGVAKVYGHWITVNYRESYDLHASSGILFNHESPRRGLEFV------------TRKITHGVAEIALGHSDSLALGNLDAKRDWGFAGDYVEAMWLMLQQETPEDFVICTGETHSVRDFLELAFSHVNLSFEDHVTVDERFFRPAEVDLLVGDASLAKEKLGWAPKTSFSDLVTGMVD-------------- 114777844 ---KRVIVTGASGFIGRYTLPQLVQAGFEVHAVSRHPD--PEPCEGVFWHHINLMDEQSVATLCSSIEASHLLHLAWYTEHGEFWHADENLDWV--ACSLHLLKYFVHHGGQRVVMAGSCAEYDWHGVGLCSEETPCNPATLYGVSKHALHQVAAAYCSNHQVELVWGRVFFLYGPGETG-----------------SRFVPAVINGLLQQEIVPCSSGQQVRDFMHVADVAEAFVALL----ASEVCGAVNVASGESCRLREIGEEMMRQIRGRGVVEFGADRQGDPAVLTADATRLCDELGWRPTYSLEQGLAETIAWWKQRQEKYD---- 251794440 ---KRALITGVTGQDGSYLAEFLLEKGYEVHGVIRRSSSYNQERLEDHLHYGDVTDALNITRLIGEIQPDEIYNLAAQSHVRVSFDMPGYTLDVDGKGTLNILEAVKFTEKTRVYQASTSELYGKVQEVPQKETTPFYPRSPYGVAKIYGFWITKNYRESYNMFAVNGILFNHESERRGETFV------TRKITLAAARIAQGKQQKLMLGNLDSLRDWGYAKDYIECMWLILQHDKPEDFVIATGEMHSVREFKHVGISIEWQGNGVEETGEVVVEVDPTFFRPAEVEQLLGDPTKARTLLGWNPKTSFEELVRVMVKY------------- 135489870 MKMKKVLITGITGFVGSHMADYVNKKNYKIYGIKRYHLSRLDKIEHINWIDCDITDPISTEKLIKSIKPDKIFHFAAESFVSPSWDHPHRYMSVNYNGTLNILDAMRKINSKAKILIPGSGEEYNSKDMPITEKTLINPVNPYAVSKVAQDYISYVYFKSYGIKVIRVRTFNHEGPRRENVFGISSYAY-----QIAKIEKGLAKGPVLVGYLDDKRNFTHIKDIIEAYWIATEKCKVGKLYLIGNQNKKSIYTF---RQVLEKLKKISFIKNIKHKTHHPFVRPTNVPFLITKKTNFEKETKWKPKIKFDKILLDTLNYWRN---------- 161525675 ----HIVVTGANGFVGRAVCRRALAAGHTVTALVRRPGECVGGVREWVHAEV---DFDGLNAAWTDLGPDCVIHLAARVHRDESPDPDVAFDVTNVAGTLRLAEAARKHGVRRIVFASSIKAVGEGDGMPLSERASPDPRDAYGRSKLRAERELAWFGTANGLDVVIVRPPLVYGPGVRANFLRMMDTVAR-------------------GMPLPLGSIAARRSIVYVDNLADALLHCAVDPRAAGE--CFHVADDDAPSVTDLLRLLGDALGKPARLIRVPRRAAIDRSLQLDTGRIKRVLGWHPPYTTRQGLEATAAWYR----------- 142624050 ----KFLVTGGAGFIGSALVRYLIDTDHQVLNIDKLTYASNESVKDHPNYKVDICNHETIDNIFHTFQPDMVMHLAAETHVDQSIEHSRKFLDSNVFGTYVLLEASRKYYKFRFQHISTDEVFGDLEDGYFTENTPYAPSSPYSATKASSDHLVRAWYRTYGLPIVITNCSNNYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 140365493 ----RLLVTGGAGFIGSNFVRRIVDAGDHVTVLDKLTYANLEMLPHGSFEKGDISNPELADWLTNDH--DAVINFAAESHVDRSISGAKDFIETNVLGTQNLLDASLRNGIKTFVQVSTDEVYGTISEGSWTEDFPLLPNSPYSASKASADLIVRSYHRTFGMDVRTTRCSNNYGP---------HQFPEKVIPLFVTNLIDNKK-------IPLYGKGLNVRDWLHVDDHCRGIFAVL---------------------------------------------------------------------------------------------- 136338815 ---KKALVTGITGQDGSYLAELLLEKGYEVHGIVRFNTSRLDHLYKDPLVDGDLADAVQLVKLLYELQPDEIYNLGAQSHVRVSFDIPEYTGDVTALGSVRILEAIREVDKVRYYQASSSEMYGKVQEVPQLETTPFWPRSPYACAKVYSHWLTVNYRESYGLHASSGILFNHESPRRGETFV------TRKITRAATRIKLGLQNKLILGNLDSKRDWGYAKEYVEAMWLMLQQDEADDFVIATNETHTVREFLEETFSCLDL--DWEQFVGFDKKYE----RPAEVDLLIGDPSKAKENLGWEPKVTFKELVAIMVE-------------- 139448791 ----------------------------------------------------------------------IIINLAAQAGVRYSIQNPQSYINSNLIGFANILEVCREQEIKNFVFASSSSVYGINKKIPFSEDHNDHPISLYAATKKSNEVMAHSYSHLFGIPTTGLRFFTVYGP----------YGRPDMAPMIFANSIMKSKPINIFN------YGKMKRDFTYIDDIVDGIIGCCKKPAKPSEYRIFNIGNNNSVQLDYFIELIEKNLGEKAIKNYMPIQPGDVTQTFADISNLNQWISYKPKTTIEEGVKFFSDWFKDFYKN------ 134918132 ---KNILITGGAGFIGSHVIKRFVTNDYNIYNVDSLTYAGNESKKNYFFFKIDINNNTEILKLFKNKNITDVIHLAAESHVDKSIENSFDFAKTNVLGTLSLLEACKVSWNNTFYHISTDEVYGLGLDGSFSEMSKYDPNSPYSASKASSDHFVRAYHKTYGLQILISNCSNNYGP----------FQHHEKLIPNILNCLKNKRKIPIYGN------GKNIRDWLFVED----HCEAIELIFNRGVGETYNIGGDYEISNLDLAKMIIKKFDSNNLIEFVDDRLGHD--------------------------------------------- 75991690 MATKRIFVAGGGGFIGSHTAKRLKEEGHFVRVADWKRQHYFEDSICDEFHDVDLRDLNNC--IKMCEGMDEVYDFAAMGGMGFIQSNHSVILYNNIMISFNMVEARRSGSVKRFFYSSSACIYPEYRNPGLKESDAWQPQDAYGLEKLVTEEFCKYYNKDFGIEFRIGRFHNIYGPHGTWKGGREKAPAAFCRKALVCGDV-----------FEMWGDGEQTRSFCYIDDCVEGVLRLMRSDVREP----INIGSEEMVSMNDMAHLVLDFAGKKDSTKHHIPGPEGVRGRNSDNTLIREKLGWAPIINLKDGLKRTFDW------------- 135193753 ----RLLVTGGAGFIGSAVIRHLIESDHQVCNVDVLTYGNLESLPRYTFHQVDICDAAAFGSVVAAFQPTHVMHLAAESHVDRSIDGPAAFIETNVVGTYTVLEAVRSYWAFRFHHISTDEVYGDLDDALFTEDTAYAPSSPYSASKASSDHLVRAWHRTYGLPVIVTNCSNNYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 139726784 --------------------------------------------------------------MMESESFDYVVNLAAQAGVRHSIDNPLAYTHSNVDGFMSILEGARKSNVKHVLYASTSSVYGLNSAMPLKETMPTEPMALYAATKKANEMMAHSYSHLFKLPTSGLRFFTVYGP----------WGRPDMALFLFAEALRKGEAINVFNHGKMIRDFTYVQDIVECLRRLMVKPPEKDQDWDSAPYRIFNIGNGSPVPLMRYIEAAEKALGIKGTYNMMDIQPGDVPATHADTTALENYIGFKPKTTVQDGVAAFIEWYKDYYR------- 145588490 -KQKVALITGITGQDGSYLAEFLLEKGYIVHGIKRFNTERIDHLYQDPHVNGDLTDTSNLVRIIQQTQPDEIYNLGAQSHVAVSFESPEYTADVDAIGPLRMLEAIRIEKKTRFYQASTSELYGLVQEIPQKETTPFYPRSPYAVAKMYAYWITVNYREAYGIYACNGILFNHESKRRGETFV------TRKVTRGLANIAQGLEKCLFMGNIDALRDWGHAKDYVRMQWLMLQQDKPEDYVIATGVQFTVREFITRSAQQLGITLKFEGVAENEKAIVIDPRRPTEVETLLGDPAKAKAKLGWVPEITLDQMIVEMVA-------------- 142837427 ----KIFLTGAAGFIGFSLSLELLKKKYKVYAIDNLDSYYSLKLKKKRFKKIDISNKKKLENYLKNKKFDIIFHLAAQAGVRYSVINPKKYIKTNLKGFRNILHLFENKNFKTFFYASSSSVYGDTKLFPLKENFKLNPKNIYAKTKIQNEKDAKQFKNNYK--------KNIVGLRFFTVYGEWGRPDMMILKLLSYMKKDKTFELNNSGDHY--------RDFTYIKDVIKILIKISNIKFFKYDVYNIC--SSRPIFIKNVINEFKNFTNFKLIKNAKKNKL-DVYKTFGDNSRLRKLVNVNRYTDFKKGLFNTIKWYKN---------- 138847051 --KMKILITGTAGFIGYHLAKLLLSEGFLVHGFDGLTDYYDVNLKRERHKIGMLENQDLLDSAFNEFKPSVVVHLAAQAGVRYSLEKPRAYIDSNVMGTFNVIEAARRLNVDHLLLASTSSVYGANVDMPFNEIQKTDPLTIYAATKKATESMAHSYSHLYDIPTTMFRFFTVYGP--------WGRPDMALFKFTKGILNNQ--------PIDIYNNGEMHRDFTFVTDLVRAIMLLINAVPIRGEPIN----------------------------------------------------------------------------------- 143077015 -ENKVALITGINGQDGSYLAEFLLDKGYEVWVAENQTSRLDEIYLLGKLQYADLTDLSSLISVIQQSKPCEIYNLAAQSHVRISFDQPIYTAQATGIGTLNLLEAIRLTNPKIKMYQASSSEMNIDKDGYQRETTPLSPVSPYGCAKVYSYNICNNYKNSYNLFISNGILFNHESPRRGTNF------------VTNKVVKGAVQIKKQIKNDLTLGNLEATRDWGHAKDYVKAMWLMLQ--QDKPDNFVCSTGVSHSVKDLVKYTFDKLDLDWKQYIKTDPKRPEELRDLKGDSTKLRK-LGWKPDYTFETMIDEMINYWME---------- 139016735 LENKSVLVTGGAGFIGSNICEVLIQQNNKVRCLDSFITGKEENISHLQDHEGDIRDEECCNA--STKNINVILHQAALGSVPRSIEDPIKTNEININGFLNILNAAKLNNVKRVVYAASSSTYGDSKTLPKVEHQIGLPLSPYAVTKYVNELYAHVFSNIYDMEIIGLRYFNVFGRKQDPN-------------------------------------------------------------------------------------------------------------------------------------------------------- 135316378 ---------------------------------------------------GDIRDPFFCNNL--TEDIKIVFHLAALVAIPFSYLAPESYFETNVKGTLNISNSCMRNDVERLIVTSTSEVYGTAEYVPIDESHPLKAQSPYSASKIAADALAMSFFNSFDLPVTIARPFNTYGPRQS---------ARAIIPTIISQILDNQKNIKI-------GDISPTRDFTFVQDTCQAFLEIAKSKKTIGETINI--GTNVEVSINDLISLIKDVTDSDIQIKSEKKRKSEVFRLVCDSTKLKKLTGFNSYTNLKDGLIETVEWFSA-PENLSK--- 139673121 ----KVFVTGGAGYIGSHIVLELLEAGHEVTVYDDLSLGSKGNVNRAQFIEGSTLDKNCLKKSL-VEEFDVVIHMAAFKAAGESMVDPAKYSQNNLNGTINLLNVMTELGIKDLIFSSTAAVYGYPNYLPVDEAHDLKPINFYGYTKLVIEQIMQWYADLTELRYVTLRYFNAAGYDITGRI------------------------------------------------------------------------------------------------------------------------------------------------------- 135894184 ---KKIFITGGAGYVGSKLVPKLLKLGYQVTVLDLMIYGENDDHKNLKKIKGDIRDLKLLEKFLPGNDI--LIHLACISNDPSFELNPTLGKSINLEAFEPMVKASIKNKISQFIYASSSSVYGIKKEKNVTEDMSLEPLTDYSKFKADCEKILNSYSSDK---------FVTTTIRPSTVCGYARRQRLDLVVNILTNHAFHNREIKVFGG-------DQLRPNVHIDDMVDSYLALLNAPLEKINGQIFNVGFKNQ-SVNELANDVKEVIGSD--IKITNTKSDDNRSYHVSSEKIKDILNFNTKYSVKDAV------------------- 152997480 ------IVTGGAGFIGSNIIKALNNKGIKNILLDDLTDGKKCLNLS----DLDIADYMDMYDFLDAIKPIAVFHEGACSATTE--WNGKYVMDVNYQYSKAVLDFCLKYDAP-FSYASSASVYGSGP-VFQESRENEKPLNMYAYSKFQFDQYIRAILPKAKSQIVGFRYFNVYGPR------EQHKGSMASVAFHLRNQVLGGENPKLFGAYDGYEAGGQSRDFIYVEDLVNTKLWFLE---NPEKSGIFNLGTGKAEPFRTIAETVIAHYEQGE-IEYIPFPEHLKGAYQADISKLREA-GYEGSFGLAQGVQDYLGW------------- 3550479 -----VYIAGHRGLVGSALVRRFEAEGFTNLIVRS-------------RDEIDLTDRAATFDFVSETRPQVIIDAAAVGGIMANNTYPADFLSENLRIQTNLLDAAVAVRVPRLLFLGSSCIYPKYAPQPIHETGPLEPTNDAYAAKIAGILQVQAVRRQYGLAWISAMPTNLYGPGDNFSPSGSHLLPALIRRYEEAKAGGAE-------EVTNWGTGTPRRELLHVDDLASACLFLLEHFD---GPNHVNVGTGVDHSISEIADMVATAVGYIGETRWDPTKPDGTPRKLLDVS-ALRELGWRPRIALKDGIDATVSWYRTN--------- 143839138 MKRKSILITGGCGLIGSNFIKKIINKNYNIIIIDNLSSGYLENLKKIQFFKLDLNKKKNIEKIFINNSIDYIFHFAAFSNVEMSINNPKKILQNNVNSTRNLIYFSKKYKIKNFVFSSSASVYGNNFYKNIKENFSTNPINPYGMSKLKCENIIKKNLKDTNINYCIFRYFNVVGKHLSNKIKKI---KNLNLFEKINFCIKFKKIFEIYGSNLDTLDGTPIRDYIHVDDVVSAHKVCLINKSNKFWNNVYNIGYNKGLSVLDIILECKKFFKEKLKYKFIDGKKGIIQKSV----------------------------------------- 135028713 ----------------------------------------------------------------------------------------------------NPARGHGCPCLPHPRLQQQRHVYGYPETVPIRESAPVQPINPYGFSKAAVEQMLADLNAPNTWRIACLRYFNPVGAHPSGQIGEDPLGPNNLFPFVTQVAVGRRDQLRVFGSDWPTHDGTGVRDYIHVMDLAEGHKAALTTLLNQGPHLTCNLGSGDGASVLDVVNAFSAASGQDIPYALVDRRPGDAAVTVADPSRAADILQWRTKRTLGDICRDGWAWQQANPMGYRQ--- 134094363 MLNAAIL---GAGFIGQSFIEFALEHGYNLRVLDH-KSCPAEFDGRLTWVQGNLSSEEAVRKVLQ--KSDIVFHFISSTVPGDVADEGGELIQ-NVVETLHLLKLCLQEKVSRVVFISSASVYGVQPVLPIAETALTDPISSHGIHKLSIEKYLQLYKYQYGLDCKIMRLSNPYGPRQS-------------IKGRQGFVAIALGKILAGDAISIRGDGSAIRDFIYIADVCSALHLLA---ITKVKDSVFNVGSGQGYSLNQVVEGMEKITEQRLVTSYVASRFVDIPASVLDVSRAREQLGHVIKYSLEQGLAETFAFH------------ 140221349 FKKKKVLVTGVAGFLGSHLSEHLVNLGHKVVGIDNMLGGYDDNVPKNIFHKGDCCDFEKVKSLMK--GIDVVYHCAATAHEGLSVFSPYEITKNNYLASVSIFSAAVNEKVERIIFCSSMARYGD-QKAPFIEQMSPKPVDPYAISKVAAEEVLKNLCELNGIEWVIAIPHNIIGPRQ------KYDDPFRNVVSIMINRMLQGKAPIIYGDGMQTRCFSYIDDCL----------------------------------------------------------------------------------------------------------- 142249767 -KNKVVLVTGHTGFKGSWLVFWLTQLGAKVIGYSQEAPTKPNHIELLNFEIGDIRDRDKLNKTFENHKPDIVFHLAAQPIVRYSYDNPIETYETNVIGTLNVFEASKKYSVKAIVNITSDKAYQNQEDKGYKEDDPLGGYDPYSSSKGCADLLANSYRNSYFNPNEYKKTHNTASCRAGNVIGGGDWAKDRLLSDIMIAVSR--------GKKVSIRNPGATRPWQHVLEPLSGYLMILQEKIEFGEAWNFGPSDEGSISVHEVVKNVKKYWDKEYELDKDNKHPHEANLLKLDCSKASINLDWRDVWGSDTTFEKTVNWYKAFYE------- 140158109 ----KALVTGTAGFIGSHLSERLIEAGHEVVGLDAFTDYYARSIKETNIASLTRRRFRFIENSIAQADFDDVFHLAAQAGVRKSWTDFSVYTELNIDATQCLLEACVNRRIESFVYASSSSVYGDAVPIPMLETARPAPLSPYGVTKLAAEHLCMLYHANYNVPTVAVRYFTVYGPRQRP----------DMAFHRFLNAIHHNEPLHLYGDGKQTRDFTFIED------------------------------------------------------------------------------------------------------------- 144220206 ---KTALITGGAGFIAHHLIRILKETDWNIVTLDRLDYGNLNRLNDILLHDCTPEQRQRVRVVFHDGKVDYVLHLAAGSHVDRSIDYPMEFVMDNVVGTCNILDFARQDNLERFLYFSTDEVFGPPDGIKYKENDRYNSTNPYSATKAGGEELAVAYQNTYGMPIYITHTMNVFGERQ------------HPEKYIPMCIRRVRDGDCVTIHSDNTRTIPGSRHYIHADDVASAVLFLLERNYGGAKCPKFNIVGAEELNNLELAQIIADAQGRELNYEFHSSRPGHDLRYALDGDKMKT-MGWTPAKSVRERIAEVTAWTLNNERWL----- 303288844 -TRKVAFITGVTGQDGSYLVELLLAKGYVVHGIKRRSSSYNHPRLEHHLHYGDLCDLHALVAIMRDVRPTEVYNLAAQSHVQVSFQMPMYTAEVDGVGTFNLLEAVRQEGTAKFYQASTSELYGKVREIPQSETTPFYPRSPYAVAKLMAYWAVVNYRESYGMFACNGILFNHESPRRGETFV------TRKITMAAANIKAGKQECLYLGNMDAKRDWGHARDYVECMWKMLQQDEPDDYVVATGETYTVRSFVDRAFKAAGMELKFEGEGVNEVGIEIATFRPAEVDLLIGDPTKARTTLKWNPSTSIDALVQEMVE-------------- 134358279 ----RVVVTGAAGFIGSTVVEQLLALGVEVVGIDDFDPWYLPARKRANHERFSLVEADAFDVIGDVLRPDDVIHLAGRPGVQDSWGGFTDYSRRNIELTQRVYEEALGVGANRVVYASSSSLYGG---SSVGDDRRAAPISPYGVSKLAGEHLANVYAER-GLDIVSLRYFTVYGPRQRPDMAMHRMFEATKANESVFVRRGDG---------------LQRREFTFVRDVAEATILSTVAPAAAGTSLDI--GGGESASLLDAMALVEEIAG-PMRTETLPAPAGDPRVTKADLQPTIDVLGWVPTTSLFDGLSAQASWH------------ 297625068 --GKTALITGITGQDGAYLAELLLHKGYTVVGAERRASTRNRARLEIIFTDFDLADQGNMVRALEKHAPDEVYNLAAQSFVALSFEQPVMTGDITGVGVARLLEAIRTVNPTRFYQASTSEMFGKVQAVPQNETTPFYPRSPYGVAKLYGHWMTVNYRESYDMYAC------------SGILFNHESPLRGVEFVTRKITLAVARIKHGLQRELRLGNLDAKRDWGYAKEYVAGMHAMLQ----QAAPEDFVLATGETHTVEEFVEAAFEAAGLEWFVVIDPARPAEVDLLLGDPSKAKAKLGWTPQTSFRELVALMVE-------------- 135030271 ---KKALITGITGQDGSYLAEFLLEKGYQVHAIMRFTTSRIDHIMSHPNLHGDLTDGSNLHRLLSHIQPDEIYNLAAQSHVAVSFEVPEYTADVDALGPLRILDAMREKLNTKFYQASTSEFGGMPGTEPQNENTPFEPRSPYAAAKLYAYWVTKNYREAYNLYACNGILFNHESPR------RGQTFVTKKITQSVARISKGSDEILNLGNLDAKRDWGHAKEYVEAMWLMLQQEEAKDYVIASGLSYTVREFVEMAFDHVGIKIKWEGDGENEKGYDSESRRPTEVEFLLGDATKAYADLNWRPKIKLQDLVKEMVDY------------- 143317765 ----TVLVTGASGFIGGYVVEELLVRGHTVIGLDNFSKYGRDAHPRYRFVEGDARDADLMTRL-ALECDHLVAGAALIGGISYFHAYAYDLLATNERIMASTCDAHRRGRLQKVTYLSSSMVFESTDRWPSVEGDERRPLSSYGFQKLAVEYFARAAHDQYGLPYTIVRPFNCVGVGEGRALGEAEVSGNVSLAMSHVVPDLVQKVVKGQDPLHLLGDGTQVRHYTYGGDLAKGIATCMEHPAALNEDFNVSTDRSTTVLELAELVWRKVRGDVPFRWVSDPAFAHDVQRRVPDVTKARRMLGVDCTTSLDAMLDEVIPW------------- 136272904 --KKKAIVTGGAGFIGSHMVDLLLENDFFVTVIDNLSGGRESNLSQHKFIETDICNIKYNDKNFT--DTDFVFHFAGKGDIVPSIENPDEYMNTNVNGTLKVLQASKEAKIKKFVYAASSSCYGLA-DTPTKENNVIDTKYPYALSKYIGELVVLHWGKVYNLPINSIRIFNAYGTRVKT------TGVYGAVFGVFFKQKLSKKPFTIVGD------GNQKRDFVYVTDVARAFY------------------------------------------------------------------------------------------------- 136031188 ---------------------------------------------------------------LKKFKINSVIHLAAQAGVRYSIENPSIYLKSNIDGFFNAINYCNHNKIKKFIYASSSSVYGNENKVPFKENFCNKPESFYAFTKRSNELMAHSFFKNYSLKSVALRFFTVYGP----------WGRPDMAPFIFLDSLYKNKSINVYN------YGKQMRDFTYIEDIVNSIFLLNENFNKVKKSEIYNIGRSKPIKLMDFINVIETLTNKKIEKKLVSKQNGDVDNTFADVSKLNKLIGYLPYWSIKKGMRVFNDWFLKYYK------- 135477441 LTKMSILITGSAGFIGFHLAKKILNKKSKVIGIDNINNYYDINLKRDRFYKIDLCEYSKLNNIIKKYKIKYIIHLAAQAGVRYSIKNPKIFFKSNLEGFFNILELSRHNKIKHLIFASTSSVYGNNKKFPLKEDDRTNPLSFYAATKKSNEVMAHSYSYIYKLPCTGVRFFTVYGP----------FGRPDMALFKFTQNILNNKSIELFNK------GNHLRDFTYVDDIVEGIFSLINKKQNSIPYDIFNIGNGSSKQLKEYINYIEINLRKTVKIKKLPLQ------------------------------------------------- 307719254 MEKKKIYVAGHTGLVGAALVQVLRKKGYTNI-------------LTKPHSELDLTDQEAVSRFFENEKPEYVFLAAAVGGIWANNVYRAEFIYQNLMIQSNVIHYSYLHGVKKLLFLGSTCIYPRLAPQPMKEEELEYTNEPYAVAKIAGMRMCESYNLQYGTNFIAVMPTNLYGPNDNFDLETSHVLPALLRKFHLARALEEDRRTPHGVEVEIWGTGTPRREFLWVEDLADACVFLMERIDKEIRNTHINIGTGEDIRIKELAELIKEVVGFKGGIVFNPEKPEGTPVKRTDVSRLHS-LGWRHSVELKEGVERLYEWY------------ 154339507 ----RILVTGGCGFIGSAFIRHLLPATVHIFNLDTLSSNAGSPVSRYHFIPGSILDATLVLDALRTHRIDVIVHMAAQTHVENSFSKSLLFTKVNVVGTHTLLECAREYQLTRFLHISTDEVYGETPATVRPADTVLRPTNPYAATKAAAEHLVSSYHHSFRLPVLISRSNNVFGPGQYP----------EKVIPRFITCALRQERLPIQGDGHHQRSFLYIEDVVRALSTILVRGTVGEVYNIAGEEELSVHEVAQRVVDHDKVRAASRAEFDASYVRYVADRAYNDARYCSDKEKL-AALGWTQQVSFGEGLHRTVSWYRGHP-------- 269986840 MQNKSILVTGGAGFIGSNLIENLIKEN-EITVIDDLSNSFVKEFENRDNFKLITNDINRNGAFDSIGKVDLVVHFAANPEVNKGYENPDIFNDINGTK--NVLKFLKEKEIKNMIFASSSVVYGEPEIMPVKENQPYKPISAYGAYKLASEGMITAYSHYYGIKAGIFRFANVVGKNQTHGVILDFIKKLKANPK----------------ELTILGDGTQSKSYIHVSDCVSAIMYLNERIDKMEIINLGNRGTTSVIKIADVVKEKMKLGEVNYKLSNSKDWKGDVKKIELDVSKAEN-LGWKNRYNSDEAIEKAVD-------------- 137539927 ------LVTGAAGFIGFHVANLLLSEGWRVIGFDCLSDYYDVSLKTDREVHENIEDPGALLKIFEEEAPEIVIHLAAQAGVRYSIDNPRSYLDSNVVGTFELLEAARNYPPSHLLIASTSSVYGANQKTPLAETDKADTMSFYAATKKCAESMAHSYSHLFDIPITMFRFFTVYGP--------WGRPDMALFKFTKAILNGDK--------IDVYNRGDMQRDFTYIEDLVRAIRLLID--------------------------------------------------------------------------------------------- 144135845 -TRKRALITGITGQDGSYLAELLLAKGYEVHGMIRFNTQRIEHLYEDVHHHGDMADGNGLARLIGEIEPHEVYNLAAQSHVRVSFDQPTYTADVTAVGVLRLLEAIRDYQEHRFYQASSSEMFGKVRETPQTETTPFHPRSPYAVAKVYAHWATVNYRESYGLHASCGILFNHESPRRGETFV------TRKITRAAARIKLGLQEKLYLGNLDAQRDWGFAGDYVEAMWLMLQQDEPDDYVIATGETHSVREFCEKAFGRLD--------LDYQDYVEIDPRRPAEVDLLLGNPAKAKAKLGWEPRHSFDQLVGMMVE-------------- 198423994 -QKKTALVTGITGQDGSYLAELLIEKGYEVHGIIRRNTARIEHLYADRAHYGDLTDSSCLVKIINQVKPNEIYNLGAMSHVKVSFDLAEYTADVDGVGTLRLLDAIRTCGMVRFYQASTSEMFGKVQEIPQKETTPFYPRSPYAAAKVYAYWIVVNYREAYGMHASNGILFNHESPRRGFNFVTRKITRSVAKIHLGLQEL------ITLGNLDSKRDWGHARDYVKGMWMMMQHDEPGDYVLSTNETHSVREFKHIGVELEWQGEGVNEITGVKRQISERYYRPTEVEFLLGDYSKAKKVLGWSPDIKFNELVKEMVE-------------- 136291819 ----KILVTGSSGFIGFHLCMRLLQEGYKVYGIDNMNSFYDIRLKQKRLDLIDISNYSDLNSIFLNEEFLFVFHLAAQAGVRYSFENPQLYIDSNLTGFINLLESCKASGTQKLFYASSSSVYGDSDKLKLKESDPCSPISLYGFTKKMNEELANNFYKLFNINSVGLRLFTVYGP--------WGRPDMAYYKFTQNIMNDRK--------IDVFNNGNHSRSFTYIDDIINSIFYLFEIYKEDSKFNEIYNIGG----------------------------------------------------------------------------- 1588470 --------------------------------LDSLTYAGNPANLRFAFVHGDICDAPLVDRLVAEH--DQIVHFAAESHVDRSVRSPRSSCAPNVMGTQTLLDAALRHAPRIFVHISTDEVYGSVDVGASTETDPLHPSSAYAGTKASSDLLALSYHRTHGLDVRITRCSNNYGT---------HQHPEKVIPRFVTTLLSG-------GRVPLYGDGGNLRNWLHVEDHVDAVELVRE---GGSPGEIYNIGGGTELSNKELTALLLDAVGAGWDVELVADRKGHDRRYAVDHGKITALLGYRPRRDFRDSLAETVAWYRD---------- 254442328 ---KKALITGITGQDGSYLAELLLAKGYEVHGVIRFNTDRIDHLYQDPHVNGDLADSVQMVKLLYDLQPDEIYNLGAQSHVRVSFDVPEYTGDVVGVGAVRILEAIREANKTKFYQASSSEMFGKVQEVPQTEDTPFWPRSPYGCAKMYAHWLTVNYRESYDLFACSGILFNHESPRRGETFV------TRKITRAATRIKEGLQEKLYLGNLDAKRDWGFAKEYVEMMWLMLQQDQPDDYVIATNETHTIKEFVEETFGLLD--------LDWEKYVEYDKRRPAEVDLLIGDPAKAKKQLGWEPKVKFKELVK------------------ 137006923 ----KYIVTGGAGFIGSNIVKKLVSRGHDVIVIDNLNTGKEENLELVKEKKDDILNVDLLEK--ETQGIDGVFHQAALASVQDSFTKPDEYHDVNVNGTENILKLAKKNN-FKVVYASSSSVYGNPERIPIKETDSKNPINPYAETKLKKEELAIKYAEM-GVKVIGLRYFNVFGKGQS--------KEYAGVLKLFLERIRDGLPPKINGD------GKQFRDFVYVEDVA----------------------------------------------------------------------------------------------------- 303245859 ----RILVTGGFGYIGSVLVPELLALGHDVTAYDIGWFGHIPEHPRLTAISGDTRDIDAV----PMAGVDAVINLANVANDPCGDLDSKLTWEVNCLATMKLAEKAVACGVKQFLHASSGSVYGVKDEPEVTEDLPCVPISDYNKTKMVSERVLLSYADKFALTIVRPATVCGYSPRMRLDV----------AVNLLTMQALAKKHITVLGG-------DQTRPNIHMKDIVRVFLHFL---AAGGKYTGIYNAGFENISILDLAKKIVEVAPAEIEIK----PSNDPRSYRQNSDKLLA-TGFTPKYGVADGIRDVAEAFRD---------- 135351999 -------------------------------------------------------------------QCDAVVNFAAESFVDRSISDPNPFLISNIRGTFTLLETIKTTK-KRLIQISTDEVYGSLKDQSADESFRFNPSSPYAATKAAAEHLVNSYVLTYDCDCIITRCTNNYGPR--------QFPEKLIPKTILLAKQNKKIP--------VYGDGKNIRDWLFVDDHCDAITQAL---LNGKAGESYNISAGNEIDNLTIVNKILSIMDKPSDIEFVEDRPGHDLRYSLDSSKITKELGWLPKTNFEDGITKTTSWYLSNDSWIDS--- 167565082 ---KRVLVTGHTGFKGSWLCLWLQSLGARVHGLALAPSSRPSLFDEARVGQGDIRDYSVVHRAIADCMPEVVIHLAAQSLVRTSYADPLLTYGTNVMGTVNLLEALRRTGCARAVVNVTTDKCYENRDWPWRESDALGGHDPYSSSKACSEIVSSAYRRSF----LGQGSIALATARAGNVIGGGDWAADRLVPDVLGAFAS--------GRPAVIRHPHAVRPWQHVLEPLSGYLRLAERLYVDGNRWADAWNFGPDEAESCTVEWVRTWGEDARWRPDEGSHPHEAARLKLDVSKARAELDWRPRWRLMRALECTIAWHRAW--------- 140199303 ------LVTGAAGFIGSNLVERLLNLNQIVIGVDDFSTGSKKNLRDVRRVVGDITDKRFIAKVFEQH-VDYVLHQAALGSVPRSIADPITSHTVNVDGFINILESARAAEVGSFVYASSSSVYGDHPGLPKIEHLVGKPLSPYAVTKCINELYAQVFFDSYGFGSKGLRYFNAFGPRQN------PNGAYAAVVPRWIHAMLNDEEITINGDGFT------SRDFCYIENVVQANLMSAYNQNRPGHNVY----------------------------------------------------------------------------------- 257060587 ---KKVLVTGATGFIGRHCLPLLLSRDYEVHGL--YLKSLPDNFLAVQWHKANLLDSEQVTVLMAKIKPTHLLHFAWFAVPGKYWSSLENFLWV--QASLHLLQTFVNHGGERIVMAGTCAEYDWQYGYLSERTTPLISDTVYGTCKHSLQILLNTFAQKVSISSAWGRIFFVYGPHEYP-----------------QRLVASVIRSLLTGEIARCSHGNQIRDFLFVQDVADAFIALLDSQVK----GVVNIASGFPIALKDVIYNIASQLNRENLIQLEPTTPNEPRLLVADVGRLFEEVGWRPQYNLAHGLQVTIDWWKQ---------- 111219998 --------------------DRLLDAGHDVLSLDVDSRPSD---PRATSRQVDVLDLPGLTAALAGV--EAVFHVAGMSNVDFAYADPARTVRLNVEGTGNVCEAARQAGVARVLFASTVWVYGAADPAPLTEDAEIRAGHVYTSTKLAAELLLHSYQQTYGLPFTILRYGIPYGPGMR----------DELVLARFVRKALDGESLTVAGDGQ------QFRNYVFVRDLADAHVLALR---PEAENATIALEGAEAVSVLEMAQAVCSHF-PGTAIEHVPARPGDFRGREVSAQRALDLLGWQPTTPFTDGVRQYIEWYLANRR------- 166365732 -EAKRALITGITGQDGSYLSELLLEKGYQVHGIIRFNTDRIDHIYTDPHHYGDLTDGTTLRRILEQVQPVEVYNLGAQSHVRVSFDAPEYTVDAVGVGVLRLLEAIRDYQKRRFYQAGSSEMFGKVMEVPQKETTPFYPRSPYACAKVYGHWQTVNYRESYDLFACNGILFNHESPRRGETFV------TRKITRALARIIAGQQKKLYLGNLDSKRDWGYAKDYVRAMWLMLQQQEPDDYVVATNETYSIREFLDISFQYVN--------LNWQDYVEFDERRPAEVDLLIGDSTKAREKLGWQPSVTFEGLVKLMVD-------------- 294635680 ----RVLILGVNGFIGNHLTERLLEENYEVYGLDIGSDAISRFIPRFHFVEGDISIHSEWIE-YHIKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIVRDCVKYQ-KRIIFPSTSEVYGMCSDPQFDEDHIVGPINKQRWSKQLLDRIIWAYGAKDGLRFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLVDGGR--------QKRCFTDIKEGVEALFRIIENKDNRCDGQIINIGNDNEASIRELAEQLLVCFEQHPLRDRFPPFAGDVEHRKPSIRNAQRLLGWQPVIPMEQTIEDTLDFFLRTFDGTEQE-- 136680908 ---KKALITGVTGQDGSYLSEFLINKNYEVHGIDHLFQETHLLNRNFILHHGDLTDTSSLVRIIQSVQPDEIYNLAAQSHVAVSFEEPEYTANSDAIGTLRILEAIRIEKKTKFYQASTSELYGLVQETPQNENTKFYPRSPYAVAKLYAHWITKNYREAYNIFAC------------SGILFNHESPVRGETFVTRKITKALARIKLGLQETLYLGNLDALRDWGHAKDYVRAQWLMLQ----QDQPEDFVIATGKQHSVREFINLVSKNLSMEIHWKGKGFRPTEVETLLGDASKAKKKLNWEPEITFEELVKEMID-------------- 144154915 -SSKRALITGITGQDGSYLAELLLEKGYEVHGLVRRSTQRIEHLYSDVHHHGDMADGNGLARLIREIRPTEVYNLAAQSHVRVSFDQPTYTADVTAVGTLRLLEAIRDGYQVRFYQASSSEMYGKVVETPQSETTPFYPRSPYGVAKLYSHWITINYRESYGLHASCGILFNHESPRRGETFV------TRKITRAATRIKLGLQKKLYLGNLDAKRDWGFAGDYVEAMWLMLQQETPDDYVISTDETHSVREFCEKVFGRLD--------LDYNDFVVIDPRRPAEVDLLLGCSQKARRQLGWEPRVSFDGLVELMVE-------------- 291541989 -KGKKVFITGHTGFKGSWLCKLLANAGADVTGY-SLNPPTSPSLFEIANIEGDIRDFDSLKKAFDEAQPEIVLHLAAQPIVRDSYKMPAYTYETNVMGTVNILECVRQSNCVKFLNVTTDKVYENREWQWYRENEPLDGFDPYSNSKSCSELVTHSYKNSFFADGRTAIST----ARAGNVIGGGDFANDRIIPDCVRALEK--------GEDIIVRNPHSTRPYQHVLEPLYAYLMIAKAQYGNIKFADCYNVGPDECDCITTGELVDLFVKYAGNINRIDKSEKDAVHLKLDCSKLKTVFGWKPHWHVDDAVKKTVEWYMEN--------- 309787871 --------------------------------------------------------------------------------MDRSITGPAAFIETNIVGTYVLLEAARNYWSFRFHHISTDEVYGDLPHPLFTETTAYAPSSPYSASKASSDHLVRAWKRTYGLPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKALP--------IYGKGDQIRDWLYVEDHARALYIVV---TEGKAGETYNIGGHNEKKNIDVVLTICDLLDYREQITYVADRPGHDRRYAIDAEKISRELGWKPQETFESGIRKTVGWYLSNTKWVDN--- 307825872 --DKVVLLTGHTGFKGSWLSLWLQAMGAQVIGYTNPSLFDAANVAEGMVIESDIRDFAALSAVFEKYQPEIVIHMAAQPLVRYSYANPIETYSTNVMGTVHLLEAARLTDSVRAIVNITSDKCYENREWAWRENEPMGGFDPYSNSKGCAELVASAYRSSYFNPGNFTDHGVAASVRAGNVIGGGDWAEDRLIPDIMRAIM--------QGKPVSIRNPHAIRPWQHVLEPLSGYLLLAQKLYEEGAVYAEGWNFGDAKPVQWIVERLIKTWGEATWMLDGGDHPHEAHYLKLDCSKAKARLDWHPRWHLGDALRAIVDWHQAYHAG------ 306840771 LTGKKIFVAGHTGMVGSAILRRLQHEDC-----DIITAAHSA---------LDLTRQGPTENFISGHRPDVIIIAAAVGGILANSQFPADFLYDNLAIGMNLIHAAHQIGVERLLWLGSSCIYPRDAAQPLTEDAPLEPTNEAYAAKIAGLKYAQSCARQFGDRFITAMPTNLYGPNDNFDPASSHVLPALIRRLHEARVRGAE-------EVVLWGSGKPLREFLHVDDLADACLHLLRFY---NGIEPVNIGSGEEISIKELALTVARIVGYEGRFEHDLSKPDGTPRKLLDTSRI-EALGWQPRIRLEDGLRDVYRW------------- 140289393 ---KNYLVTGGAGFIGSHLVDRLVKLKKNVKVIDNLSTGQLSNLKFSKFYKTDVSDKNKLIRIFKNV--DCVIHLAALADLVPSITHPEKYFSSNVLGTFNVLQAAKINSVKKLIYAGSASCYGLPKKFPTSEKEKIDTQYPYATSKYLGEKLVLDWAKVYKMNNISLRFFNVYGPRSRT------TGAYGAVFGVFLAQKLSNIPLTIVGDGKQT------RDFVHVDDLIN---------------------------------------------------------------------------------------------------- 143989670 ----KILITGSSGYIGSCLYETIKNK-YNVFTIDK------EAFNTNNHFKLDLNKSKKVLHNLKNKKIDIIVHLAGQSTID-NINKKKSYILNNTVSTKKLVHVASILNINKIIFASTAAVYKS-SNRLLSEKSKIEPKNIYSSTKMKCENIIKKEFSKKNKSYLIFRFFNVCGSLYEFKTGEMHEPETHLIPLLIKKIL-RGEKFKIYGSDYKTKDGTCIRDYIHIKDLCNAHLKAIKYMKRKNLKEVLNIGSSKGYSVLQVIHALRIIKNPKFYYQYTNKRRGDLPFLVCSIKKVTNILKWRPRSSLKKILMSEIKWQK----------- 136583701 ----KILIIGSNSFSGASFADYALRQSAAVTAVDALLPYKWGDHSKLVFHQLDLHDLARIIELVKASEPEYVVNFAAQSMVAESWRNPGDWFMTNAVSTIKFHDELRKCAFLKRYVHVSTPEVYGSCSGFVTEDFPFNPSTPYAVSRAASDMSLRTFSAAYNFPVVTTRAANVYGPG----------QQLYRIIPRTILFILLGRKLQLHGGGVST------RSFIHMRDVSDATWRIM---CNGKNGDTYHISTAEVVSIRELIERICLKLGVKFHVDVVGERLGKDAAYHLDSTKIRTELGWQDKTSLDQGLDECIRWVRTHFDSLKNQ-- 293393600 -----IIVTGGAGMIGSNIVKALNDSGYRDIVVDNLKDG----TKFVNLVDLDIADYIDKEDFIASIDIDAVFHEGACSATTE--WDGKYMMDNNYQYSKDLLHYCLDREIP-FLYASSAATYGGRSENFIEERQYEQPLNVYGYSKFLFDQYVREILPQADSQICGFRYFNVYGPR------EGHKGSMASVAFHLNGQINRGENPKLF-----SGSENFKRDFIYVGDVAAVNLWFW----KSGVSGIFNCGTGRAETFQAVADAVVEYHGAVEYIEFPEKLKGRQAYTQADLTKLREA-GYAPFKTVAEGVKEYMAW------------- 142612710 -KNKKVLVVGGGGFISGHLIRKILENGNKVVAADIKPYWFQDFNEVENYYSMDMKDISNCRKVTQGV--DYVFNMACNMGGMGFIENNKAECMLSVLINTNLLMASKENNIKKYFFSSSCAYNGSKQQEVFIEGLKEEPEDGYGWEKLFSERMCRHFMEDFGIQVRIARYHNIYGPYGTYDGGREKAPAALCRKVIQARTNNKD-------TIDVWGDGEQTRSFLYVDDCVEGTLRLFD----SDHADPINIGSDEQVSINQMIGIIEKISGSEIKKNYQLDKPKGVRGRSSNNDLVKKVLNWNYQIKLKDGLKKTYDW------------- 153007278 ---KKALITGITGQDGSYLAELLLEKGYEVHGIIRRSSSFNTTRIDHIYEDGDLNDSSSLNLLLKQLRPDEIYNLGAQSHVKVSFDIPEYTGEVTGLGACRLLEAVRELGITTRIYQASSSEMFGASPPPQNEKTPFYPRSPYGCAKVYAYWIGVNYREAYKLHVSNGILFN-------------HESERRGETFVTRKVTRAASRIKLGLQKKLYGNLEARRDWGYAKDYVEAMWLMLQHS----EGDDFVIGTGESHSVRELCEAAFGHVGLDYFVEIDPRRPTEVDFLLADPSKAEKKLGWKPRTTFKELIR------------------ 134538788 ----KIVITGSAGFIGYSLSKSLLSQGAVVIGIDNHNNYYDPKIKQYQHYRLDLCDKNSIDDVFRLHKPTKVVNLAAQAGVRYSMENPMAYINSNIVGFTNILENCRNYKISHLVYASTSSVYGANTKMPFSEHDSVHPLSVYAASKISNELLAHSYSYLFKIPTTGLRFFTVYGP----------WGRPDMALFKFTKNIIEDKPIDVFNYGKHTRDFTYIDDIV----------------------------------------------------------------------------------------------------------- 305632921 -RRKVALITGITGQDGSYLAEFLLDKGYEVHGLSNFNTQRVNHIYIDPHHYADVTDASSLRRWLDTILPDEVYNLAAQSHVAVSFEIPDYTADVVATGALRLLEAVRSHRSHIRYYQAGSSEMFGSTPPPQSETTPFHPRSPYAVSKCAAHWYTVNYREAYGIFACNGILFNHESPRRGENFV------------TRKITRAVGRIKIGLQSKLFLGNLQASRDWGFAGDYVEAMWMMLQQEKPDDYVVATEESHTVEEFLEVAFGYVGLNWKDHVVIDKRYFRPTEVDNLKGDSSKARNVLGWKPRVGFEQLVKMMVD-------------- 139788408 --KMKILITGGAGFIGSSVIRYLINETHRIMNLDKLTYAGHQSTLKCISNHGDICERNLVDDIFSTFQPDIVMHLAAETHVDRSIEGPAEFIKTNIESALKYWNTLANKQNFRFHHISTDEVYGLGASGLFTETTAYRPNSPYSASKASSDHLVRAWHQTYGLPIIITNCSNNYGP--------YQFPEKLIPLVILNAINSKPLPLYGNGQ--------NIRDWLYVEDHAR---------------------------------------------------------------------------------------------------- 223936575 -RDARIYVAGHGGLVGSAIFRKLQELGFT-------------NLIGKTRAELNLLDVNATRQFYRQEKPEYVFVAAAVGGILANSQQPVAFLHDNLVIQDNLIYGAYEQGVKKLLFLGSSCIYPKLAPQPLKEDGPLEPTNQWYAAKIAGIKLCEALRRQHGCDFISAMPTNMYGPNDNYDLQNSH-----VLPALIRKFHEAKIANSATVTCWGTG--TPLREFLYADDLAAACFFLMENYSEE---QFINIGYGNDISIKELAELVKKIIDYRGEIVWDTSKPDGTPRKLMDSSKLFA-LGWTPKVSLEIGIKSAYKDFLKFHRPLA---- 67920449 -ETKRALITGITGQDGSYLSELLLEKGYEVHGIIRFNTDRIDHMYIDPHQNGDLTDGTTLRRILEEVQPFEIYNLGAQSHVRVSFDSPEYTVESVGMGTLRILEAIRDYQQRRFYQAGSSEMFGKVQDVPQKETTPFYPRSPYGCAKVYAHWQTLNYRESYDLFACNGILFNHESPRRGETFVTRKITRAIARIVAKTQKKLY------------LGNLDSKRDWGYAKDYVRAMWLMLQ----QNEADDYVVATGETHSVREFLKIAFEYVNLNWYVDFDKRRPAEVDLLIGDPNKAKTKLGWEPSVTFEQLVHLMVE-------------- 114778098 ----KVYVAGHRGLVGSAIVRKLLAAGHSP-----------ESLVLRTSSELDLRNQAAVDAFFALERPEYVFLAAAVGGIYANDTYPADFIRDNLQIQTNVIDAAYSNGVKRLLFLGSSCIYPKLAPQPMPETGELEPTNEWYAAKIAGIKMCQAYHKQYGFDAISAMPTNLYGPNDNFDLEKSHVLPALIRKFHLAKLAQAGDIDAIAADEARYGYISPYREFLHVDDMAASCLFLMGSHLESHPSRLFNVGVGSDLRIRDVAELIQRIVGYNGEVIWDASKPDGTPRKLMDVKRL-NGMGWKAEIPLEEGIRSVYAHY------------ 135245190 ---QSILVTGGAGFIGSHLVRRLLQKTTQILNLDALTYADCENAPNYEFVHGDINNFDFLQKLFKSHDFHAVVHLAAESHVDNSIKDPFGFAQTNIQGTLNLLEAARQHWDYRFYHISTDEVFGLGQEGQFTETTPYDPRSPYSASKAASDHLVRAYFHTYEVPVVLSNCSNN---------------------------------------------------------------------------------------------------------------------------------------------------------------- 136591364 ---------------------------------------------------------------------------------------------------------------FRFLHVSTDEVYGTSSDAAFKETNPYEPNSPYSASKAASDHLVRAWHHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLCILKALQGQDLPIYGDGQ--------QIRDWLYVVDHCKGIATVLE---NGVVGETYNIGGWNEKANIEVVYTLCDLLDYKEQITFVKDRPGHDRRYAIDATKISNELGWKPEETFETGISKTVQWYLENQDWVAN--- 255513425 ----TILVTGCSGFIGSHVSEHALTEGYHVIGMDVKDCKNPEV----EFITGSITDRQAVENAVS--RADYVIHLAAITSNIEFEKDYEMAHEVNVNGFLNVINAAAKFKCKKFLYASSSAVY--PEESQFSEEDIKKQRNHYAKSKLINEMYAESYRDIYKLPTVGMRFFNVYGPG------ENDKGDYASIITIFKSYKDAGKKLVIYGD------GSQSRDFVYVKDVAEIVLKLL----KKAESGVYNIGTGTATSYLKIAELI-----DPGNKEHVPNPLSSYQRLRADTRKLREAIG---EYKFTE--------------------- 136168782 ----RVLVTGGAGFIGSNLCNMLHKKGWHVDIVDDMSNGHAEFL------DVDLRSERLWVEDFAAQEVEYVFHLAANPRVTFSIENPVETHATNVLKTLMLLEACK-GNIKRFVFAASSSSYGDAKFLPTDESCPDDPKSPYALQKSIVEKYLKLYSELYGLDSICLRFFNVFGPNQLG------DSPYSTAICAWLTAIKRGKSMRSDGD------GSQSRDMCYVDNVVDC--------------------------------------------------------------------------------------------------- 134953541 MLSKKILITGGTGFIGYHLSKKCLDLGWSVTSLSSRKPQINKKIKGVKYLKIDITNINKLSKIKK--DFDYIVNLAGYVDHS----NKKKTLESHYHGCKNLASIFEKKKIKKFIQIGSSVEYGKLKSPHIENKNCQSTYSVYGKAKLSSTKFLLDLSKKNNFPSTIIRLYLVYGPKQD-----------------INRVIPITIKNALLDKIFDCSEGSQYRDFIFIDDVINAILKILKNNKTNGE--IINIGSNKPIKIRDLINKICFIVGCGKPVFGKKMRKDETEALYPNIDKAKKVLNWRPKISLNAGLKKTIQ-------------- 136849374 ---KTILICGATGFIGRNLLDYYTKKKEYKIRAVHFKRPPSTY-EGVEWIQCDLRDPNSIRKALQGVDI--VMQFAATTTGAKDIVSKPYIHVTDNVIMNSFLLREAFEQGIEHFIFPSCTIMYQKSEKALKEDEILPFYYGAGHTKVYLENMCKFYAGFGKTKHTVIRHSNIYGPHDKYDLEKSHVTGATITKVMTNKD----------GIINVWGSGEEKRDLLYVGDLIDFIDLSLEKQESS--CELYNVGLGQGTRIKDLVKKVIKVSGKDLEMKYDLSKPTLPTSLFLNCEKAEKELGWKPKHSLEEGIEKTINWY------------ 136028925 -KRKKILITGGAGFIGHNLALKLKKLNYNVEIVDSLNVNNIYNLKKNENKIIDIKDFKKINRYVKKFKPEVVIHLSAVSHAARSNKDPQHTFDNSLVTLQNMLEITK-NNIKQFIFLSSSMVYGNFKEKNVKEDQECKPIGIYGALKFSAEKLIKGYSQVYDLPYTIIRPSALYGERCISRRVGQIFIESALNNARIFINGDGKERL----------------DFTYIDDLINGIICALKSKNSIKETFNIT--YGNSKSINFLLDRLKKEF-PKTQVCYVKRDKLMPVRGTLNINKAKKLINFSPKWSLNKGYPKYVKWYKE---------- 159901079 MTNKVAVVTGITGQDGSYLAEHLLAQGYRVVGLRRSSTVNFERIKHIQDVTGDLLDEVSLINMLQTYRPSEVYNLAAQSFVQTSWSQPVFTGEATALGVTRILDAVRTVDPIRFYQASSSEMFGKVVEVPQTENTPFYPRSPYGVAKVYGHWITVNYRESYNMFACSGILFNHESPRRG------------REFVTRKITDAVARIKLGLATELRLGNLEAQRDWGFAGDYVKAMYLMLQ--QDQPDDFVVSTGETHSVRRFCEIAFGHVGLNYADYVKIDERRPAEVDLLIGDPAKAKRILGWQPETPFEQLVTMMVE-------------- 308801971 --------TGGLGFIGSHLCEGLVTR-ARVVAVDKRANARRLEALGVEVREMDVADATAFETLVRDRRRVAIAHLAARSGVGSANENPAGATRANVETTAVVMRAASSAGVARVVLASSGSVYGEASMTAEVGDSTEHPKSAYAATKRGAELLAKVYADGYGVPVVVARIFTVFGPR----------GRPDMAVWRFIKALEEGKRLTRFGDGKST-----WRDYVFIDDVVDALTRALMNDIEVPFSIVNVSG-GAPVYLCEVIKACERACEKHGAVDESPPRPGDVGGTFGDISCAERTLGWRPTTSLADGLARTVKWWR----------- 135980183 -----ILVTGGCGFIASNLIQYLLDNHPSVINVDRMSYCSRKQNDRYRFMKVDICDSKRLVHIFEQEKVDAIIHMAAQSHVDRSFGNSLTFTRDNVLGTHSILEACRQYFLKRFIHVSTDEVYGDEDEDDCAENSLLNPTNPYAATKAGAEFLVRAYGKSFGLPYIITRGNNVYGP----------QQFPDKLIPLFTNFLSNGEKCTVHGN------GSSKRTFVHVQDVARAFEAVLKYGVDK---EIYNIGSDEEFSVIDIASKLCEIINVSEHLKYVEDRA------------------------------------------------ 136579526 -KDSKIYIAGHRGMVGSAILRKLTAAGFQNII-------------CKTSAELDLRNQLAVMQFFEKEKPAYVFLAAAVGGIVANNTYRADFLYENLAIQNNIIHAAHIHQVTKLLFLGSSCIYPKLAPQPLKEESLLYTNEPYAIAKIAGIKMCEAYRSQYGSNFIAVMPTNLYGYN-----DNYHPQNSHVLPALIRKFHEAKVNGASHVEIWGTG--TPLREFLFADDLADACYFLMQ---NYDGAEFLNVGVGHDISIKDLALLIKQIIGFDGEIKFDTTKPDGTPRKLMDVSKL-SALGWTYQTELAEGIELAYADFL----------- 218678062 -------------------------------------------------------------------------------------------------------------------------------DLPVKETAVLNPENPYGQSKLMTEFMLRDAAAAYDFNYVALRYFNVAGADPDHRAGQSTSGATHLIKVACEAALGRRDSVSVYGIDYPTHDGTGVRDYIHVTDLVEAHLKALQHLRRDKGSLVANCGYGSGYSVLDVLNMVTRLRGHSFKIHMAPRRAGDSASVVADASLARQVLGWKPRHSLETIVQSSLDWEL----------- 291534948 --SKKALITGVTGQDGSYLAELLLEKGYEVHGMVRRSSDHLEGNPNFTVHYGDLSDSLSLVRLIGSIKPDEIYNLAAQSHVGVSFDVPEYTADVVATGVLRVLEAVRICKTCRIYQASTSELYGKVEEVPQRETTPFHPYSPYAVAKQYGFWIVKEYREAYNMFCCNGILFNHESERRGETFV------TRKISLAAARIAQGKQDVLYLGNLSSLRDWGYAKDYVECMWLILQNDKPEDFVIATGEQHSVREFCEHAFREMDEVGIDKATGKTVIKVSPEFYRPTDVVNLWGDPAKAKTELGWNPKTTFEELVKIMVA-------------- 299471989 ---KKAIITGITGQDGSYLAEFLLEKGYEVHGIDHIYRDRHESAVRLKLHYGDLTDSTNLMHIIYEVQPDEIYNLGAMSHVKVSFEMSEYTAEADGVGVLRLLNAIRSEKKTRLYQASTSELYGKVQEIPQKETTPFYPRSPYGVAKQFGYWMLINYREAYGMHLTNGILFNHESPRRGPTFV------TRKITRAVARIHRGKQKTIYLGNLDAKRDWGHARDYIKGMWLMVQRDEPSDYVLSTGECHSVKEFVEESFWVGEGVEEYGHAKGDPENVKVDPRRPTEVELLLGDCTKAKKELGWVPEISFTELVKDMMK-------------- 143172521 MGSKTAMITGITGQDGSYLAELLLEKGYTVYGLVRRTSGRSLINHLMSNDKGDLADQNSIDNAVNQTQPDEFYNLGAMSFVPESWRSPMMTADITGLGALRCLEAIRKHPHCRFYQAGSSEQYGKVRDVPQTELTPFHPRSPYGCAKVFAFEITRNYRESYDMFACTGILFNHESPRRGLEFV------TRKVTMTAARIAHGFDECLWIGNVDAKRDWGFAGDYVEMQWRMLQQDKPGDYVVATGRTHSFSHVGMDLTWSGEDVDTIATDQNGVVRVRTNPKRPAEVDLLIGDPALAEKELGWVPKTSFEELVQMMVD-------------- 253991825 -----IVVTGGAGFIGSNIVKALNDEGYKDIVVDNLKDG----TKFANLADLDIADYMDKEEFLDLGDIDAIFHEGACSSTTE--WDGKYMMDNNYQYSKELLHYCLDRKIP-FLYASSAATYGGRSDNFIEERQYEKPLNVYGYSKFLFDQYVRELLPHADSQICGFRYFNVYGPR------EGHKGSMASVAFHLNNQINQGQNPKLFA-----GSENFQRDFIYVGDAAAVNLWFW----KNGVSGIYNCGTGRAESFQAVADAVVEFHKDKSPTVEYIDFPEHLKGRYADLTNLRAA-GYKPFKTVAEGVTEYMHW------------- 33239516 ----KIFVAGHKGMAGSAICRSLLHKGF-------------ENILTVDRNDLDLTDNHNVRNWFDTYQPSIVVLAAAVGGIQANRIYPADFLLENLKIQNNVIETAWNKGVKRFLFLGSSCIYPRCTPQPIRETSSLESTNEWYAAKISGLKLCEALRTQHGFDAITLMPTNLYGPGDNYHPENSHVLPAMIRRFHEANEQGV-SSIKCWGTGDPYREFLHVDDLGDACVFALENWDPSSSQAPSDSYGFLNVGTGIDIKIRDLASTIAKIVGYKGEIIWDKSKPDGTPKKQLDVSRLLQ-LGWKSTISFEDGLKNTIQHFRD---------- 143217498 MKNKKIFITGGAGFIGSHVSEAFFKNKSKIIILDKLTYAGNKSFIRVRFIKSDINDTKKYTNFLNNCDLA--INIAAESHVDNSFISPLNFTKTNSLGAHAFFLECIKKKVKKILHVSSDEVYGEKVTGTCFENQLVNPTNPYSASKAAAEILINSYKYTYKKEIIIVRANNIYGIRQYP----------EKLISTSIVNLIHNKPIPIHGNGKNIRYYLSAEDF----------ANALILLVKKRDKGTFNVGSDFFRQNINIAKHICKILKKNPFIKFTKDRLYNDKRYSVSSKKIKK-LGWRPKRNL---IKDLIEWYKRNHK------- 141236024 --KRNILVTGGAGFIGSHLVRLLVNKDYHIINLDALTYAGNEHKENYSFVKCDICNFKKVKQVFVDNKIDSVIHLAAESHVDRSIGDPFSFAKTNIMGTLSLLQVAKEFWNGNFYHVSTDEVYGLGKEGFFTETTKYDPHSPYSASKASSDHFVRAFHDTYGLPIVISNCSNNY--------------------------------------------------------------------------------------------------------------------------------------------------------------- 86134181 -KDSKIYIAGHRGMVGSAVWRALEKKGYT-------------NLIGKTSGELDLKNQVAVLEFLKEAKPEAIIDAAARVGGILANNDFPYFLMENLQIQNNLIDSALKCDINKFIFLGSSCIYPKLAPQPLKEEDSLEPTNEWYAAKITGVKACQAIRKQFNKDFVSLMPTNLYG---------YFDNFDLKTSHVLPAMLRKFYEAKINGNSDVTGSGTPMREFLFVDDLAEAVVYALENKLPE---YLYNVGSGKDITIKELAETMKRVIGHEGNIVWDVEKPDGTPRKLMDVSK-MAALGWEYSTELQEGIEKTYQWFLEN--------- 137022163 MNDKKILVTGSAGFIGASLSKKLLENGHELIGIDNINNYYDISLKKERFYETDIAKDDEIKSIFSQHKPDIVVNLAAQAGVRYSLKSPGAYVESNLLGFFNILENVKNLKCKKLIFASSSSVYGHSEDVPYKVDSTDEPVSLYAATKKSNEVLAYSYNNIYKIPTIGLRFFTVYGPMGRPDMAYFKFAEM----------------------------------------------------------------------------------------------------------------------------------------------- 138376149 --------------------------------------------------KININNAKDVNDVFKKHEISHVIHLAAESHVDRSIDNSIDFALTNVIGTVNLLNAAKNYWIHLFYHISTDEVYGLGLNGSFKETTRYDPKSPYSASKASSDHFVRSYFNTHNLPVVISNCSNNYGP----------YQNKEKFIPTVIKSLINRRPIPIYGKG------KNIRDWLYVND----HVLAIEKIFNKGRGETYNVGGGYEISNIDLVYKIIEIYNYDDLISLVDDRKGHDFRYSIDSTKIQSELDWSPKMKFEEGIKKTINWFKN---------- 149191240 -KRQKVLILGANGFIGTHLTKRLLDDGYEVYAMDMNSNQIEEHLSHPDFHEGDITIHNEWIE-YHVKKCDIILPLVAIATPIEYTRNPLRVFELDFEENLKIVRACVKYN-KRIIFPSTSEVYGMSTDPEFNEDTIVGPINRQRWSKQLLDRVIWAYGKKDGLKFTLFRPFNWMGPRLDSLNSARIGSSRAITQLILNLVEGTPIKLIDGGE--------QKRCFTDISEAIEALFRIIENKEGLCDGQIINIGPENEASIKELAETLVEKFENHPLRDQFPPFAGDVQHRKPSIANAKKLLDWEPKVHMNDTIEETLDFFLK---------- 143332421 --SKHVLITGGAGFIAHHVIDLLIRKDWKITTIDRLDYSGNECYDKEVQKRVNIVFHDLKAEIHKHGNIDVILHLAASSHVDRSITHPMEFIFDNTIGTAHLLEFARPYQLETFLYFSTDEIFGVPPGVAYKERDRYNSTNPYSASKAGAEELCVAYENTYKLPMMITHTMNVFGERQT--------PEKFIPLCIDRVRKGE----KIFIHSDHTKTQAGSRYYIHAADVADKNKPVPDMDYGRAKCPKFNIVGKEEVDNLTLAKLVAQAQGKDEMVDFHSSRPGHDLRYALDGELMRS-LGWEPKVSFSERIKQVSDWYLENDRWL----- 135197871 -----------------------------VIAVDNFFTGQRDNIKHEKNPNFVIVEHDIVDPLFIDEPIDQIYNLACPASPVHYQFNPIKTIKTSTVGMVNMLGLALKSKA-RFLQTSTSEVYGDPEVHPQPESYWIGPRACYDEGKRVAEALCFDYHRSNNVDIRVVRLFNTYGPRM--------HPNDGRVISNFIIQALKSEPITVHGDGAQT------RSFCYVDDIVAALIKMMNQETKTGP---INLGNPFEISMKELAKKITTMTGSTSELVFKESREDDPKQRQPDITLAKQVLDWQPTTPLTERLTKTIDYFRA---------- 171059030 -KQKVALITGVTGQDGAYLAEFLLKKGYKVHGIKRFNTDRIDHLYQDPHVEGDLTDSTSLVRIVQLVQPDEIYNLAAQSHVAVSFEEPEYTANADGIGALRLLEAIRICKKTRFYQASTSELYGLVQETPQKETTPFYPRSPYAVAKMYAYWITVNYREAYGIYACNGVLFNHESP-----IRGETFVTRKITRAVARIALGLQD-CLYLGNMSALRDWGHARDYVEMQWLMLQQSQAEDFVIATG--VQYSVRQFVEKAAAELGITIAFEGKGENEYGYVAYRPTEVETLLGDPTKAKVKLGWTPRTTFDELVKEMIE-------------- 139836339 -QKMKILITGSLGFVGSHLAKRYHAQGHDVVGIDNGVGGYDDNLTEVQTLRIDCCDQGSVDQLFAREQFDLVIHAACTAYEGLSVVSPVLVTRNTFDATVNVLSASIKHKIKRFVYMSSMARYGK-QEPPFTEDMKPSPEDPYGIAKVAAEDTVKCLCDVNNIEWSVVVPHNIYGPNQ--VYDDPFRNVVSIFLHRNLQ----GKPCIIYGDGEQMRCFSYIDDTLQVFDKICFSEEAVGETFNLGPDEDY-------ITINELANMTANATGYNGEHEYMP--------------------------------------------------- 159046662 ---KRALITGVTGQDGAYLSELLLAKGYDVHGIDHLYQDPHEADRRFTMHYGDMTDSTNLIRVVQEVQPDEIYNLAAQSHVQVSFDTPEYTANADAVGTLRLLEALRMEKTTRFYQASTSELYGLVQEVPQSETTPFYPRSPYAAAKLYAYWITVNYREAYGIHASNGILFNHESP-----LRGETFVTRKITRAVAAIHLGRQDRFYL-GNLDAKRDWGHARDYVEGMWRIVQQDKAEDYVLATGETHTVRSFVDAAFAEIGVTLEWRGAGDGTLRVEVDPRRPTEVDLLIGDPSKAHRELGWRHTTTLAEMVSEMVA-------------- 134592334 ----HAVVTGGAGFIGSHVVDALLSRGMRVSVIDNFSTGRPDNLRHVELHEADIATPGAWQRVLDGA--DWVLHLAALADIVPSIQRPGDYFRANVDGTFHVLEGAREGGVTRLVYAASSSCYGIPDAYPTREDAEIRPQYPYALTKRLGEELVMHWAQVYGLPAVSLRLFNVYGPR------------------------------------------------------------------------------------------------------------------------------------------------------------ 143294594 ----RVLVTGAAGFVGAAVVTRLLADGRDVVGVDNLNDYYDVRIKHHRLEQLDVADADEVARLVSEVDGSPIVHMAAQAGVRHSLKRPRDYVSSNMVGFANVAELARHAQSPHFVYASTSSVYGLNARRPYRETDVRHPLNLYSATKLANEAVAHAYSHLFSIPTSGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 281357572 -EGRRVLVTGHSGFKGSWLAAWLVRLGARVCGVSLRPNHYSLLELPVESEWTNIREAAELRSILMDFKPEAVFHLAAQALVRVSYEEPALTVATNVMGTVNLLEACRAVKSVKSLVVVTSDKCYENRETPYQEEDAMGGFDLYSASKGCAELVTQAYRRSFFNPEEYGAKHSVATARAGNVIGGGDWAADRLVPDLVRAATQRRTEE--------LRNPDAVRPWQHVLEPLSGYLELGRRLLEGRAEFAQAWNFGPSDGAMTVAEAAAEMSRVWPAVKFQAKPRPDAPHLLLDSTKAREQLGWRCVWDTPAAIRRTAEWYRDFYQ------- 298527743 -DSKRVLITGNTGFKGSWLTLLLQSLGAEVIGF-SLPPPTEPSLFEIVMVYGDIRNRSVVQETMDRYRPQIVMHLAAQSLVRASYENPVETYDINLMGTVHILDAMRNTPGIKAAVMVTSDKCYENQEQPYREEDPMGGYDPYSSSKGCDELIISAYRRSY----FSNNNTALASVRAGNVVGGGDWAKDRLVVDAMQAFM--------HGKPLVLRNPDAVRPWQHVLDPLYGYLMLAEKMWEHGASYASAWNFGPGRESSQSVSQLANMLGACWVSDKVPSDQHEANLLLLDARKAEMNLDWYPQMDAATSLAWTVEWYRAYSKG------ 144155923 ---KRALITGVTGQDGAYLADLLLGKGYEVHGVKRFNTGRIDYLYTDPHHYGDLTDATNLIRIVQEVRPHEIYNLAAQSHVQVSFETPEYTANADGVGTLRLLEAIRISGETRFYQASTSELYGLVQAVPQSETTPFYPRSPYAAAKLYAYWITVNYREAYGMHASNGILFNHESP-----IRGETFVTRKITRAVAAIHLGLQD-VLYLGNLDARRDWGHARDYVEGMWRILQQDEGDDYVLATGETHTVRSFVETGRPIAWEGVGVEEVGRCADTIRVDPRRPTEVDLLIGDPTKAREKLGWRHAVDLDGLVREMVA-------------- 261409113 ---KRALITGVTGQDGSYLAEFLLSKGYEVFGLRRRSTPNYENVTHIHWISGDLTDLASLIEAVRIADPHEVYNLAAQSYVAASWPQPLATGQMTAISVTNMLEAVRLVKPERFYQASSSEMFGKVVETPQKETTPFYPRSPYGVAKVYGHWITVNYRESFGMFACSGILFNHESPRRGLEFVTRKVTDAVARIKHGLQQELR------------MGNLDALRDWGFAGDYIKAMWLMLQQEQPDDFVISTGEMHTVRELLEVAFSYVGLHYEDYVVIDPEFVRPAEVDLLLGDCTKAKEQLGWELEVSFEQLIKMMVD-------------- 139841091 ---KNIIVTGGLGFIGSNLIRLLLETKHKIINIDDLFSRKDSYIKRYKYFNIDLNNIEALEKVFDDVSPDVVFHLAAESHVDRSIDNPNPFIKSNILGTFNLLEISRSFEEFRFIHISTDEVFGLSEVGLFNEESCYDPRSPYSASKASSDHLVRAWFHTYKLPTIICNCSNNYGP--------WQFPEKFIPTIILKALNNQFIP--------VYGDGKNIRDWLYVEDHVDAL---IKVYLNGSPGSTFCIGGSNEK-------------------------------------------------------------------------- 141211827 -------------------------------VLDSLSSGFLDNIKRADFIKGSFTDKSILQSILK--EIDTVIHLAALKAAGESMDDPVTYSNHNIIDSINLINFCLENKVKNFIFSSSAAVYGIPNYLPIDESHPLCPINYYGFTKLFIEQQLLWYNQLKGLNIALLRYFNAAGYDTKGRVIKKESNPQNLLPIMMEVAAGNRSKFNVFGNNYDTPDGTCLRDYIHVNDLAVGHVKALELLSKKNK-IITNLSTGNSHSVLEMINKAQDITNVSIDYVMSKKREGDP--------------------------------------------- 209552271 -ENRKVLITGITGQDGAYLAELLLEKGYIVHGLKRFNTSRIEHLYEDPHIEGDMTDSTNLIRVVQETQPDEIYNLAAQSHVQVSFETPEYTANADGTGTLRLLEAIRLTKKTRFYQASTSELYGKVQEVPQSETTPFYPRSPYAAAKLYAYWIVVNYREAYGMHASNGILFNHESP-----IRGETFVTRKITRAAAAIHLGLQERLYL-GNLDAKRDWGHAREYVRGMWLMLQQDEPEDYVLATGETHSVRSFVDKGMQIDWRGTGVEEKTSGRCVVEIDPARPTEVDLLIGDPTKAHTKLGWKHETSLDQLVAEMVR-------------- 136804223 MKS---LVTGGAGFIGSHIVDKLLEMGHEVVCYDNESAESNEDFYRNPNIKGDIRDYQLLKNSMTGV--DYVFHLAAESRIQPAILNPIEAVSVNCVGTVTVLQCAREVGVKKVIYSSTSSGYGFNE-PPNDELQNDDCLNPYSVSKVAGEKLCKMYNDLWGLKTVFLRYFNVYGERQ---------PLKGQYAPVIGIFLRQRDSEEAL---TIVGDGEQRRDFTHVSDVVQAN-------------------------------------------------------------------------------------------------- 143002603 --NMKYLITGVAGFIGFHLAKNLSKKN-QVFGIDNLNGYYSKKIKIERLKEINLSNWKMLKKFFKNQKFDAIIHLAAQPGVQYSLKNRKSYFDNNIKAQFNLLEIITEFNVKKFIYGSSSSVYGEKSNKNETFN-IEKQESFYATSKKICEVMTKNYSDLYKLKSTALRFFTVYGP----------YGRPDMSPLIFLKSIYNNKEINLYNNGSSLRSYTYIDDVVNFINKSIKNNLFL--KDKKNYHKIYNVGNKKNISTINFVKLIEKNLNKTSKVIKLKNRKADIINTKANINLAIKELKIKPKISVKLGVKKMCDWFRN---------- 292654073 MSSSTVMVTGGTGFIGSYVASDLVAAGHDVVAYDRSTDPRILDRLGVTIRRGDVTDPTDVFRAVREIGATHIVHLAALLTTTA-RENPRAALDVNVQGTNNVFETARPEQVERVAWASSAAVYAPPANDFVTESDLVYPDTLYGATKEYNEHQARVYYEDFGVSHVALRPTVAYGP---------------YRETGGSAFLANIVEKPALGEPFSVDYGDQVIDWQHVRDIAQA-FRKATFVPEDDLSQRVYNVRGELATIREAAETVRRLLPDADLTVSGE---GELPWTQLDVTQAQADLGYDPEYDLEAGFRDYVN-------------- 143607129 --SKKILVTGADGFIGSHLVESLIEQGNHVVALAQYNSFGSWGWLEEIEENGDIRDPFFCRTIVK--KVDIVYHLAALIGIPYSYTAPETYVATNISGTLNICQACLDLGIEKIIHTSTSEVYGSANYVPIDEEHPIQPQSPYSASKIGADAMAMSFYNSFELPLVIARPFNTYGPRQS---------------------------------------------------------------------------------------------------------------------------------------------------------- 141191481 -------------------------------------------------------------------KPQFVVNLAAQAGVRYSIINPSSYVKSNLLGFSNILELCQTHEVRHLVYASSSSVYGANNKYPFSEGDKIDPLSFYAATKISNEMMANAYSNIYKLPITGLRFFTVYGP----------WGRPDMAPMIFADKILKKKPITIFNHGNMSRDFTFISDIIEGTYLCCLKVPSPNDEYKSGKHRIFNIGSGNPVKLLDFIELLEEALSIKASKVFENMQPGDVESTYASTQNLQDWISFRPLVTIKEGVNKFANWYLDYYQ------- 138362216 -----------------------------------------------------------------------VIHLAASSHVDNSINKPIDFMNVNILGTYSMVEACKKYWIFRFIYISTDEVFGVSGKKQFYENSNYSPNNPYSASKASGELIVKSWNKTYNFPGIITNTSNNYGPRQF----------IEKLIPKTINNCLNKIAIPIYGNG------KNIRDWIHVDDNAKAILTVLKK---GKINETYNIGSNNEISNIKLVKLICKIIDKKQLIYYVKDRPGHDLRYSINYNKIKKNLHWKPEINFEDGLIKTIDWYLQNQKWLK---- 138167701 -------------------------------------------------------------------------------GVRYSLTHPEVYIQSNIISFLNILEACRFYPVKHLVYASSSSVYGANEKLPFTSDSVDHPISLYAASKKSNELMAHTYSHLFGIPTTGLRFFTVYGP----------WGRPDMALFLFTEAILKGEPIKVFNHGKMKRDFTYIDDIVEGVIRVDFDPQNPDPGSGKAPFKVYNIGNSSPVLLMDYIKAIEKGLGREAKMDLLPLQPGDVPASHADVTDLVRDTGYKPETSIQDGVSAFTNWYLDYYK------- 144091497 -------VAGHNGMVGSAIVRYLENLGYKNLILRS-------------KAELDLIDQLQVGSFFKNEKIDQVYLAAAVGGIHANNIYPAEFIYQNTQIQLNVIHNAFKNGIKNLLFLGSSCIYPKNSKQPMKEDDTLEPTNPYAISKISGIKLCESYNRQYS-KTHGIDYRSIMPTNLYGPGDNYHPENSHVIPALIYRFHEAKMKKLSSVTIWGTG--TPKREFLYVDDMARNLDKKIYDKFTQPMCSHLNVGSGIDLSIKELALIIKEVVDFKGEINFDISKPDGIYRKFLNSSKMK-DIGFEPEINLKNGLAKTYQDYKKY--------- 257076307 MQGKNILITGGAGFIGSNMVEKLLPDNN-ITILDNLNNGNRFITQFMENKNFHFINTDLLSFDYGSLKIDTVIHFAANSDVRYGSEDPTKDFKNNVVATENILEYMRKYDVKDILFASSSTVYGEASIMPTPENGPYMPISSYGASKMSNEGFITAYSHYYGFKGSIFRFANIVGKNSTHGVIYDFINKLLKNP----------------GELEILGDGTQKKSYMHVKDCVDSMIYFHEKSM---KTDIINLGNRETTSVKTIADYVVKRMGLKNVKINGRGWKGDIKITHLAIDKLLS-TGWKSRYTSDEAVQETID-QLKNKN------- 261333795 MSARRLLITGITGQDGSYLAELLLKKGYDVHGIVRLNTGRIDHLVGNAHHYGDMTDGAGLHQIVSRVKPHEVYNLAAQSHVKISFETPVYTGETDALGTAKILEAIRSTKTCRFYQASSSELYGNVQEAPQTERTPFYPRSPYAVAKLYSHWITVNYRESYDMFASNGILFNHESPRRGEAFV------TKKIVRAAVRITKGMQKELFLGNVNAVRDWGHAKDYVHGMWLILQADKPDDWVLATGKQHSVKEFCNLAFQRLDEIAYDRGCALRTPIVRIDSRRPAEVETLVGNPEKAARELGWKITYSFEQLVEDMVE-------------- 143246139 ----RILVTGAAGFIGAHTAKALLARGDEVVGIDNFNPYYDLALKRARLDEIDLMEKKSLNDLYTRVKPDRIIHLAAQPGVRYSLENPQAYIDANITGFLNVLECARDHGTEHLVYASSSSVYGAHANMPYSVHHVDHAVSLYAATKKSNELMAHTYAHLFRIPCTGLRFFTVYGP----------WGRPDMAPFIFTRKIIAGEEIQLFNHGQHSRDFTYVDDIVE---------------------------------------------------------------------------------------------------------- 143295823 ----RVLVVGGAGYIGAHVCDVLVSNGYQVRIYDDFSNGLRRRVKFTDVVVGDILDRAKL--IQSLEDVDSVIHLAAKKAVGESVENPLKYYQNNVGGTLNLLAAMSAKSVKTIVFSSSAAVYAPNDKPAIEESDLTKPLSPYGETKLLSEQLISKVSEANGISAISLRYFNVVGSSK----PEFGDNSKDNLVPKVFNALNEGKSPEIFGDDYPTK-------------------------------------------------------------------------------------------------------------------- 170054607 -AGRRILITGITGQDGSYLAEFLLAKDYVVHGIIRFNTSRIEHLYADPNHYGDMTDSSCLVKIISAVRPTEIYNLAAQSHVKVSFDLSEYTAEVDAVGTLRLLDAIRTCKSVKFYQASTSELYGKVVETPQNEKTPFYPRSPYACAKLYGYWILINYREAYGMFACNGILFNHESPRRGENFV------TRKITRSVAKIFLGQLTCLELGNLDSKRDWGHARDYVEAMWLMLQQDEPQDYVVATGECHSVREFVEEAFRCIDRGIEWRDEVGVEKGTEVVPRRPTEVDLLQGDASKAKRELGWEPKVSFLQLVADMVA-------------- 284176165 ----RVLVVGGAGYLGSVLCRQLLDDGFDVRVLDPLFYGDAGVAELTDDDRFTLGDARSVDAVLEAIDVDAVVHLGGIVGDPASEIDPEKTLEYNLHSTQLLASLCKYHGITRFIFASTCSVYGDGDAGRLAEDDARNPVSLYARLKIQSERVLREFADEHFAPTIL---------RMATVYGRSPRMRFDLVGNILPVKAYSEGVVPVFGG-------DQYRPNVHVADAARAYVECLTAPIEDVGDTVFNVGSNEQNYRIDELATIVEDCFPDASIEYHDE-LTDERSYRVAFDRIRSVLDFEPERTVRD--------------------- 142508778 ---RKWLVTGAAGFIGSHLVETLLSLNQQVVGLDNFSTGYAHNVRRFRFIEGDINDAATCSR--ACRQVDYVLHQAALGSVPRSFADPLATHSANTTGFIQVLEAAMKAKVSRVVYASSSSVYGDAKDLPKREGIEGTPLSPYAVSKAVDELYARVFHNGYGQELIGLRYFNVFGPRQD------PDGAYAAVIPRWIQALLKDRTSEIYGDGKTSR-------------------------------------------------------------------------------------------------------------------- 135018472 -------------------------------------------------------------ALFAAEKPTVVVNMAAQAGVRYSLENPAAYIQSNLVGFGHLLEGCRHHGIENLVYASSSSVYGGNRNLPFHEQQPVHPVSLYAASKKANELMAHTYSHLYGLPATGLRFFTVYGP----------WGRPDMAPMLFARAILAGEPIKVFNHGKMQRDFTYIDDIVEGVQRCEFDPLQPDPATAAAPHRVFNIGNSQPTELLRFIEVMEQALGRVAIKDFQPIQPGDVVATAADTKALEDWVGFKPFTPIEVGIQRFADWYR----------- 143360058 ----NVLVTGGAGFVGTNLIKRLIIEGHNVVSVDNYNTGSNNHQDNVEYIFGDIRNKINYEK---YGNFDVVFHLAAIARIQPSFEKPTEYFEVNANGTINIVEFCYRRNIPLIYAGSSS-------------HHSGKFKNPYTFSKDVGEEITELYQKHYNLKSSIARFYNVYGPH------HLKEGGYCTLIGAWEKLIEENKELVIFGD------GTKRRDFTHVDDVVDGLYRI---YTKEKWGYVFEFGRGKNYSILEIANMFGKL------YRFEKDKIGEAQTTLCDNKIAHELLSWTPKKNIEDYIKNYLN-------------- 15606359 MSGKRALITGIRGQDGAYLAKLLLEKGYEVYGADRRSGEFASWRLKEKIIHMDLLEFSNIIRTIEKVQPDEVYNLAAQSFVGVSFEQPILTAEVDAIGVLRILEALRTVKPDKFYQASTSEMFGKVQEIPQTEKTPFYPRSPYAVAKLFGHWITVNYREAYNMFAC------------SGILFNHESPLRGIEFVTRKITYSLARIKYGLQDKLVLGNLNAKRDWGYAPEYVEAMWLMMQ----QPEPDDYVIATGETHTVREFVEKAAKIAGFDIEWVGEGFRPAEVDILVGNPEKAMKKLGWKPRTTFDELVEIMME-------------- 137250495 ----NVLITGGAGFIGSHFVDLLIKKKKIHKIIDNLEDGTLRNLKKVKFVKADIRDFNKIKNLFKNIQ--KVVHFAALSDVVPSIVEPKLYMDNNINGTINILESMKINGVKKIVYAASSSCYGIPKKYPTNEDSPLDPRYPYAMSKLVCEDIIDHWSKVYSFEFLSLRLFNVYGTRSRTN-------------SAYGAALGVFLKQKILNKPFIVGNGNQKRDFIYVSDVCNAFYKALIKDLPNNK---FNIGFGKPRKVNEMVKII----------------------------------------------------------------- 134991774 ---KKALVLGAGGFIGSHLVKKLKKEGFWVKGVDLKSPPYAET-QANDFVIGDLRDPLVCREAIEVYQLAADMGGAGYIFIGENDYDI---MQNSASINLNVIESCRKRDIKRIFYSSSACMYPDPNDLNLKEESAYQPDSEYGWEKLFSERLFLAAARNKGMEVRIARYHNIFGSEGSWNDGREKAPAAMCRKVATAQN---------GGEIEIWGDGNQTRSFLH-IDECLEATLRLTRSNWQGP---VNIGSDEMVTITELANIIMDVANKKLKIKYIDGPTG-VRGRNSDNTLFEKKLGWRVSKPLKSGIEETYPW------------- 142216240 ------LVTGGAGYIGSHVIRALRGAGFSPVVIDDLSHGLAHRIPDDPLIRARLQDKPALAGAITEYGVTGVIHLAALKAAGESVQIPLTYYAENVGGMIDLLDVMHETGARNLVYSSSAAVYGTPATNPVTEDEPLTPENPYGETKVVGEWMARDAGIAWDLSWVALRYFNVAGAGSDELRRQQCQQP------------------------------------------------------------------------------------------------------------------------------------------------ 138454194 MKKQKALVTGGAGFVGSALAKRLLNEGLEVWIVDNFSNGKRSNVPEAHLIEADLSEDSCYEKLGKTVFDGGIFHLAAQASNAISFRKPFQDISDNQTTTLKLLEHARATDSKRFYFTSSMSAYGAPSEFPTPESTGLNPDSPYGAHKAASEHYVNMYAKEYGFKTTIFRLYTTYGGGQN---------------------------------------------------------------------------------------------------------------------------------------------------------- 182416050 ---KKALITGITGQDGSYLAELLLAKGYEVHGIIRFNTSRIDHLYRDPHVNGDLADSVQMVKLLYELQPDEIYNLGAQSHVRVSFDIPEYTGDVDGLGAQRILEAIREVKKVRYYQASSSEMFGKVQQVPQTETTPFWPRSPYGCAKVYAYWLTVNYRESYALHASNGILFNHESPRRGETFVTRKITRAATRIKLGLQDALY------LGNLDAQRDWGYAKEYVEMMWLMLQQDRPDDYVVATNETHSVRQFCETAFGLLD--------LDWQRYVKYDDRRPSEVDLLIGDPAKARRQLGWEPKVRFKDLVKIMVE-------------- 121533318 ---KKALITGITGQDGAYLAEFLLKKGYEVHGVKRFNTARIEQLYRDPHEKGDLTDAANLIRIMQEVQPDEVYNLAAQSHVKVSFEMPEYTVSSDAIGTLRLLEAIRITEKVRFYQASTSELFGKVQEVPQRETTPFYPSSPYAAAKLYAYWITVNYREAYNIFACNGILFNHESP-----LRGETFVTRKITRGVARIKLGLEDTLYL-GNLNARRDWGFAGDYVEAMWLMLQQDRPDDYVIATGESHSVREFVELAFANIEWRGAGLDEIGVDSAVRVDPRRPTEVDLLLGDAAKAKAKLGWTPKVTFPELVKMMVQ-------------- 227882852 -ENATVLVTGGTGFIGSYVSKQFLEHGHDVIAYD-LSTDTRILEKLGIVRRGDVSDATDVVSAVSETGTTHIVHLAALLTNTA-ESNPRAAMQVNIEGTSNVFEAARDDQVERVAWASSAAVYAPPHNWWVTEDDLVYPDTLYGATKEYNEHQARVYHEEYDVSHVAIRPTVAYGP---------------YRETGGSAFLANIIEKPAVGESFSVEYGDQEIDWQHVEDIAQG-FRLAAFTPDEELSQRIYNVRGELATVREAAETVEGIIPDADIDVSDD---GELPWTRLDMTKAQEDLGYDPQYDLESGFRDYI--------------- 136426094 ----TVLITGADGFIGSHLTEELVRKGYKVRAFVMYNSFNSWGWLDHCAPEVDIRDPHGVKEAMKGC--DAVLHLAALIAIPYSYHSPDTYVDTNIKGTLNVLQAARELGVKRVIHTSTSEVYGTAKFVPITEEHPLQGQSPYSASKIAADQLAYSFFASFGLPVVIARPFNTYGPRQS---------------------------------------------------------------------------------------------------------------------------------------------------------- 140158360 --KKTI-VTGGAGFIGSHVVDKCLQLGHEVIVIDNESSGHDDWNKHCQNHKVDIIDFTSIAPLFQGV--DYVYHLAAKASVQASVDNPLPTISTQVMGTANVLEAARLSGVEKVIYSSTSAVYGNMNPIPNVETMREDPLNAYAIGKLSGEQLVKSYHGLYGMKTVAFRYTNVYGERSRHV-------------------------------------------------------------------------------------------------------------------------------------------------------- 136433335 ------------GFIGSHLVKYMVDKYYDIHVIDSLTYAGNKKNLEGYLDKISISNKRYINNIFDLHRFDGVINLAAETHVDNSIEHPDIFLQTNVIGTQTLINASIKYGINKFVQVSTDEVYGSDDGRKFYEFTPFDPSSPYSASKAASDFLVLAAHKTFNLPACVTHCSNNYGPNQ----------------HAEKLIPKVIECLKNNTQIPIYGDGENIRDWVHVNDHVRAIDKVFHSGKN---GERYNVGGENEMSNLDLVKKICNLYDSSRLMSFVGDRPGHDLRYSVNFDKIYTKLRWKPEINFNLRMKETIKSYL----------- 134516639 ----------------------------------------------------DISDEAYISSIFNEFKFDSVIHLAAESHVDRSIDDPLSFIKTNIVGTTVLLNAFKNVWEGNFYHISTDEVYGLSVKGRFNESTPYSPNSPYSASKASSDHLVRAYGKTFKMPYLISNCSNNYGP--------FQFPEKLIPLAINNIIKGESIPVYAKGE--------NVRDWLYVED----HIRAMDLIFHSGRSEKYNIGVSDEIKNIDLVRTICSLMDKKLNITYVKDRPGHDFRYAIDNSKIKKDLGWSPAVNLRDG-------------------- 168042955 ---KKALITGITGQDGSYLTEFLLKKGYEVHGISNFNTQRLEHIYIDPHHYGDLSDASSLRKWVDTICPDEVYNLGAQSHVGVSFENPDYTADVVATGALRLLEAVRIHVQQVKYYQAGSSEMYGATPPPQDETTVFHPRSPYAVAKVAAHFYTVNYREAYGLFACNGILFNHESPRRGENFV------------TRKITRAIGRIKIGLQKKLFLGNLKASRDWGFAGDYVEGMWLMLQQEKPDDYVLATESSYTVEEFLEEAFGYVGLNWRDHVEIDPRYFRPSEVDNLRGSAQKARERLGWQPKVNFKQLVAMMVD-------------- 136159682 --SKSALITGITGQDGSYLAELLLSKGYNVHIIRRTSTEHLDRIKHIQIHQADLLDQSSIMDVMSACKPDEIYNLAAQSFVPSSWGQPVLTCEFTALGVTRMLEAIRILNPKKFYQASSSEMFGKVQATPQSETTPFYPRSPYAVSKLYGHWITINYRESFGIYACSGILFNHESERRGKEFVTRKITDAVAR-----IKLGLLETLKL-------GNLEAKRDWGHAKDYVNAMYLMLQ--QDQPDDYVIATGTTHTVREFVDKAFHCVGLNYEDHVVVDPFRPAEVDILIGDSTKARTALGWNPTIDFDALVSIMVE-------------- 303247689 MPVKTVLITGVNGFIGSHMARRL-GRDYQVVGLG--ASSVDTRGLCQHYVQMMLPHPDFI-QVLAQYQPECCLHFAGSASVGTSFAHPGVDFQSGPAAVFQILDALRQNQPCLFLFPSSAAVYGNPTKLPVAESDPINPISPYGYHKSMSEKLIEEFHTIYEVPYLVLRIFSCYGPGLRKQLLWDLCRKIDS------------------GTVELYGTGEETRDYIHVKDLAELVAVILRKDIR---NTVLNVGNGREVSTRTVADSMLRAMGRERPVFRGQGKTGDPLRWCCDCTKLHNLAHIKSEYDIDTGIAQYAQWYKK---------- 160937627 -RDKRVLVTGHTGFKGAWLCRILTLAGAQVTGY-SLEPPTDPSLFEMDSVIGDIRNLQGLRDVFERVRPEVVFHLAAQPIVRDSYKDPVYTYETNVMGTVNVMECVRTDSVRSFLNVTTDKVYENREWEYYRECDPLDGYDPYSNSKSCSELVTHSYQKSF----FGDGRCAVSTSRAGNVIGGGDFANDRIIPDCIRAAAT--------GKDIIVRNPHSTRPYQLVLEPLAVYLTIAMRQYEDGRYQGYYNDDKDCVTTGCLVDMFCRLWGGDKWVNQYDGGPHEANFLKLDCSRIKSVFGWRPRYGVEEAVEKTVEWSRAY--------- 138870737 -----------------------------------------------------------------------VLHFAGFIKVEESVQNPKKYFENNTENAIKFFEICHKFGLCNIIFSSTAAAYGNPTNNSITEDEDLKPLNPYGESKVQTEEYLLRNKDKY--NSIILRYFNVAGADPDLRSGLISDTSTHLIKILSEVAIGKREKISIFGDDYNTKDGTAIRDYIHVSDLASIHLKAAEYLLEKKITNIFNCGYGKGYSVLEVINTANEIFNNKIKFEYDKRRLGDSEKLISNVDKLHKHIDWKPKFD------------------------ 139740015 MKNKKFFITGGSGFIGSALIRYIINHTNHVLNYDKLTYAGNIKNLASISKEGDICDQLKMSKLLSEYKPDIVMNLAAETHVDRSIDKPSEFIKTNIVGTSKMLECMQNYWQFLFHHISTDEVYGNVNNNKFTEKDPYDPSSPYSASKASSDHLVRAWHRTYGLPVIVTNCSNNYGP--------FQFPEKLIPLVILNSLEGKELP--------VYGNGQNIRDWLYVEDHVKLLY---EIVLKGGVGETYNIGGLSEKSNIEVVNTICETLDK----------------------------------------------------------- 206561467 ----RIVVTGASGFVGRAVCRLALTTGHTVTALVRRPGRCIDGVREWVYDEPDFA--ELVDAWPAGLEADSVIHLAARVHRDESPDPDAAFEATNVTGTLRVAEAARAHGVRRFVFASSIKAVGEGDGVPLAEDVAPEPRDPYGRSKLHAERALAQFGSSTGLDVVVVRPPLVYGPGVRANFLRMMDAVSRGLPLPLGAIAARR--SLVYVDNLGDALLRCAIDPRAAGEC--FHVADDDAPSVAELLRMVGDALGRPARLFPVPTALLYALGRLTGRSAAVDRLTG--SLQLDAGRIKRTLDWRPPYTTREGLEASAAWYR----------- 142999822 MMARSVMVTGGAGFIGTNFVYHWVEAHDTVVVLDALTYANLEQAECIQFVEGDICDADLVARVLADHDIDTIVHFAAESHVDRSITGPDAFIRNNLEGTHTLLAAARDAWLHRFHHVSTDEVYGAPDDPAFTETTRYKPNSPYSASKAGSDHLVRAYQHTYGLQVTTSNCSNNYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 139131057 ---KKVIVTGGLGFIGSNLVNILLKKNYFVINIDKVTYSSNFYNLRNRFIRLDINNHKKIFNVLKKYEPNAIFNLAAETHVDRSIDGPEKFIKSNILGVFNLLQAFRKFKRSRLIHISTDEVYGDILIGRSKENDAYKPSSPYAASKASSDHLVYSYIRTFNIPAIITNCSNNYGPR--------QHPEKLIPKLIYNILKNKSLPL--------YGKGLNSREWIYVDDHCEALIKIFER---GKIGNFYNIGSNLNLKNIKISKLLIKIIGKNVKIKFVKDRPG----------------------------------------------- 143202608 --KKKILITGGTGFIGFHLAKKCVRMGWEVT---SFSKRKPKLIRRLDKVKYLTGDLTKKKDLKVLKKFDYVVNAAGVVDHSPNKS----VFKAHFIGCKNLSNFFLKKKIKLFLQIGSCVEYG-PQKSPQKEDDMIELKSMYSKGKFAASQYLMRIHKKYKFPVIIFRLYLNYGPNQDFNRFLPIIIDGCIRDKKFPCSSGI-----------------QYRSFTYIDDLVRAILIALKKPQLSGN--IFNIGNNKPVKIKKIIQYIRKYVKKGTPYGKIKFRKDEIKSLYPNISKAKSLLNWQPKINFSKGLNKTINYYKSN--------- 218458257 --------------------------------------------------------YVEVDEIYNLACPASPVHYQH---------DPVQTVKTNVHGAINMLGLAKRTKAKIFQ-ASTSEVYGDPAVHPQPEENPIGPRACYDEGKRCAETLFFDYHRQYGVEIRVARIFNTYGPRMQTNDGRV--------VSNFIVQALQNEPITIFGNG------TQTRSFCYVDDLIEGFIRLMGTPA--GVTGPINLGNPGEFQVRELAEMVIAMTGSKSRIVYNPLPMDDPTQRKPDISRAQQDLGWQPNVNLREGLERTIAYF------------ 71017485 -EKKRILITGGAGFVGSHLVDRLMLQGHEVLVCDNFYTGQKSNVHWVGHPNFELIRHDVVEPLV--IEVDQIYHLACPASPISYQANQIKTIKTNFLGTLNSLGLAKRTKA-RFLLASTSEVYGDPDVHPQPETNPVGPRACYDEGKRVAETLTYGYYYQDGVDVRVARIFNTYGPRM--------HPHDGRVVSNLIQQALRGEPLTVFGDGSQTRSFMFIHDLID---------------------------------------------------------------------------------------------------------- 295115217 -RGKKILITGHTGFKGSWLSEILLLAGARVTGYSNPPTEPSLFELLGLSERGDVRDLRHMKQVFEETQPEIVLHLAAQPIVRDSYKDPVYTYETNVMGTVNLLECARTPSVRSLVNVTTDKVYENREWEYYRETDRLDGYDPYSNSKSCSELVTHSYVKSF----FAGSSTAVSTCRAGNVIGGGDFANDRIIPDCVRAAEK--------GQDIVVRNPHSTRPYQHVLDPLFLYLTVAMAQYEDPSFAGYYNVGPDDADCLELVDLFCSLWGENLRWMNRSDGPHEANFLKLDCSRVKKTFGWKPGMHVEEAVKKTVEW------------- 296274452 -KNSKIYIAGHTGLLGSAILNILTKDNYTNII-------------TKTHKELELTDKLSVEKFFEENKIDYVFLCAGVGGIIGNKTNPATYLHENLLIQDNIFEMANKYAVKNVIFYGSSCTYPKVCPQPMKEEYWLETSMGYAAAKIAGIIGCKSYNTQYNTRFICVIPNSIYGPN-DNFDLENSHVFSALIKKIDTAKQENQESLTLWGSG------NPRREFIFSEDVANASIFLMQ-NSNKLENSHYNLGSGIDYSIKELAEVISNVVGYKGSIKWDIEKPDGTMQKLLDSSKFLK-LGWKPKITFEEGLEKTYKWYKGNY-------- 78779718 --KKNFLITGGAGFIGSNLINKLLEPENNVFVFDNLSTGRKTNLKLDNFYNIDLRDWPQLKEI------DTLFHFAANADVRGGEINRDIDFYENVIVTKAICDYASKNKIKKVAFSSSATVYGEPNIFPTPENYSSTQTSVYGASKLAGEAYLQAYSEYLDFKVTIFRFVSWVGYGYSHGVIYDFVNKLLKNP----------------NELFILGDGNQKKSYLDVSDGVNGVLNLND--LNQENCNIFNLGHTEIIDVNSLARIICKLINIKFNYSGGERWKGDSPLVHLDISKAKKY-GWSPKVDIKTAISNTVDYLLSDRRNL----- 251797828 --GKKVLVTGHTGFKGSWLCAVLKHRGAEVIGYSLPASAVPHMALDMTSLTGDITNLTAMSETLSVHQPDIVFHLAAQALVRDSYRDPLGTFLTNAYGTAVLLEAIKNSPAKVIINITTDKVYENKESADYSENDPLGGYDPYSASKACSELITGSYRDAFLAPLGKR----IATARAGNVIGGGDWAANRIVPDLIRSMTS--------GELPVLRNPAAVRPWQHVLEPIYGYIEKLWADEQYGGAWNFGQTIDQGITVGELAARLLRRWGNLPELAYDTKQPHETNTLLLNSDKAYRRLGWGSRLTLEETLAWTADWYRAFYDG------ 288927696 MERKVALITGITGQDGSYLAELLTEKGYDVHGLSSFNTGRIEHLYLDEWVRADMTDSSSLIRIIGEVRPTEIYNLAAQSHVKVSFEVPEYTADVDATGVLRLLEAVRICKQCRIYQASTSELYGKVQEVPQKETTPFYPRSPYSVAKLYGYWIVKNYRESYGMYCCNGILFNHESERRG------------ETFVTRKITLAACRIKQGFQDKLYLGNLDAKRDWGYAKDYVECMWMMLQ----QEQPEDFVIATGEMHTVREFCELAFKEVGIDIRIEVDPKRPCEVDQLLGDPTRAKTKLGWSPKTSFKQLIEKMVE-------------- 142494242 MTAMTILITGGAGFIGSHLVRHLVKTHYTIVNLDALTYARLDDVKDAPNYQGNINDSSVVENVFQSRSLDAVIHLAAESHVDRSIEGPMPFANTNIIGTMTLLNACRTHWNHRFYHISTDEVYGLGKEGVFTEQSPYQPRSPYAASKASADHMVRAYGETYGLPYVISNCSNNYGPDQ----------------------------------------------------------------------------------------------------------------------------------------------------------- 135280234 -KNKKILVTGGTGMIGSHLIELLIKKEATITVLHNRPIPKEIQKEDVEFVQGDLTDKKFTDKIMK--DIDFVFHLAAYTGGGRTSNHPASTLTPNLISDGNMLESAKNANIKKFLYASCSCVYPDMDKDLFENDAWAGNPPAVHWSKRMGELQALSYHKEYNMKIAIVRPSNSYGPRDSQDLETAHALGALMIKGM-----------KKMDPFVIWGDGKPIREYIFAEDAANGMILAME---NYCIGDPINLASGEFYTIEELARKIIKICDYNPKIVFDNTKPSGQIRRVLSNNKAEEKFNFKA--------------------------- 136707972 ----NILVTGGCGYKGHVLVPKLLARGDEVTVIDTIWFGN----FFDEHDRLTVLKQSVLDDAFDITPFDAVIHLASIANDPCGDLNPKLTWEVSALATMRSIDKCAPSGIERFIYASSGSVYGLKEEEFVTEDLELVPLTEYNKTKMVAERVLLSSAEDMAVQIIRPATVCGLSPRMRLDV----------SVNMLTMQGIANNKITVFGGQ-------QIRPNIHIDDITDVYLFMLDNPQHTG----VFNAGFENISILDIANRVSDVTGAEIIITD----SNDPRSYRLDSSKLL-ETGFTPKYTVNDAIAQIMEAHKD---------- 136988752 MEGKHILVTGGAGFIGSHLTQALLESGHHVTVLDNLQTGHCKDYDKLVFIQQDICDEIDVDEIYHLACPASPVHYQA---------DPIKTTKACVLGALNVLELAKKNRCRVLA-ASTSEIYGDPLVHPQVESNWVGIRSCYDEGKRCAETLFMDYNRNYGVDIVIARIFNTYGPRM--------CLEDGRVIPNFICQALKNESLTIYGDGLQT------RSLCYIDDMVTGLRGMM--ALEKGKTGPYNIGNPDENTVKQIAKNIINLTGSRSQM------------------------------------------------------- 139533488 ----KIFVTGCAGLLGSNYSRHLMESGHQVIGIDNLSGGYKAFVPKGEFVKLNLENRKKVVDLFEKHKPDVCVHFAAYAAEGLSPFIRNFNYRNNLVCSANLINECITHG-TKILFTSTMAVYGD-QEPPFNEEMRPSPIDPYGVAKYGVEVDLELARKQFGLRYNIIRPHNVLGK------YQNIWDRYRNVIGIFIRKTLNGQPILVYGDGEQTRAFS----------DIKYYMEPFDLLLDGFDGETFNIGADKHFTLNEVAETVQAIYGYNVPIEHGEPR-HEAKHAYCDHTKAKTLLKFE---------------------------- 242237632 -----IIVTGGAGFIGSNIVKALNDAGHRDIVVDNLKDG----TKFVNLVDLDIADYMDKEDFIAFGDIDAVFHEGACSSTTE--WDGKYMMENNYQYSKELLHYCLERSIP-FLYASSAATYGGRVDNFVENRQYEQPLNVYGYSKFLFDQYVRDILPDADSQVCGFRYFNVYGPR------EGHKGSMASVAFHLNNQINQGENPKLF-----SGSENFKRDFIYVGDVAAVNLWFWQH----GVSGIFNCGTGRAESFQAVADAVLAYHGSLEYIPFPEKLKGRQAYTQADLTNLRGA-GYKPFKTVAEGVADYMAW------------- 136357594 --KMNILVTGCAGFIGFHLANLLNNKKYKVFGIDNLNNYYDTKLKKNRIKKLDICNQKKLLDYFRQNKYDYVIHLAAQAGVRFSIENPDAYFNSNILGFYNIMNASRINNVKHFIFASTSSVYGDTNKFPIKETDNTNPLTFYAASKKSNEVLAHSYANIYKLQSTGLRFFTVYGT--------YGRPDMSIFKFTNAIINNK--------FFNLFNNGNHIRDFTHVNDVVDAIQKLLPIKYNK---------------------------------------------------------------------------------------- 136146715 ----KIYVAGHRGMVGSAIVRQLLAQGH-----------PADHIVTRNRNELDLTEQVAVRAFFENEKPDQVYLAAAVGGIHANNNYPAEFAYQNLMIEANIIDAAFRSGGKKLLFLGSSCIYPKLAPQPMREDATLEPTNPYAIAKIAGIKLCESYNRQYG-ESHGVDFRSVMPTNLYGPGDNYHPENSHVIPRFHEAKINNSRTVTIWGTGIPKREFLYVDDMATACLFVMNLDKSTYNQHTQPMLSHINVCYGEDLSIHSIAEAIRKTVGYRGDIKFDHSKPDGPPRKLMDSSRLNSI-GWKAQVRLEAGLNSAYQDFL----------- 136762940 --KRNILVTGGAGFIGSHLVRLLVNKAYNIINMDALTYAGNEYKENYSFVKCDICEFNKVNQVFKDYEIDGVIHLAAESHVDRSIEDPFSFAQTNVMGTLSLLQAAKNYWNNNFYHVSTDEVYGLGKEGFFTETTKYDPHSPYSASKASSDHFVRTFSDTYGLPVVISNCSNNYG-------------------------------------------------------------------------------------------------------------------------------------------------------------- 139587427 ----NILITGCAGFIGFHTSQKFLSEGFNVIGIDNLNSYYDNQLKKDRFYKFDLNQKPEIKDLFQKYNFDYVINLAAQAGVRYSITNPEEYMHSNILGFLNILELSREFKIKHLVYASSSSVYGINKKIPFSVDNVDHPISLYAASKKSNELMAHTYSHLFNIPSTGLRFFTVYGP----------WGRPDMALFIFTKSIIEGERIKLFNHGNMLRDFT----------------------------------------------------------------------------------------------------------------- 301165728 -KGKKVFVTGHTGFKGSWMCFWLTQMGAEVYGY-SLEAPHIEKLQLNIQETGDIRDKDSLESALSGFQPDIVFHMAAQPLVKLSYKEPIETFETNVIGSMRVYEACRKTPVESIVTITTDKVYENNEWDWYRENDKLGGKDPYSASKAAMEIMTNSYRESYFNINKYGSDHNVLMARAGNVIGGGDWALDRLIPDIV--------KASVDGNPVSIRSPYSTRPWQHVLEPLSGYLMIGQKLIEKDKSFADAYNFGPSVTEDVNVEEVVTILRKYWTIDYRIERPKEVLHLKLDCTKAYRNLRWIPVWNVEDALKNTILWYKEYYEN------ 238579762 -EDKLVLVTGGFGFIGSHVAAALHHRGFRVRIVDISDQPSIDGTFWHEFEHGDLRDPPFCHQAVHGVH--TVLHFAANMGGMGVIHEANDFYNDNHLMTLHLLQASLKAGVKCFFYASSACVYPNVQEDDAWKDHPPAPQGLYGLEKLHSEILVHQFSSRMDVRI--ARFHNVFGPGGVWFGGREKAPAALMRKALVGNLLKDANPPPTF---EIWGDGQQRRSFLYIEDAVEGVMRLLESDCRGA----VNIGSDRSVTIKELADIALRCAGLDPKVVYDLEKPVGVISRNSDNKFVSSKLGWTPSTSLETGMQQTGEW------------- 139863110 ----KILVTGAAGFIGYHLVKSLIEDNHEVIGLDNINDYYSTNLKFDRFIRMNLEDRENLPKLFKDEKFDLVCNLAAQAGVRYSIKNPETYIDSNIVGFLNILECCRNHNIKKLVYASSSSVYGDNKKTPFEEDNTDKPISLYAASKKSNELMAHTYSHLFKLNTIGLRFFTVYG-------------------------------------------------------------------------------------------------------------------------------------------------------------- 135813645 -KKRVVLVTGAAGFIGYHISKRLLDEEWRVIGLDCMSDYHREGILLQNPDYRSIHEKVELLQLFEEEKPNVVIHLAAQAGVRYSIENPRAYLDTNIVGTFELLEAARAFPPDHLLLASTSSAYGANENMPYKENDKADHMSFYAATKKSTESMAHSYAHLFDLPITMFRFFTVYGP--------WGRPDMAYFKFTKSILSGQK--------IDVYNNGNMSRDFTYIDDLVSAIRLLMDATPSQAPFRLVNIGNSQPEQLSDFIHAIEKSLGVE---------------------------------------------------------- 137907362 ------LVTGGAGFIGSNLVDILISLGHEVVCIDNEYADNEYWNKKAKNFKVDITKYNEIKDLFKSV--DYVFHMAAESRIGPAIKNPLKAFEINILGTSNILQCSRENNIKRLIYSSTSSGYGLN-NIPNIETQLDDCLNPYSVSKIGAEKICKMYSDFYSLPTIILRYFNVYGERAPT-------------KGQYAPVIGIFLRQKKEGQNLVIGDGEQKRDFVYVGDVVEANIN------------------------------------------------------------------------------------------------ 78776778 LKDKTILITGGAGFIGSNLAFYFQENDAKVVILDSFSFGHFKNLIGGKVISGDINDKELLNSLEKEYKFDYIFHEAAISDTTAQEQDLMIKTNVNAYEDLLLLA---QKHGANMIYASSAATYGNAESPQRV--GYEAPQNVYGFSKLCMDNLSREFMKKSTISIVGLRYFNVYGAR------EYFKNSTASMVLQFAHQMLSGKNPTLFESSDKI-----LRDFIYIEDVIFANIKAMQ----PKRSGIFNVGTGKARSFQDIVDILQSELGTNLTCEYIPNPFIGQFHTEADISSTKEVLGYESRFELEDGIRAYAN-------------- 224005665 --GAKVCVGGGAGFIGSHIAKRLKEAGYKVTVVDWKENEFMEKDEFCDEFILD--DLRKLEAVKACKDCLQVYNLAAMGGMGFICSNESVLSFNNTSISMNMLEAARRNKCKDFFYSSSACVYNDPENPGLIESDAWRPQDMYGLEKLYAEEMALAYGRDFPLNIRIARFHNIYGPRGT-----WKGGREKAPAAFCRKAITSEKEFEIWGDGKQTRSFTYIDDCVEGVLRLTFSDCD----------VPINMGSTEMIDMNDFAKTALSYENKEHLPLKHIEGPMGVRGRNSNNALILEKLGWEPTTKIVDGLRKTYFW------------- 292491431 ---KKALITGVTGQDGMYLVEFLLKKGYEIHGIDHLYQDPHESERYFILHYGDLTDATNLIRVIQEVQPDEIYNLAAQSHVAVSFETPEYTANADALGTLRLLEAIRMEDKVRFYQASTSELYGKVQSVPQNEETPFYPRSPYAAAKLYAYWITVNYREAYGMYACNGILFNHESPVRGETFI------TRKITRALARIVLGLQDCLYIGNLEARRDWGHARDYVKAQWLMLQQEAPDDYVIASGEQHSVREFCWESRGGADEVGVVAAVEGQAAEYGYDPRRPTEVETLLGDPSKASKRLGWEPQVTFDELVREMIA-------------- 137209620 ----KVIVTGGAGFIGSNLIEFLIDKNYHVTVLDNFSVGRLSNLKRISLVECDISIPGDW--VKEFHEANYVIHLAALADIVPSIQDPESYFKSNVTGTLNVLQAARGKKLKKFIYSASSSCYGIPKYYPTNENAEISPQYPYALTKKMGEELVRHWSEVYGVPAISLRFFNVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 86740269 ----RILVTGHDGYIGTRLIPLFRAAGHDVVGLDSLFSGCTPDPDFVPALKLDIRDV----RPSQLEGYDAVVHLAGISNDPLGDLNPAVTYDINARGTLLVGRAAKAAGVPRFVFSSSCSLYGAHGDAPIDESAEFHPVTPYGESKVIAEREL-AELADDDFSPVFLRNATAYGPRLRGDL-------------VVNNLTGYAVTT---GEVYLKSDGTPWRPLVHIEDIARAMLAVCEAPREKIHLKAYNVGRSAENYRIRDVAAIVEEVVPGGRVVFADTAGPDKRNYRVDCDRIAEEIGFRPVWTVRKGVEEL---YLAY--------- 136577584 ISNMKSLVTGGAGFIGSNLVDSLIKLGHEVIVIDNEYSDVHEHFYWNKNAHYDIRDYENTRPLYDGV--DYVFHLAAEARIQPAIQNPINAVSINSVGTCTVLQCAREAGVKRVMYSSTSSAYGMN-NSPNIETQPDDCLNPYSVSKVNGEKLCSMYTKLYGLPTVIFRYFNVYGERQ------PLKGQYAPVVGIFLRQRDAKEPLTIVGD------GNQRRDFTYVGDVVNAN-------------------------------------------------------------------------------------------------- 256005063 -KNKRVLITGHTGFKGSWLSEILLQFGAEVCGYALESKESSDLYLNLKLHKGDIRNYDKLKKVFDTFKPEIVFHLAAQPIVRESYKNPLYTYETNVMGTVNLLEAVRHCSSVRSVVNVTTDKVYKNINVNYTETDYLCGQEPYSNSKSCSELVTYSYKKSF---FDTDDSPAVSTARAGNVIGAGDFSKNRIIP--DCVRAAFSRNKIEIRNPYSIRPYQYVMDCLYGYLLIGMKQYC---DRSLAGAYNFGPKEDDCKTTIEIVDKFCHVWGDGLDYYTKPDDVYESQILMLDSSKSNKLLNWNPQYDIDHAMHKTVELYKKNFDEYACSH- 139012158 ----KVLVTGSAGFIGYTTAKKLLERGEEVLGIDNLNDYYDPSLKEDRNTEIDISDTSKVGDFFKTHTPERVVHLAAQAGVRYSLENPFSYINSNITGFLNILEGVRHYGTEHLVYASTSSVYGANSNLPFTSHSVDHPLSLYASTKRSNELMAHNYSQLFNIPVTGLRFFTVYGP----------WGRPDMALFLFTKKILHGEPIEVFNHGNHTRDFTY---------------------------------------------------------------------------------------------------------------- 135288146 -DGMNVIITGASGFVGSYLVEYCLQKKARVFAWVSPKNSTEYLNVSHPSLQIDICNIESVKKNLKEAKPDWIFHLAAKSHIGLSWSEPQETLVINTTGQVNLLESIREMDIPRIQIAGSSEEYGIVEHVPVNEESPLNPVSPYAVSKVAQDLMGLQYFKNYDLPIIRTRSFNQTGPRRS-----ERFVTSAFAKQVAMIEKGKQEPVLSVGNLEAI------RDFVDVRDAVEALWLSLEQCD---PGEVYNICSGQGHAMKDIVSELKKISSLDFKILADPMRQSDLPIMIGDASKFKAKT------------------------------- 146342114 -------------MVGSALVRRLASEGVE--------------LQTVSRGEVDLRDQAAVFSWFARAKPQVVFLAAAVGGIVANNTLRAEFIYDNIAIATNVIHAAHVNGAEKLMFLGSSCIYPKLAPQPLREDAMLSTNEPYAIAKIAGIKMVEAYRSQYDADFINVMPTNLYGPG-DNYHPEYSHVVAALIRRFHEAKLADAPEVVVWGTG------TPRREFLYVDDMADACVHLMKTYS---DHELVNIGTGEDITIADFARVVAATVGYQGRLSFDPSRPDGTPRKLLDVSRLAK-LGWRATTSLEDGIARAYQAFLR---------- 212704391 -KGRRVFITGHTGFKGSWLAAWLSQMGATVCGFADCSPSHFEAMHLEQHVQGDIRDRDAVIRAMKEFRPDVVFHLAAQALVRKSYEDAPLTFEANMLGTLNMLEAVRQCPSVEAAVFITSDKCYRNDEWVWRETDHLGGDDPYSASKGCAEIIAHSYFKSFFKDGPAC-----ATVRAGNVIGGGDWAADRIVPDCARAWAAGQAVQ--IRSPWATRPWQLVLEPLSGYLWLGAHLLGARKGPFETRGEAYNFGPAANV-NNTVAEVVEALALHWPGFRSEMDKAGECTLLKLCCDKALAHLGWKATLTFEETIRFTAEWYHRFYRGDGAKQ- 143722485 ---------------------------------------------------------------------------------------------------------------------------------PYTENSPTNPINPYGHTKLCIEQILQGLVSSNKWRVGILRYFNPVGAHPSGHIGEDPKQPNNLMPLLMQTAIGRKEKLLIFGDDYDTPDGTCIRDYIHVLDLARGHLSALSYLERHQGLHIWNLGTGTGTSIKELVDKVREVTGVEIKTEITSRRLGDSSKAVADPEKAKSELSWHPEYSIGNMCADHWNWQRQNPDGY----- 94972480 ---KRALITGITGQDGAYLAEFLIRKGYEVHGIKRFNTDRIDHLYQDPQVEGDLTDATNLIRVIQEVQPDELYNLAAQSHVKVSFESPEYTANVDGLGALRLLEAIRIIDKTRYYQASTSELYGLAQEIPQTEKTPFYPRSPYACAKLYAYWITVNYREAYGMYACNGILFNHESP------LRGETFVTRKITRGLARIALGLMSTLYLGNLDALRDWGHSRDYIQMQWLMLQQDKPEDYVIATGRQISVREWKGSGVDEQAIIETI-DATKLAQMIKIDPRRPTEVDSLIGDASKAQQKLGWKPMISFESMVTEMLQ-------------- 137879006 --NMKILVTGVAGFIGYHVALKLLKKNISVIGIDNLNNYYDVNLKKKRLENLDLNNFKSLNKIFKNNKIKKVIHLAAQAGVRYSLKNPRSYIENNIVCFFNVIELSKIYKIKNFVYASSSSVYGSNKNLPFTETKIDHPIQLYAASKRSNELIAHAYSALYRLPTVGMRFFTAYGP--------WGRPDQALFIFTKNIIENKKIDLFNYGNH--------SRDFTYVEDIAEAIF------------------------------------------------------------------------------------------------- 134945329 ---KKILVTGGAGFVGSHLCEELSKNGNEVYSLDNYHTGRTEHVKGVNYIRGDTSEISN----FINFCPDRVYHLGEYSRVEQSFEDSELVFKFNLTGTNAVLEFCRRNNC-KIIYAGSSTKFGDDGEGP--------NQSPYGWSKSINTELIVNYGNWFGLNYAITYFYNAYGPR------ELSEGKYATVIGIFSKQMEQGKPITVVSPGI------QRRNFTHVKDIVSGLITVGDF----GEGDNFGIGSDDQYSILEVAEMFSE------KIQFLPERKGNRKNASVKTEKTKN-LGWSPKVCLEDYI-------LNYKKSIE---- 135306249 ---KKIIVTGGLGFIGSNLIDLLLKNNYRVLNIDKVTYSSNKNDKKYKFVKCDIKDKR-IKNIIFSFRPDCIFNLAAETHVDRSIDSPENFIQSNILGVYNLLEVFRSYHYCKLIHISTDEVYGDVLKGRSSEDYPYNPSSPYAASKAASDHLVSSYVRTYKIPAIVTNCSNNYGP--------KQHPEKLIPKLIYNILNGKPLP--------IYGKGKNSREWIYVKDHCEALIKIFKK---GKVGEFYNIGSNNNLNNLEVCQSLIKTTKKKVEILFVKDRPRPILDTPLNSNKIRK--------------------------------- 257075728 IKNKEILVTGGAGFIGSNLVNTLSKDNH-VYALDDLQTGSMHNLKDSMDRIEFIKDR--VKNINDYENPDYIFHIGIYSSSPMYKNNPHLI--ANAIDDLITVLEYAKKNKSKIVYASTSSIYNGIEEQ--KEDVIPKVSDYYTEARIAMERIANLYCNLYGMDVSGMRFFSVYG------YNERSKKIYANLVSQFLWAMHDNIAPVLYGD------GEQKRDFVFVEDLVKALMLAAE---NNKKFNVYNVGTGKNYTLNELVKILNKHLGKDIKPEYIENPMKDVYYTLADIKKTEQKIGFKASISLDEGIDKLIKYY------------ 88802223 ----KIYIAGHRGMVGSAVWRALEQKGY-------------SNLLGKTSEQLDLRNQQAVNDFYNHEQPEVVIDAAAKVGGILANNNFPYFLMENLQIQNNLIDGAHTAGIDKFIFLGSSCIYPKFAQQPLKEEDSLEPTNEWYIAKITGVKACQAIRKQFNKDYVSLMPTNLFGYFDNFDLETSHVLPAMLRKFHEAKQNDNAD-------VVLWGSGKPMREFLFVDDMAEAVVYALENELPE---YLYNVGSGKDITIKELAKTIQKVTGHQGEIIWDSSKPDGTPRKLMDVSKMKNV-GWEYSTAFKEGIEKTYAWFLEN--------- 308275072 -----IYIAGHNGLVGSAITRRLKSENYSNLIM-------------CASSDLDLKKQADVKTFFKDNRPEYVFLAAAVGGIYANNTYPADFIYDNLMIQTNIIHSSYLFGVKKLLFLGSSCIYPKNCPQPMKEEYLLSTNEPYAVAKIAGIKMCQAYNRQYGTNYISVMPTNLYGPGDNYDLHNSH-----VLPALIRKFSEAKAENIPFVEIWGTG--TPRREFLYMDDLADACVFLMQ---NYNEGEIVNIGVGKDLTIKELAELIKKIAGYKGELRFDHTKPDGTLRKLLDVSKL-TAMGWEAKTGLEEGISRT---------------- 137454013 -----------------------------------------------------------------------------------------------CWRQHCALKCMSEHGCNSIIFSSSATVYGEPQYLPYDEVHPIAPVNVYGRTKYFIEEIIRDWARAEGCRGTLLRYFNPVGAHPSGKIGENPRGPNNLMPFISQVATGVREQLQVFGGDYDTVDGTGVRDYLHVCDLADGHVAALDYLDQCNGVEAFNLGTGNGVSVLELVSAFEEASGQKIPYQIVDRRAGDLPAFWAKPDLAASKLDWSAKRSVAEMCKDAWNW------------- 135973906 ---KVALITGITGQDGSYLAEMLLDKGYIVHGLKRFNTSRIDYLYQDPHEKGDLIDSTNIIKLIQKIQPDEIYNLGAQSHVAVSFETPEYTANCDALGILRILEAVKNTKKTKIYQASTSELYGLVQEVPQKETTPFYPRSPYAVAKLYAYWIIVNYREAYDIFACNGILFNHESPRRGETFVTRKITRGLSRVNY------GLEKCIYMGNLDAKRDWGHAKDYVYMQWLMLQQEKPEDFVIASGQMKSVREGWNKEKGEKGIIWKNSGLDEVGIRVRIDPRRPTEVEQLLGDASKARKKLGWAPKTPLNELISEMIK-------------- 257061311 -QTKRALITGITGQDGSYLSEFLLEQGYEVHGIIRFNTDRIDHIYVDPHHYGDLTDGTTLRRILEQVQPVEIYNLGAQSHVRVSFDSPEYTVDSVGMGTLRLLEAIRDYQQRRFYQAGSSEMFGKVQDIPQKETTPFYPRSPYACAKVYAHWQTVNYRESYDLFACNGILFNHESPRRGETFV------TRKITRAIARIVAGTQKKLYLGNLDSKRDWGYAKDYVRAMWLMLQQQEADDYVIATGETHSIREFL--EISFNYVNLKWEDYVAFDERY----LRPAEVDLLIGDPTKAKEKLSWEPSVTFEELVHLMVE-------------- 142166034 ------LVTGGAGFIGSNLVHQLVQDGVKVRILDDFSTGLESNILQVDVREGCLTDADFVAAAMRGV--TNVVHLAARGSVPRSIAEPRKTFEVNATGTLNVLEAARNEGAQVI-FSSSSSVYGSNTALPKNEEMWTQPLSPYGASKMAAESLVMSYREVYGLDALTLRFFNAYGPLQRA------DHDYAAVIPRFVWAALHGQTLRIDGDGEQTRDFTH---------------------------------------------------------------------------------------------------------------- 143548694 ----------------------------------------------------DIQNK-NLHNKFKRIKLDVIINLAAQAGVRHSLKDPFSYINSNVLGQTNLLEFAKKLNVKKFIYASSSSVYGGNEKMPFSVKHRVDPVSLYAASKKSTELLAECYSHLFKIKCIGLRFFTVYGP--------WGRPDMATFIFTKKILENKKINIFNYG--------NMKRDFTYIDDIVKGIKGAVNLKGDY-KHKIYNLGNNKPEDLKRFISIIEKNLKVKAKRNLLPIQPGDVAKTSANIDESKRELNFNPKTSIDEGIPKFIEWYKQYYK------- 114329018 ----TALITGITGQDGAYLAQLLLSKGYRVLGMMRRSASSDERLRWVELIDGNLTDLSSLIRILSEHAPDEVYNLAAQSFVAASWQQPLLTGNVTALGAGNVLEAVRIAAPKRFYQASSSEMYGLIQEPKQSEKTPFYPRSPYAVAKLYAHWMTVNYRESFGLHAS------------SGILFNHESPLRGIEFVTRKITDGVARIKLGLAKTLELGNLDATRDWGHARDYVRAMYLMLQ----QDRPDDYVVATGRTTSIRDFCKIAFGYAGLDWEEHVVTMRPAEVDVLLGDSTKARTRLGWEPETSLEDMASEMVE-------------- 150008813 ---KTALITGITGQDGSYLAELLLEKGYDVHGTIRRSSAHLEGHPNFHLHYADLGDSMSILQVVKKVKPNEIYNLAAQSHVQVSFDSPEFTADVDATGVLRILEAVRQCDTCRIYQASTSELYGKVEEVPQNENTPFHPYSPYAVAKQYGFWITKEYREAYNMFCCSGILFNHESERRGETF-------VTRKITLAAARIAQGKQDKLYGNLSSLRDWGYAKDYVECMWLILQNDKPEDFVIATGEQHSVREFCQLAFRYAGIELCFEGEGENEKGIDCKSYRPTDVVNLLGDPSKAKRELGWNPKTSFEQLVKIMVD-------------- 140199546 MKKKIALVTGGAGFIGSHLVDLLLKKNFRVIVVDNLSGGHKKNFYGHHFINKDINNLK--KEDLKIKKLDFTFHFAGKGDIVPSIVYPKEYFLTNLMGTINILEIAKKLKVKKFLYAASSSCYGLAK-TPTKESHKLNPLYPYALSKLMGENAVLHWSELYKLPVISIRIFNAYGPR------------------------------------------------------------------------------------------------------------------------------------------------------------ 142974173 IKNSTILVTGGAGFIGSNLVDRLIKENAQVIIVDNLFNGYENNLKGAIFYKDDIEISSSLDYIFEKHDIDIVFNCVC-KCLNYSFRNPRNSYDTHVNGILNILEHLRKGHFKTLAHFSTSEVYGTAVYEPMDENHPLNPTTAYAAGKAAADIALYTWVKMFNIDAFIFRPFNNYGPRQS------YKGFLSAIIPITANRIIKGDKPEIHGT------GNQTRDFIHVDDTLEAVINLYSLMK---PAESINISTGGQISVKDLIHKICSIMNYKGEILYKNER------------------------------------------------- 136290480 ---------------------------YNIINIDNLSYASSTYSLDYTHLDIDISKYTGFHSLLEQYQPMRFFHLAAESHVDRSIDSPDKFISTNVYGTYNILEGIKYYKDFKYLHISTDEVYGSKTTGKSSEDDNLMPNSPYSSSKASSDLLVRAWNKTYGIPTLITRCTNNYGP--------WQYPEKLIPVVISNIINKKKIP--------IYGNGKQARDWIHVQDHVDALITLSENTKILP-GEIYNIGVDNEFTNIELVKLICNICDNEIPIDFVDDRPGHDTRYSLNSKKIAEHSDWKPKVEFLQGLESTIKWYIKNHKSLTK--- 136011251 ---KKALITGITGQDGSYLAELLLSKGYEVHGIVRRSSLINTHRIDHIYEKGDLTDPVNIMGVIRLVEPTEIYNLGAQSHVKVSFEMPEYTANVDALGTLRILEAVRMLGMERIYQASTSELYGGVLGTPLSETTPFHPRSPYGVAKLYGYWIIKNYRE-------------AYGFHASSVFSSIMITKKSETFVTRKITQGLSKISVGLQKVLYLGNLNAKRDWGHAKDYVEAMWLMLQ----QDKPDDYVIATGEQYSVRDFVNEAAPYFGKKTIVGINPRRPAEVDSLLGDATKAKEVLGWEPKTSFKQLVEDM---------------- 87301058 ----RIFVAGHRGMAGSAILRAFRAKGYTNL----LTAGR---------DQLDLRDAGAVELWFANHTPDVVVLAAAVGGILANSSYPADFLLDNLKIQTHVIENAWRHGARRLLFLGSSCIYPKFAAQPIREEAVLEPTNEWYAAKIAGLKLCEALRLQHGFDAISLMPTNLYGPG---------DNDHPSHSHVLPALLRRFHEAKLDGQVVCWGTGTPRREFLHVDDLAAAALFCLERWQPTGEEPKFNVGTGVDLPIRELAELVAHTVGFSGTIAWDTSKPDGTPRKLLDVSRL-AALGWRARIPLVEGLASTYDW------------- 143404398 ---KKILITGGAGFIAHHIIAHIIKNTDWEIVIDRLDISGNLNRLHEILEQFSISEKKRLKIVFAIGKPDIILHLAAASHVDRSISYPMEFVQDNVVGTVNLLDARRLSKIDKFVYFSTDEIFGNPEGVSYSEYDRYNSTNPYSASKASAEEFCVAYENTYKLPINITHTMNVFGERQ------------HPEKFIPMTIQRVRDQQKVLIHANEEKTKAGSRHYIHAQDVADMFILNLKNYIHQGDFGNAKCPKFNEIDNLTLAQTIAKVQNKDLIYELHSSRPGHDLRYSLSPKLLKS-LGWEPKIKLSKRIEEVVEWSLKNKRWLS---- 291335475 ---KKALITGITGQDGAYLAHLLVKKKYEIVGLNNANPHQLDRLKWIFNGQLDMTDAASINRLVQDSKPDEIYNLAAQSHVGVSFKSPASTAHVNALGVLNILEACRNVGA-RFYQASTSEMFGKVQEVPQHEETNFYPRSPYGVAKLFGYWLTVNYRESYGLFGCNGILFNHESPIRGENFVTKKITKGLVDVKEGKQHILQ------LGNLDSWRDWGHAADYVEAMYLMLQENEPDDYVVSTGKQTSVRHFCEANKLNLDLVWKGEGLDEIGWSNTLKKPRPAEVDTLLGDSSKAQKILNWSPKHTLDDLVDEMIDYDLNN--------- 224097148 ---KIALITGITGQDGSYLTEFLLNKGYEVHGLSNFNTQRINHIYIDPHHYADLSDASSLRRWLDTINPDEVYNLAAQSHVAVSFEIPDYTADVVATGALRLLEAVRSHIAHIKYYQAGSSEMFGSTPPPQSETTPFHPRSPYAASKCAAHWYTVNYREAYGLYACNGILFNHESPRRGENFV------------TRKITRAVGRIKVGLQNKLFLGNLQASRDWGFAGDYVEAMWMMLQQEKPDDYVVATEESHTVEEFLDVAFGYVGLNWKDHVVIDKRYFRPAEVDNLKGDSSKTRKVLGWKPKVGFEQLVKMMVD-------------- 141853991 ------------------------------INIDKISYSNFKKNKRYNFIKCDLNNYKKIYKIILKYKPFAIFNLAAETHVDRSIDGPHAFIKNNILGLFSLLEAFRNYFKIKLIHISTDEVYGDVLKGRTDEKYPYNPSSPYAASKASSDHLVYSYVRTFKIPAIITNCSNNYGPN--------QHPEKLIPKLIYNILNGLPLP--------IYGDGKNSREWIYVKDHCEALYRTL---IKGKVGSTYNIGSGKNLNNIQISKKLLNVLHPKTKIVFVKDRPGHDVRYALNSNKINKEIKWKPKTSFKQGLKKTFLWYLQNRKYYK---- 190890458 ------IVTGAGGFLGKRLVRRLEEAGVDVLALDR--------------THGDISEEKLWQELPT---AGTLFHLAGRTFVPDSWTQSPSFMAANVLGTQ--HALNWCKRHKAKLVFASAYVYGVPERLPIQESDPVRPNNPYALSKHLAEQLCEFAATHEQIPVVVLRLFNIYGAGQR--------------PEFLIPTLLNRIRAK---QDIQVMDLSPRRDYVFVDDVLSAFAKAMDVPE---GYHCINIGSGRSYSVQEIIDILQEAAGTALPVVSCAVRRNEIPDARADITRARAVLGWRPEWDLPTGIRELMK-------------- 32472011 --TKTALITGITGQDGSYLTDLLLEKGYQVHGIVRFNTDRIDHVYKDPHEYGDLTDGQNMTNLVLDIQPDEIYNLGAQSHVRVSFDSPVYTVQTVGLGSLNVLEAARQQKATRVYQASSSEMFGDVIETPQTETTPFQPQSPYACAKVYAFHQTVNYRHAYDLFASNGILFNHESPRRGETFVTRKITRAATRIKLGLQDKLY------LGNLDAKRDWGYAKDYVEGMWRILQHDEPDDFVLATGETQTIRQFLDYTFEALD--------LDWNKYVEIDPRRPTEVDLLLGDYSKAKTKLGWEPETSCKQLAELMVE-------------- 141056063 --SKKILITGAAGFIGSHLVEKLFKDGYNPYNVDN-SWGWIDSLDEKIKDNGDVCDQDLIRKITKDVNI--IFHLAALISIPYSYVSPRSYISTNIIGTLNLLEALKNSNIELFVNTSTSEVYGSAQYSPIDEEHPLNAQSPYAATKISADQLCLSYYRSFELPISVIRPFNTFGPRQS---------------------------------------------------------------------------------------------------------------------------------------------------------- 143214142 ------LVTGGAGFIGSHLVDALVSRGESVRVLDNLSSGRIEFLKHHSDRDVDLLDLDLVSRSMDGV--DVVHHLAANPDIRLGIEVTDTDLKQGTLATYNVLEGMRKSGVSKISFSSSSAVYGEANEMPTPESGPTLPISLYGASKLASEALITAWAGTFGTRAWIHRFANIVGPRGTHGVIYDFIHKLRKDPTA----------------LEVLGDGRQEKSYMGVTDTVEAMLHVI--GLDHEGTALYNLGTHQTVSVTKIAKIVIEAAGLEAGITYGGDRAGDVPKTWLNVDKLYSS-GFQPEMNSEE----AVRW------------- 76802690 LDGKRVCITGGGGFVGSHLAERLVDA-NDVVVVDRFSNGRREWVPDADIVAGDLRDQT-VRAAAITDDTDLVFHLAADKAVD--SDDPDEQFRLNTDLTAGVLERMRSVGCDAIAFTSSSTVYGEAPRPTPEDYAPLEPISMYGAAKLAEESLLSVHAHSHGMDAWVFRFANIVGPRLQPGAVIPDFIEKLREDPTTLEILGDGR---------------QRKSYMHIDDCVDAMCHIVETADGDGLN-TYNLGTRTTTAVRRIADIVADELGADPTYEYGGDRVGDVPRMRLAIEKL-SALGWDPSQSSDEAVRQA---------------- 143380874 ----KIFLTGAAGGIGSTLGYFLSEKGHQLTLVDNLRNGYEENLIFGDFHNLDICNPE-LKNLFDQ-KFDCIIHLAAITALPDCEINATDTIKVNVAGTVNILECARKWGVPHVIFASTSAVYENNKEKIFTENLNINPRLWYSLSKKMAEEVCESYRINYGMKITTLRFFNVFGPRQD------------IHRKNPPLLNYLVREIKQGNIPMLLSDGEQQRDYIHVDDVVSVIDICLDKSPDDTFNVC----------------------------------------------------------------------------------- 135330479 ---KKIIVTGGAGFIGSHLVKKLIDNGAEEIVIDDLSTGKAENIKDFEEVEFKIEEIDNLAEVFNNFEFCY--HLAAGVGVQYIMENLSKSLLTNIEGTHKVFEACKKNNIPVL-ITSTSEIYGTSEEKEWSEETKIGPTTSYAASKMIDEFLALSEFEEGSLNPIVVRLFNIIGPN--------QLPDFGMVVPKFIDAALNDKPITIHGD------GSQSRSFTWVEDVVEYFIKLAEM---KAYGEIFNIGQTEEISIKDLAELIIKTTNSNSEIEIFGNKFEDPMRRTPNIDKIIKFTGIKPSMRIEDMIKEIVA-YKK---------- 84686166 ---KSALVLGAGGFIGGHLVKRLKREGFWVRGVDLKFHEHAETEADDFMI-GDLREQEVVRRVIDR-RFDEVYQLAADMGGAGYVFNDADIMHNSATINLNVLDACHKRNIKRVFYSSSACMYPDPDNPNCAEDSAYNPDSEYGWEKLFSERLYLAYNRNYGMECRVARYHNIFGVEGTWDGGKEKAPAALCRKVAMT---------PEGGTIEVWGDGLQTRSFLYVDECVEGTTRLLRSEFE----GPVNIGSEEMISINDLARMVIDLSGKSIDIHNIPGPEG-VRGRNSDNRLIREKLGWEPTETLRAGMEKTYAW------------- 239629916 ---------------------------DLIVNLDLLTYAGNKNNLTHIFVQGNINNRELVAYLIEQYHIDAIVNFAAESHVDRSILHPEIFVETNVKGTLALLHEAHRHDIKLLQISTDEVYGSLGSTGYFTENSPLQPNSPYAASKASADMLVRSYFETYGTHVNITRSTNNYGP---------YQFPEKLIPLMIINGLKDK-------ELPIYGDGKNIRDWLFVRDNCKGIDLVLRKGVA---GEKYNIGANNEYENNTIVHLIVRELGLEARIKHTEDRLGHDQRYAIDSTKIKSELGWQPECSFNAYIPKTISWYANHREWWE---- 141687533 ----TILVTGSAGFIGFSLCENLLEKGEKIIGVDNHNDYYDPKLKEYQHFRLDLSKKNDLDQIFEKNKIQIVINLAAQAGVRYSIENPLSYINSNIVGFANILECCRNFNIEHLVYASTSSVYGANTKIPFSEHDCTHPLSVYAASKKSNELMAHAYSYLHNLPTTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 144146451 ---KKALITGITGQDGAYLAEWLLQKGYEVHGIDHLYQDPHEPDQRFILHHGDLTDSSSLIRIMQQVRPDELYNLAAQSHVAVSFEEPEYTANSDALGTLRLLEAIRIEDKTRFYQASTSELFGKVQEIPQSETTPFYPRSPYAVAKLYAYWITVNYREAYGIYACNGILFNHESP-----IRGETFVTRKITRAIARIKLGLQERLYL-------GNLDAKRDWGHARDYVVMQWLMLQ----QEQPEDFVIATGQQYSVRDFVEAAAGAVDLSMRWEVDPRRPTEVETLLGDAGKARDKLGWSPQISFEALVQDMMR-------------- 114798249 MTRKTAFITGITGQDGAYLSALLLSKGYRVTGLVRRSSTAEINNLRLRWIDGDLTDLSGLLRSVKEIRPDEIYNLGAQSFVKSSWQQPILTGQVTGLAVTNILEAMRIEAPERLYQASSSEMYGLIQEDMQSETTPFYPRSPYAVAKLYGHWITVNYRESFGLHAS------------SGILFNHESPLRGIEFVTRKVTDGVARIKLGLSSELRLGNIDAKRDWGHAKDYVRAMWLMLQQETPDDYVVATGVTTTVRDMCLIAFDHVGLEIDKHLVIDPALFRPAEVDVLLGNPAKAKAKLGWEPEISLEKLIREMVD-------------- 310638293 --GKKALITGISGQDGSYLAEFLISKGYEVWGLVRFNTMRIDHIYQDPHEEGDLSDASSISRILRVVRPDEIYNLGAQSHVKVSFDVPEYTGDVTGLGTIRILEGMRELDLDKFYQASSSELYGKVLETPQSETTPFYPRSPYAAAKAYAFHVTRNYRESYGMFAVNGILFNHESPRRGETFVTRKISRAVAAIYHGQQDCLF------LGNLDAKRDWGFAGDYVEAMYLMLQAEKPEDFVIATGETHTVREFCEVAFAHAGMPITWSGVGKDEIGIDPDGRRPAEVDLLLGDSSRAQETLGWQPKVQFSELVRMMVD-------------- 138629708 MKDKTILITGGAGFIGSHVVRKMVIKQYHIVNLDKLTYAGNEEFSNYTFEHLDICNEEGLANLFRKYSFDSVIHLAAESHVDRSILNPRDFILTNIIGTLNLLNECKSNWKKCFYHISTDEVYGLGDSGFFYETTSYDPRSPYSASKASSDHLVRAYGHTYELPIKISNCSNNYG-------------------------------------------------------------------------------------------------------------------------------------------------------------- 141226505 ---------------------------------------------------CNIDDEENISSILNSNNFDVLMHFAGFIQVEESVKFPEKYFKNNTENAIKLFKICKKNGLDKIVFSSTAAAYGVKENKLIDESTNLNPQNPYAQSKIETEKFL--FENKNNFKFIILRYFNVAGADKNLRSGQISKRSTHLIKILSEVAIGKRDHIEIYGNDYNTPDGTAIRDYIHVSDLADIHLEVAKYLIEKKESNLFNCGYGNGFSVLDVVNTANKICQNKIKYKFSERRDGDVEKLIAETSKISKHIQWQPKYN------------------------ 134813959 --NKKILITGGAGFIGSATIRHIIKDDHIVMNIDVLSYASNLDNKRYSFEQIDICHADAIRQVLKKFKPDIIMHLAAETHVDRSINDPSKFIQTNIFGTYVLLEEAKNYWKFRFHHVSTDEVFGDLENELFNENTPYKPSSPYSASKAGSDHLVRAWQRTFKLPTIITNCSNNYGP--------YQFPEKLIPLIIRNAIKGKKLP--------IYGDGNQIRDWLY---------------------------------------------------------------------------------------------------------- 307818069 -SDSRIFVAGHRGLVGSSLVRGLQRKGFT-------------NLLTQTRAQLDLSDREAVAKYFEETKPEYVFLAAAVGGILANDTLPADFIRDNLDIQNSVIEASYQVGVKRLLFLGSSCIYPKACPQPIREEYLLGPLEQTNRAKIAGIEMCWAYNRQYGTKYLAAMPSNLYGPGDNFDLQSSHVLPALIRKAHAAKKSG--------SEFVVWGSGKPQRELLYSDDLADACLYLMDTLLKSDEPPLINIGAGSDVTIRELADLVAKVVGFEGAMIFDASKPDGTPKKLMDSTRMRG-LGWAPKVGLEDGIRSVYE-------------- 288927151 ------------------------------------------------FVKGDIRDRELADRLFAGHDIDYVVNFAAESHVDRSIEDPQLFLSVNILGTQNLLDAARRAWVKRYHQVSTDEVYGLGAEGYFTEQTPLCPHSPYSASKTSADLFVMAYRDTYHMPVSITRCSNNYGP--------YHFPEKLIPLIINNILEGKRLP--------VYGEGTNVRDWLYVEDHCKAIDMVVR---EGREGEVYNVGGHNEMRNIDIVKLLRKVLKKDSLITHVADRLGHDQRYAIDPTKIKDELGWYPETKFADGIVKTIKWNLDNQQWIKE--- 219848818 MAD-RVLITGGAGFLGINLARYLLARGYIVRSLDIAPFDYPERN-QIEEHTGDIRDRAAVDRAMQGVRF--VVHTAAA----LPLYSPADIFSTDIDGTRNVLESARDHGVERVVHISSTAVYGIPDHHPLVETDPLSGVGPYGEAKVKAEELCLEF-RKAGMCVPILRPKSFVGPERLGIFAMLYDWAMEGHNFPLPGNGKNRYQLLDVEDLCEAIVLCLTLDRDRVNDTFNIGAKEFTTIKEDFQAVLDAAGYGKRIITKPMVWALAILEKLKLSPVYKWAYGTVTEDSFVSVEKAERVLGFTPKYSNKQALVRNYQWYVANAKKFGQQ-- 143452056 FKKKKILVTGVAGFLGSHLSEKLFEMGHHVIGLDNMLGGYQDNVPKNIFHNVDCCDFNKVSSLMKGV--DVVYHCAATAHEGLSVFSPYEITKNNYLASVSIFSAAVNERVSRIIFCSSMARYGD-QKAPFNESMAPKPVDPYAISKVASEEVLKNLCELNGIEWVIAIPHNIIGPRQ------KYDDPFRNVVSIMINRMLQNKAPIIYGDGEQTRCFSYIDDCLTCLIPMLDQKNLNKQIINIGPDEEF---------------------------------------------------------------------------------- 125556305 -KSAKVFIAGHRGMVGSAVHRKLDALGFTNVVV-------------RTRAELDLACQAAVEAFFAAELPRYVILAAAVGGVHASSAAPAEYLTENLRITVNVDAARRCGSVRKLLVLASSTIYPADAPQPTPESALLTGPEWYAIPKIAGIKMCQAVRAEYGLNAIAAAPNNLYGPR-------HPFPPEHSHVIPALIRRFHRAKLEGAGEVAVWGSGAAAREFTHVDDLAEAVVVLMERYSGE---EHVNVGSGEEVTVRELAEAVRGVVGYEGVVAWDAARPEGVARRVVDSGRMRK-LGWEPRVALRDGIQDLYRFYLRH--------- 139533812 MNGKNILITGGAGFIGSHLVRFVVNQDTHFVNLDVLTYAGNENFSNYHFFKGDIADVNCLKKLFTKFEFDGVIHLAAESHVDQSIKRPFDFAQTNVIGTLTLLETARRAWEGNFYHVSTDEVYGLGEKGKFFETTSYDPRSPYSASKAASDHFVRAYFHTYGLPVVLSNCSNNYGPDQYNIIQKSHYPFMEMDK------------------------------------------------------------------------------------------------------------------------------------------- 256421401 MQNDKIYIAGHRGMVGGAISRRLQTLGYN-----NLATRSST--------EMDLRSQAAVNEFFATEKPEYVFLAAAVGGIHANNTYRAEFLYDNLIMEANIIHAAWQNGVKKLMFLGSSCIYPKLAPQPLREDSPLEPTNPYAIAKIAGIKLCEAYRDQYGANFISVMPTNLYG-----IGDNYHPENSHVLPALIRRFHEAKEAGAASVTVWGSG--TPKREFLYADDLAAACVYLMLHYDEK---ELVNIGTGEDLTIRELAETVKEVVGYTGGLVFDTSKPDGTPRKLMDVSKLHS-LGWKHSVALKEGLAQAYADFLQ---------- 289640189 ---KKALITGVTGQDGSYLSEFLLEKGYEVYGTIRRSSDHLEGNSCFHLRYGDLSDSLSLVKLIGEVRPDEIYNLAAQSHVQVSFDVPEYTADVDATGVLRVLEAVRVCKTCRIYQASTSELFGKVEEVPQSETTPFHPYSPYAVAKQYGFWIVKEYREAYGMFCCSGILFNHESERRGETFV------TRKVTLAAARIAQGKQEKLCLGNLSSLRDWGYAKDYVECMWLILQNEKPEDFVIATGEQHSVREFCRLAFHHAMDEKGVDKVTGKLVEVSPDFYRPTDVVNLWGDPSKAKAELGWNPRTSFEELVRIMVE-------------- 135299195 MKKIKVAIFGGSGFIGSYVSNLLYSKGYLVTVFTQNKKNKKRNLYKSINVIKINYNFKELKKI-DFNKFDKIHFLSGNPTPANSKISPDFDLKTTNKYSLILLEILKIQNFQGSIWLSSSVAVYGNKRGLLKENDLCDPISIYGISKLYLEKTALFYHKKFSLNIGIYRIFSTYGPGLKRQIIFDVIKKIVKNKSSIEIIGSGQER----------------RDITYVEDIAKGIFILNKRI---PKGEIFNIGSGKSYSVKEIVNSIMKMTNKKLKVYYTNKRDYDGYNWKASISKIKK-FGYKPEVKLESGLRKTINWYLKNFRNIK---- 110597112 ---KRALITGITGQDGSYLAELLLSKGYEVHGIKRFNTQRIDHLYQDPHVEGDLTDSSNITSIIADVQPDELYNLGAQSHVAVSFKLPEYTANVNALGTLRLLEAIRFEKKTRFYQASTSELYGLVQETPQSETTPFYPRSPYAIAKLYAYWTTVNYREAYNMFACNGILFNHESPRRG------------ETFVTRKITRAFANITQGLEECLYIGNLNATRDWGHAKDYVRMQWMMLQ----QDEPKDYVIATGTQYSVRDFIRKAAAGLGFDVLVRIDPRRPAEVETLLGEPSKARHDMGWVPQITFDEMVLEMV--------------- 29654026 -----IFVTGHRGLAGSAILRRLKKQGY-------------SSLITRTHQELDLTNKEKVFEFFANNCPEYVFLAAAVGGINDSNLHPVDFIRDNLAIQWNVIEASFRYKVKRLLFLGSSCIYSNDAPRPLKESGKLEPTNRAYSTKIAGIEHCWAYNRQYKTQYLCAMPTNLFGPNDNYDLENGHVVASLISKIHQAKEQKKPN-------FVLWGSGKAKREFLYSDDLAEACCHLMNLPDDIVKSVFVNIGSGKEISIYELALLIQDIIGYQGDIIWDHSKPDGALTKVMDVS-LMQYLGWSAREGLVSGIKKTYQYYLSYER------- 304382778 MKKKTALITGITGQDGSYLAELLIEKGYEVHGLSSFNTARIEHLYLDEWVRADMTDSSSLIRIIGEIKPAEIYNLAAQSHVKVSFEVPEYTADVDAVGVLRLLEAVRICKTCRVYQASTSELYGKVQEIPQTETTPFYPRSPYAVAKLYGFWIVKNYRESYGMYCCNGILFNHESERRGETFVTRKITLAAARIVQGYQDKLY------LGNLDARRDWGYARDYVECMWLMLQQQQPEDFVIATGEMHTVREFCHWEGSGIDEKGIDEKTGRTLVEVDAKYFRPCEVEQLLGNPAKAKNCLGWNPKTSFRELVRLMVEHDMKFVRKL----- 218673143 --TKTALITGVTGQDGAYLAELLLSKGYTVHGIKRFNTGRIEHIYQDPHEEGDMIDSTNLLRIVQQTQPDEIYNLAAQSHVGVSFETPEYTADADGIGTLRLLEAIRIEQKTRFYQASTSELYGLVQQVPQNEKTPFYPRSPYAAAKLYAYWIVVNYREAYGMHASNGILFNHESP-----LRGETFVTRKITMAVAAIHLGLQDKL-FLGNLDAKRDWGHAREYVEGMWLMLQQDKAEDYVLATGETTSVWAFADVGITLEWKGSGVDEAASGRCLVEIDPRRPTEVDLLLGDPTKARQNLGWHHKTPVRELAAEMVREDIKHWKALNSRKD 136791325 ----TALVTGGCGFIASNFLNLMKEKYPDIVNLDKLSNVHNVNPGVATFVKGNICDEDLIGYLIKQYDFDVVFHFAAMSHVDNSFNDPKKFTLNNAFGTHVLLDKLRELKPGVFIHFSTDEVYGECTDVPFSEDGVLKPTNPYSASKAAAEMITQSYIDSYKMNIKTIRCNNVYGPN--------QYPEKLIPKFKKLLREGKKC------TIHGTKSAGIQRAFMHVEDVVNAVDIV---WKNGTPGEIYNIASDDEISVMDMINIITGSEDYDKYIIYIDDRPFNDSRYYISSEKLK-LLGWKQRRTRKDLI------------------- 301168320 --NRKVLVTGGAGYVGVELVSSLLDSGFDVVVYDLFWFLSEEYFNKFKVIKGDIRDTVKLRSAMDGC--SDLIHLACISNDPSCDLNPELAKSINYDCFEQIVRDAKASSISRFIFASSSSVYGLREEPNVTEDLELRPLTDYSKYKMLCEEILKEYSDES---------FTTVSLRPATVCGYSDRMRLDVVVNILTNFAVNRGLIKVFGG-------EQLRPNIHIKDMVRCYLTLLMAPREQINGKSYNVGADN-YKVIDIAKIISKATNVNNIKV---TPTDDNRSYHISSLKIKNELGFQVELGVEDAVTELVKHFKKIPNSFED--- 135426032 ---KRVLITGGAGFIAHHLIYYLLKNNWDIISLDRLDYSGNLNRLDNILSKLEDTQKSRVKVVYHDGKIDIILHLAAGSHVDRSIDYPMEFVLDNVVGTANILEYARIINQERFIYFSTDEVFGAPKGVDYKENDRYNSTNPYSATKAGGEELAVAYENTYKLPVYITHTMNVFGER--------QHPEKFIPMCIKKIRDGE----PVTIHFDKTKKIPGSRHYIHAEDVAEAIYFILETDFGGAKCPKFNIVGSEELDNLELAKIIAESQGKEEMVDFHSSRPGHDLRYSLSGEKMKK-LGWQPSIKLTERIKQVV--------------- 224373719 --NKTVVITGGAGFIGSNLAFYFQENNCKVIVFDKFSFGHFKNLLGGIIISGDINNKDDLARL-EEYDIDYIFHEAAISDTTVADQKIMIDTNVNAFKDLCDLAVRKNAS---MIYASSAATYG---NSTVFKVGHEKPNNVYGFSKLMMDNLAKEY--FDKIRIVGLRYFNVYGPR------EYFKNKTASMVLQFGLQLLKGESAKLF-----EGSDNILRDFIYVEDVIQANIKACETDKN----GVYNVGTGRARSFQDIVNILKRELEIIRDDTYIPNPYIGQYQFFADIEDTKKYLGYEPRFSLEEGIK------------------ 225159057 -RGKRVLVTGHTGFKGAWLSEWLLALGADVTGFDQLG-----LITRLRHIEGDIRDLSAVCAAFTTARPDFVFHLAAQPLVRLSYEQPTETFAVNVMGTANILEAVRVRPCAVVAITTDKCYENREWVHSYREEDPMGGFDPYSASKGAAELVISAYRRSYFPAS--VSPVHLASARAGNVIGGGDWALDRIVPDCIRALIR--------GETIPVRNKVATRPWQHVLEPLSGYLWLGACLANPDSAFNFGPALASNRTVAELVQEVLKHWPGQWEDRSDPHAPHEARLLNLATDKAHHFLGWIPAWSFPETIAQTVAWYRQ---------- 142907484 MKS---LVTGGAGFIGSNLVETLLGVGHTVVCVDNESSDAHDKPYWNNNIRGDIRDYTLMSSAMKDVDF--VFHLAAEARIQPAIENPINAVSVNDLGTATVLQCARENQIKKFMFSSTSAAYGRN-NSPNVETQQPDALNPYSVTKLNGENLCKMYTELFGLRTVIFRYFNVYGPRQ----------------------------------------------------------------------------------------------------------------------------------------------------------- 134046333 ---KIALITGITGQDGYFLTKLLLEKNYEIHGLDYLTNSEKEKLNIHW---GDITDNLFMDSIIKKIKPDEVYHLAAQSFVGFSFENPKFTYDVNIGGTLNVVNAVKEYSDSKVYFAATSELFGKVQEIPQKETTSFYPRSPYGVSKLAGFWTIKNYRESYDLFMSNGILFNHES--------EMRGPEFVTRKITVAKISKGIQECLELGNLDAKRDWGYAKDYVEGMWKILQHDEADDFVLSTNETYTVRNFKFAGINIMWEGCGINEVGKDSKKVNPAFFRPAEVELLIGDHSKAKSILGWEPKTKFEELVEIMVK-------------- 16081195 MNGKHVMITGGAGFIGSNMVEMLLEDN-DVTVIDNLSIMDDRYIKPFMGKKNFRFIKEDITESVTPGDYDLVVHLAADSDVRNGSVDPTIDLRSNVIGTVNVLEMMRKKDVKDILFASSSTIYGEAKVMPTPEDGPLLPISSYGASKLSAEAFISSYSHYYGINAKIFRFANIVGKNSTGVIFDFIN-----------------KLKKNSKELEVLGDGTQAKSYMHVTDCVGSMFYIYDRIS---GTEVFNLGNDDVTNVDTIAKYVIEAMGLRDTRIYMGRWPGDVKYARMDIKKLKA-TGWKNRYNSDQAVKVAI--------------- 139176906 -----------------------------------------------------------------------------------------------------------------LVFSSSATVYGDPDYVPIDESHPRSATNPYGQTKLHIEDILQDLAHSDPWRIACLRYFNPVGGHESGLIGDDPTGIPNNLMPYVAQVAGGSLPLKVFGDDYDTPDGTGVRDYIHVMDLAEGHRAALEFLEIESGWHAFNLGTGEGYSVLDMIHAFEAASGQHIPYEISPRRQGDIAQCYADPKLAEQLLNWRAQRGIEAMCASAWLFQKTHTKKKSN--- 136450441 MTPQKVLITGITGQDGSYLAEFLLEKGYIVHGIKRFNTQRVDHIYQDPHVDGDLSDTSNLVRIIQETQPDEIYNLGAQSHVAVSFESPEYTADVDAMGTLRILEAIRIEKKTRFYQASTSELYGQVQETPQKETTPFYPRSPYAVAKMYAYWITVNYREAYGIYACNGILFNHESPRRG------------ETFVTRKITRGMSNINQGLEDCLYMGNIDALRDWGHAKDYVRMQWMMLQ----QDQPEDFVIATGVQYSVRQFIEWTAAELGMQLRWEIDPRRPTEVETLLGDPTKAKQKLGWVPEITAQKMCSEMVA-------------- 228964267 ----NILVTGGAGFIGSNFVHYMLQETYKIINYDALTYSGNQNHPNYSFVKGEIQNGELLEHIIKERDVQVIVNFAAESHVDRSIENPIPFYDTNVIGTVTLLELVKKYSHIKLVQVSTDEVYGLGKIGKFTEKTPLAPNSPYSSSKASADLIALSYYKTYHLPVIVTRCSNNYGP--------YQYPEKLIPLMVTNALEGKKLPL--------YGDGLNVRDWLHVTDHCSAIDVVLHKGR---VGEVYNIGGNNEKTNVEVVEQIITLLGKEYRICYRSFRA------------------------------------------------ 240171646 --------------------DALVLDSWQVVVVDDLSTGSPLNLTKHLGQTYFEMMVTNVCSDWTVAGPDLILNFACAASPPQYLKRPIETLHTGSIGTQNVIELALKKGA-RLVHASTSEVYGDPLIHPQSEEYWIGPRSVYDESKRYAEALIAAHVRAHGLDGGIVRIFNTYGPRL--------QPGDGRVVSNFVWQALSNAPLTIYGDGAQT------RSFCYVDDMTRGI---LDFARLSGELGPVNLGNQEEVTIAELATLICDITGVGTSEVYRPLPIDDPTQRCPELSRARELLNWNPEIGLREGLGRTVDWFQ----------- 135414172 MKKKKVLVTGGAGYIGSTVVRHLLCSGYTVYVLDNLSDGVNCFLGYGTYVKGDIRDYDLLNELVK--KVDYVAHLAAIVGESACKVDPELSKSTNIDGTKNIIRSSLENKIKRLIFVSTCSSYGVDTKIMAKEDTPLNPVSLYAETKISMEEHLRK-NYDNELSYTIIRPSTVHGPSP---------------RMRFDLIVNHFVKDAVLNGKLDIFGGNLWRPLMWVGEFGRAIDKIFSSDLKLVKNQIFNLGNKDNRKKAEVAEIIKEKFIPNLKIKYSG-QDQDLRSYKVDFSKIEKTLDFKLEKTIEKAIEELI--------------- 139881501 --------------------------------------------------------------------IDFVLHQAALGSVPRSINDPIRSNAVNISGFLNVLTISKEKNVKGFIYAASSSTYGDHPELPKKEQNIGTPLSPYAVTKYANELYAKIYSEHYGIKTIGLRYFNVFGKRQDP-----HGAYAAVIPLWIESAINNK-------EIFINGDGTTTRDFCYIKNAVEANILAAMSINKSTNSEVMNIAFGESNDLNRLFKLIVSILEKKLQPVHRDFRPGDIKNSLADISKAKKLIGYSPAYSLEEGLKESIEWYL----------- 143409113 ----KILITGITGMVGSHLLDYIIENTDEVIGLTRWRTSLENIKNHIPAEHCDIRDAIGVNNIFYKYKFDYVFHLAAQSYPHVSFSNPIETYETNINGTENILNACLNNCPNKIHICSSSEVFGPADKVPIDEETSFHPASPYAISKCSTDLIGRYYAEAFSLNTQITRMFTHTGPRRGDVFM-----ESTFAKQIALIEKGYQEPIVEVGNLNSLRTIADVRD------AVRAYWILLNTNSKKGDYFNIG-----GKKTLKVEEILKDLINLSTCKNIEYRRPIDADLQIPNTSKFTNLTGWVPEIPYEKTINDLLNYWREKVRTYGN--- 261366868 ---KKALITGVTGQDGSYLAEFLIEKGYDVHGMIRRSSAHLEGNPQFHLHYGDLGDSISLVKIISEVRPDEIYNLAAQSHVQVSFDVPEYTADVVATGVLRVLEAVRVCKTCRIYQASTSELYGKVEEVPQNEKTPFHPYSPYAVAKQYGFWIVKEYREAYNMYCCSGILFNHESERRGETF-------VTRKITLAAARIAQGKQDKLYGNLSSLRDWGYAKDYVECMWLILQQDKPDDFVIATGEQHSVREFCQLAFHEMEEKGIDKATGKVLIEVSPDFYRPTDVVNLWGDPTKAKTELGWNPKTSFEELVRLMVR-------------- 255073039 IARKKIIITGGCGFIGHHVVEHFAKTTDCNVVIDKLSYGYDRLRDTGVLDRVQVFTTDLMQPIPEGINIEFIVHMAAETHVDNSIADPVPFIRNNVESTISLLEYTRGCDLKAFFYFSTDEVFGPAGTTMFDEWDRHKPTNPYSSSKSAAENICIAYENTYKIPLMIVNVMNAFGER--------QHPEKFIPKCIKKVMDGE----KVYIHSYPDKKTAGTRFYIHARNIAAAVMFLL---NNGSIGEKYNITGESEVSNLELAQFISNTVGKEEMVNHHATRPGHDLRYGLSGEKMAK-MGWVPPLGFEDSLRNCIRWTMANSHWLN---- 135982176 ---KKAIVTGSAGFIGFHLSKRLLENGYEVLGIDGLTDYYDVNLKKSRNLLLKLEDKLSIEKIFSDFNPNIVVHLAAQAGVRYSIESPESYVNSNLIGTFNILEALRKIKCSHFLMASTSSVYGGNKNMPYKERSNTDTMSFYAATKKSNEVMSHSYSHLFDIPTTCFRFFTVYGP--------WGRPDMALFKFTRKVLAGEQIDVYNFG--------KMKRDFTYIDDLV----------------------------------------------------------------------------------------------------- 134644407 MMNK-ILVTGGAGYVGAALTPYLLSKGYEVTVFDLMIYGEEVLNKHKKIIKGDIRDQDLLRKTIPGH--DVVIHLACISNDPSFELNPSLGKSINLDPFEPLVKISLESNVKRFIYASSSSVYGLKDEKEINEEVTLEPLTDYSRFKVECEKILQKYKTNN---------FTPIILRPATVCGYSPRQRLDVVVNILTNLAYNKRVISVYGG-------SQLRPNIHISDMVSVYEVLIKAEKSLVSGEIFNAGYEN-RSVLELAKLVKEVIGEDIELKTVP--TDDNRSYHISSTKIKKILNFDSKFTIKD--------------------- 135281741 MKKKRILITGGAGFIGSHLAEELIKRNHQIIIIDNLFSGKLNNLKNYKFINADISRVGKWKNNFK--EVDYVFHLAALADIVPSIKNPQIILIQMSYGTVNVLEACKLHNVKKIIYSASSSCYGLAKNFPTKETDLISPEYPYALTKNLGEQIVQHYSKVYKIKFNSLRLFNVYGRRSRT-------------SGAYGAMFGVFLAQKINGPLTIVGNGNQKRDFTHVKDIA----------------------------------------------------------------------------------------------------- 139502109 --------------------------------MDDLNQAYDNRIKIWRFYESDITQLETLNSIFDKQSFDGLIHLAARAGVRQSILDPRDYYSVNLNGTLNLLEACKDLNVAKFVLASTSSIYGDGTSSLSSDNRTDNPISPYAASKKAAEVCAYTFHHLYGIDVSVLRYFTVYGP----------AGRPDMSLFRFVQKIYEGWPITVFGDG------TQSRDFTYVDDIARGTVASLKQL----DFETINLGSGIPIVLLDAIKIIEAVIGKKAIITNEPAHPTDVKETWADNSKAQEILNWSPEVSFE---------------------- 135898685 ----KILITGGAGYLGSVITEKLLSKGYGVTVLDNLMYNQTSSIIFSHNHNGDVRDSELLENLV--FDFDVIIPLAAIVGFPACDRDKDLATKVNYEHVRYICELVEGTKV-RVVYPNTNSGYGIGESGECTEESPLNPISHYGVTKVKAESEVL---KIGGISV-----------RLATVFGTSPRMRMDLLVNEFVYKALTDKYITIF-------EKDFVRNYIHIRDVANVFLFMIENYEDHSGEVFNVGLSDANLSKEELIEKIKG-YVPNFAITYSDYYEDDKRDYIVSNDKIES-TGWMPEYSLDDGIVELIK-------------- 141038720 ------------------------------------------------------------------------IHLGGLASVAESLIRKKKYYINNVLGTLNVIKACNKSSVKNFIYSSSCSVYGNVEGN-VDEKKKPNPKSYYAYTKFKSENLIKKFSKKYKYKYIILRYFNVAGASNSGKIGEIGNKNDRLIKNLAIQYFKKNPKINIHGSNYKTKDGTCIRDYIHVSDIADIHYQCIKYLNKYSKSNIFNCGYGKGYSVLEIANILKKM-KKKTQINFMKKRPGDVGQVKANINKFKKTFKWKPKFSINKILNSSIKWEKK---------- 144082014 ----KIFITGIAGFLGANLADYYLKKNYEVSGCDNLIGGTLDNINKNKIRFFK-SNCENLEEMSKIIRDDVVVHTAAYPHEGLSSFSPFLICKSNYIGSVSVFTAAIQNKVKRIVFCSSMARYGNI-NPPFHEGQKVNPVDPYGVSKLAAEETLKILAQTHNIEYNIAVPHNIIGP------KQKYDDPFRNVVSIMTNLILQNRQPIIYGNGEQTRNFSDIDDCIYCLDKLITNKSIVSETFNIGPDE-------DSITINELFKILCNKLKYNGEAEYVKDRPNEVKHAICSSNKARKLLNYK---------------------------- 302783022 MDCKKALITGITGQDGSYLTEFLLSKGYEVHGISNFNTQRLDHIYIDPHHYGDLADASALRKWVDAILPDEVYNLGAQSHVGVSFENPDYTADVVATGALRLLEAVKTHCRQIRYYQAGSSEMYGSTPPPQDEATAFHPRSPYAAAKVAAHWYTVNYREAYGLFACNGILFNHESPRRGENFV------------TRKITRAVGRIKVGLQKKLFLGNLKASRDWGFAGDYVQAMWLMLQQETPDDYVVATEESHTVEEFLEEAFGYVGLEWREHVEIDPRYFRPTEVDNLRGSAAKARSALGWKPKVSFKQLVAMMVD-------------- 139442647 ------LVTGGAGFIGSHIVDKLIELGHEVIVIDNESAKSNEEFYWNKNYKYDIRDYENTRPLYDGV--DYVFHAAAEARIQPAILNPIEAVSINCVGTVTVLQCAREAGVKKVMYSSTSSGYGFNE-PPNHENQPDDCLNPYSVSKVSGEKLCKMYTKLFGLKTIYFRYFNVYGER------SPVRGQYAPVIGIFLRQRKDGEPLTIVGD------GEQRRDFTH---------------------------------------------------------------------------------------------------------- 134369720 --------------------------------------------------------------------------------------------------------------CNNLIFSSSATVYQLEMNKKVTEESILSPINPYGNTKLTIEKILSDVSRSEKWRIANLRYFNPVGAHESGLIGENPDKPNNLLPMLLKVINKEKKELSIYGSDWPTKDGTCVRDFVHVMDLAEAHVAALKFLLNEPQIINLNIGTGEGTSVLELVNSFMNTNKCALPYVFEGRRLGDVPYSVADNTKALKLLDWTPSRSIEDICKDTWRWNKANIKN------ 92118277 -TGRKVFVTGHTGFKGSWLCLWLRALGAEPIGLALAPEMPNHWNLLGPSHIVDIRDRHAVRKIIASSGAEIMFHMAAQPLVRRSYREPTDTWDVNVTGTVNVLDACRASETVKAIVCVTTDKCYENQEWIWRETDRLGGHDPYSSSKAAAEIAAASYRQSFFAQAGRL----LATARAGNVIGGGDWSEDRLIPDLICAMTA--------GQQLEIRSPYATRPWQHVLECLAGYLMLLEGDAEAASAWNFGPDKDGNRPVLQVLETMKSHWPAIKWYQSVAQQPHEANLLYLDSSKARDLLGWRPILSFDESIDMTIAWYREF--------- 144142157 ----KILVTGADGFIGSHLVEALIRDGYRVTALAQYNSGHVGWLEDCPPVTGDIRDAGFVRETVFGH--DTVIHLAALIAIPHSYRSPESYVETNIRGTLNVLQAVRDLNVSKLIHTSTSEVYGSAKFVPITEGHPLQGQSPYSASKIAADQLAYSFACSFATPVMTVRPFNTFGPRQS---------------------------------------------------------------------------------------------------------------------------------------------------------- 142351063 MTKKVALITGITGQDGSYLAELLLSKGYEVHGISSFNTSRIDHIYEDPHHYGDLTDGVCLTNLVRDIQPTEIYNLAAQSHVMVSFTMPQYTAQVDAVGTVALLEAIRASKLDIRFYQASTSELYGSTPPPQNEESKFRPRSPYAAAKLMAYWSTVNYREAFGIHATNGILFNHESPRRGETF-------VTRKITRAVASIKAGKREKLF-----LGNLDAVRDWGYAKEYVESMWLMLQ----KPEGSDYVVATGVGATVRDFAEVAFKHAGLSWQIDKKYQRPTEVDALIGDPSKAKEELGWVAQTKWDGLVKLMVE-------------- 229032900 ---KKALITGVTGQDGSYLAEFLLEKGYKVFGLRRRSTPNYENVEFIKWIDGDLTDLASLITAVQIAQPDEVYNLAAQSFVATSWPQPIATGQITAMGVTNMLEAVRIVKPDRFYQASSSEMFGKVVETPQKETTPFYPRSPYGVAKVYGHWITVNYRESFDMFACSGILFNHESPRRGLEFVTRKVTDAVAK-----IKLGLANELRL-------GNLDSKRDWGFAGDYIKAMWLMLQQEVPDDYVISTGETHTVEELVEIAFSHVGLNWKDYVVIDEKFVRPAEVDLLLGDCSKAKEELGWELEVSFKELVHMMVD-------------- 302880041 -RGKRVLLTGHTGFKGSWLSLWLQSMGAQVVGFALAPPTKPSLFEVAGIEQGDIRDLVHLRSVLTEHQPEIVIHMAAQPLVRYSYIEPVETYSTNVMGVVNLLEAVRSTRSVKAVVNVTTDKCYENREWAWRENEAMGGYDPYSSSKGCAELVTAAYRNSYFHPAKYHEHGVAASARAGNVIGGGDWAEDRLIPDIMRAITE--------GRAVNIRNPHAIRPWQHVLEPLSGYLLLAQKLYEDGAAFAEGWNFGPNDEDAKPVQWIVERLEGASWVVDGGEHPHEAHYLKLDCSKAKGQLNWHPRWHLDEALVKIVDWHQAY--------- 139829312 MKIRHALVTGGAGFIGSNLAKELVAKGIEVDVVDNLSNGHVEFVPKDCKLLIDFASESNLNRIRAGL-YDVVFHLAAIPRVSYSVEYPVETHETNVNATLKLMEACR-DNVRKFIFASSSSVYGGADLMPTPTTTVKDPKSPYALQKSIIEDYLKLYSSLYGLDSACLRFFNVF--------------------------------------------------------------------------------------------------------------------------------------------------------------- 87308318 -RSKRALITGITGQDGAYLAELLLEKGYEVHGMVRRSSSERPLLDRIQLHEGDLIDQLSLVRLLEKIKPTEVYNLAAQSFVPTSFSQPLLTGEVTALGATRMLEAIRVVDSQRFYQASSSEMFGKVPTEPQDESTPFWPRSPYGVAKVYAHWITVNYRESYDIYAVSGILFNHESPLRGTAFVTRKITDAVARIKHG------------LLDKLRLGNLDAERDWGFAGDFVEAMYLMMQ----QDSPRDYVIATGQKHTVRQFVELAFDRAGLDWYVELDPARPAEVNTLCGDASKAKQELGWKPKVNFQQLVNMMVD-------------- 138932289 ----RILVTGGSGFIGINFISKMLSKGHLVHNLDNLSLFRKDFNKKSQKYKVDIRNKNSLNEIINEFKPDKVVHLAAETHVDKSINAPDNFVTTNVLGTYNLLQASLNFFNFCFHHISTDEVYGSKNEISFNEDSKYKPNSPYSASKASSDHLVRAWQKTYNLPCVITSCTNNYGP--------YQHPEKFIPVVILSGIMKKSIP--------IYGKGNNIRDWIYVDDHVDALVTIIEKNI------------------------------------------------------------------------------------------ 33861763 -KSDSIFVAGHNGLAGSAICRALKKFGYKNII-------------TVSRKEIDLRDQNKVKNWFKENSPDIVILAAAVGGILANSIYPYDFIIENIQIQTNVVEAAYQNSVKRLLFLSSSCAYPKKCTQPIREENYLEKTNENYAAKIVGMKFCEALRKQKNFDAFSLMPTNLYGPNDNYQDNESHVFAALIKKFVTA-------EINNLDQVICWGDGSPKREFLHVDDLAEASVFTLENFQFSNNCSFLNVGTGKDISILDLAILIKNKVGFKGSIFWDKTKPNGTPLKRLDITRIKK-LGWESKISLEEGIENTIKDFKKN--------- 254673892 ---------------GCSFAYEAKKRGYRVITNDILAINYQIALALIENNHETLND-DDVAMIFSGSP------HAGFMSQRYA--EKFYFHDECQQLDLYRKNIGKLDNQYKRALAFTLMRRAMIRKMPYTEDMRPDTANPYGASKAMVERMLTDIQKADPWSVILLRYFNPIGAHESGLIGEQPNGPNNLLPYICQVASGRLPQLSVFGGDYPTPDGTGMRDYIHVMDLAEGHIAAMKAKGGVAGVHLFNLGSGRAYSVLEIIRAFEAASGLNIPYQIQPRRAGDLACSFADPSHTKQQTGWETKRGLKQMMEDSWRWVSRNPNGYGD--- 141111400 FEGKRVLVTGAGGFIGSHLCERLVHEGAWVRAMVHYNSRTDWGNLDLLPPEMEITDPFAVRT--AMEGCDMVFHLAALIGIPYSYLAPASYVEVNVLGTVNVLEAARQLGTPRVVHTSTSETYGTAVFTPIDESHPLQAQSPYAASKISADKMAESYHRAFDLPVSTLRPFNSYGPRQS---------------------------------------------------------------------------------------------------------------------------------------------------------- 72383059 ---KTALITGITGQDGSYLAELLISKGYEVHGLVRRSSSDNEEKKKVHLHYGDLTHSTNLVRIIKEIEPDEIYNLGAQSHVKVSFESPEYTAQTDALGPLRILEAVRITKKTRIYQASTSELYGLIQETPQKETTPFYPRSPYGVAKLYAYWITINYRESYGIYACNGILFNHESPRRGETFV------TRKITRGLTRINLGLEKCLEMGNIDSLRDWGHAKDYVEMQWRMLQQETPEDYVIATGQTTSVRNGWGGIEWDGENEKEVKDNGDLVIKINPEFYRPAEVEQLLGDATKAHNNLGWKPKIKIDELIMEMIN-------------- 152965793 ---KTALITGITGQDGSYLAELLLEKGYEVHGLDHLYQDPHDPHARFFLHYGDLTDGSRMVTLLDDIRPDEVYHLAAQSHVRVSFDEPEFTGDTTGLGTTRILEAIRMIGLQCRFYQASSSEMFGATPPPQSETTPFHPRSPYGAAKVYGYWMTRNYREAYGMFAVNGILFNHESPRRGETFVTRKIAAAAARIAAGKQ------------ETLYLGNLDAVRDWGYAKEYVEGMWRMLQ----QDEASDYVLATGVGTTVREFTQDCFESVGLDWHVAHDERRPAEVDALIGDASKARRELGWTATV------------------------- 119717217 -AGQRVLVTGSAGFIGGYVVEDLLRRGYAVTGIDNYSTKSYDDHPAYHFVEGDVRDLELMADLVA--DCDHLVAGAALIGGISYFHTYPYDLRIIAATCDTAIRAMPAGRLRKVTYLSSSMVFESTDRWPSKEGDIPPPLSSYGFQKLAVEYFARAAWDQYRVPYTIVRPFNCVGVGEGRALGDVEIPSGNIKAMSHVVPDLIQKVLRGQDPVHVLGDGSQVRHYTYGADLAEGIIRAM--SSERALNDDFNLSTARSTTVLELAELIRKCHGPDRPFAVVSDEPHDVQRRVPDVTKAEEVLGFRATTTLDEMLDVVIPW------------- 282898035 ---KKALITGITGQDGSYLSELLLEKGYEVHGIIRFNTDRIDHIYEDPHQEGDLTDGTTLRRILEEVKPVEIYNLGAQSHVRVSFDSPEYTVDSVAMGTLRLLEAVRDYQNRRFYQAGSSEMYGLVQAVPQSETTPFYPRSPYACAKVYAHWQTINYRESYGLFACNGILFNHESPRRGETFV------TRKITRAVSRIVAGKQKKLYMGNLDAKRDWGYAKDYVRAMWLMLQKEQPDDYVIATSETHSVREFLDLAFSYVN--------LKWEDYVEFDQRRPAEVDLLIGDATKARQKLAWQPSVTFEELVALMVE-------------- 141750995 ----KILVTGGAGFIGSNFIHYWLKKNDTIVNLDKLTYAGNEKNPNYSFIKGDIRERDVVDEVVKGA--EVVVHFAAESHVDRSIDGPADFVLTNVVGTQVLLDAAREHGVKHFHHVSTDEVFGLNSEDKFSEQTLYDPRSPYSASKAASDHLVRAYHHTFGLPITITNCSNNFGA--------YQHPEKFLPRMITNLILGEKVPL--------YGDRLYVRDWLHTDDHAQAIDLVLSKC------------------------------------------------------------------------------------------- 158297070 --SKVVLVTGGTGLIGKAIETVIKEE-----------NPADERWIFVGSKDADLTDLAATREMFQKHQPTHVVHLAAMVGGFHNMSNNLDFFRKNMLINDNILLLSHELKVKKVVSCLSTCIFPDKTSYPIDETMIHNGPPHYSHAKRMIDVLNRAYNQQYGDMFTSVVPCNVFGPHDNFVPGVSHVIPGMIHRLHELMFVKNPDEPQESKSFSVYGTGKPLRQFIYSLDLAKLFIWVL-RDYNSVEPIILSVDESAEVSIAQLAESLAKAFDFKGKLEFDTTKADGQYKKTASNAKLRKHLNFT-FTDFDDAIKDTVQWYIANYE------- 82400136 -EKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEH--MTEDMFCHEFHLADLRVMDNCLKVTKVDHVFNLAAMGGMGFIQSNHSVIFYNNTMISFNMMEAARINSVKRFFYASSACIYPLETNVSLKEADAWEPQDAYGLEKLATEELCKHYNKDFGIECRIGRFHNIYGP-----FGTWKGGREKAPAAFCRKAQTATDKFEMWGDGLQTRSFTFIDECVEG----------VLRLTKSDFREPVNIGSDEMVSMNEMAEMVLSFEDKKLAVQHIPGPEG-VRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFW------------- 141556699 ---------------------------------------------------------------------------AGHKSVNESISEPINYYKNNVVTTIELLESMDKFKINKLIFSSSATVYGNNQASPLKETFSTSAINPYGETKIINEKIIMDHCKSDNFSSIILRYFNPVGAHPSGLLSDNPLGEPQNL-MPLIVKAAKGKKLNIFGKSYPTKDGTCIRDYIHILDLAKGHLLALKLLNKYKGCEIINVGKGRGISVLELVKIFEKTNNLKINFDFAAKREGDAAISYSCNKKLKKIFGWAPKHSYEQMCK------------------ 305431507 -KDSKIYIAGHMGLIGSSILKKLQNDGY-------------ENLIFKTHSELDLTNQQAVSEFFFKEKPEYIFFCAAMGGMMEQLEKRADFLYLNLIMQTFVLHEAYKNNCKKLLYLSSLCIYPQNVSLPIKETSMLEGNEPYAIAKITGNKMCEFYNQQFGTNFITLVPTSIYGPGDNFNLATAHVFPAIFAKIYLGKLLNEQKHQELFNNVTLLGSGNPRREFIYVDDVADACIFAMDKYDENFHNTHLNLADGRDYSIKEIAFLIKDIIGYKGDIVFDSSKLDGTMLKTTDTERIRK-LGWKAKVKLEEGIKMMYEWYLK---------- 143154754 MRKKRILIAGSNGMAGSAIYRALLKKGYGDIN-------QKGILLTPKREEIDFTDINQVIRWFKINKPSVVIIAAAVGGIVANYSQPSEFLLENIKIQTNLIETSWKSGVKRLLFLGSSCIYPKFASQPIEEESLLETNQWYAIAKITGLKLCEALRKQYDFDAISLMPTNLYGRG-----DNYHPQNSHVMAALIRKFSEASKNSLPSVTCWGTG--SPFREFLHVDDLGEAVVFTLENWDPDSNYYWLNVGTGNEISIKNLAYKISKYVNFEGKIIWDHTKPDGTPRKKLNINKIKEI-GWEPKITLDEGIKMTLKSY------------ 187922902 ----RVLVTGANGFVGRALCRALRDAGNTVTGLVRRQMPREYGVDEWVDPSVDFAGMDA--GWPEALQVDCVVHLAARVHVMDAADPEAAFQATNVEGTLRCARAAWRHGVRRFVFVSSIKAMTEADSGPVREDDSPAPQDPYGRSKRAAEEALIRLGAQTGLEIVIVRPPLVYGPDVRANFLSLMNAVWKGVPLPLGA--LGARRSLVYVDNLADALVHCATDARAAQQC--FHVADSDALTIAELARALGRHLGRPARLLPVPESWLRLAGRLTGRTAQVDRL--VGSLQLDTSRIRTVLGWQAPYSTEEGLAATAHWYR----------- 296137820 ----KVLVTGHQGYLGTVMVPLLQAEGHDVVGLD--SGLFADCTLGAPDIAVDLRDVTE----EQLAGFDAVIHLAALSNDPLGALDPQITYDINHHASSRLARLAKQAGVARFLYASTCSVYGAAGDGLVDEDAPLRPLTPYAESKVRVEDDAAA-IADSGFAPVFLRNATAFGPRLRADI-------------VLNNLVGYA---TLTGEVKVLSDGTPWRPLVHAQDIARAFATCLTAPVEKISCQAYNIGTENNVTVAEIAQSVIDAV-PGSTLNITGESGADPRSYRVDFSRARDGLGFEAQWTVADGAAELYREYTA--RGLTSE-- 142509860 ----KILITGAARQIGSGLARLLTEKGHDLVLCDNLRNGYEENLKGDPFYKTDITTHNFLKWCGGEY--DVIIHLAAITSLPDCESNPLETLSINVQGTANVLEFARKFKVPHVIFASTSAVYENNDVEVFTEDLDVNPRLYYSLSKKMSEELVESYRENYGLNATILRFFNVFGPDGD------QTRPNPPLLNFVYRELSKDKAPVLSGD------GEQVRDFIWVEDIVS----MLEVCMRKQPNDVFNVCTGKAISVNQIAQWVAEAMGKEH--------------------------------------------------------- 142984788 IKNKNILIVGAGGFIGGHLVKKLIKDGNNIVASDIKPYWFQDFEEAKNFYSMDMKDISNCRKVTK--DIDYVFNMACNMGGMGFIENNKAECMQSVLINTNLLIACKEDNVKKYFFSSSCAYNKTKQQEVFIEGLKEEPEDGYGWEKLFSERMCRHFMEDYGIEVRVARYHNIYGPFGTYDGGREKAPAALCRKVLSAKTNKDNK-------IQVWGDGKQTRTFLYIDDCIEGTLRLFE----SDYSEPVNIGSDEQVSINQMIEIIEKISGIEKEREYQLDKPKGVRGRSSNNDLVKKVLNWSFKIKLKDGLKNTYDW------------- 138947341 ----KVLVTGAAGFIGFSVAKRLLDRGDEVFGLDNVNDYYSVELKESDFCREDLADESAIESIFSSAKFDRVVHLAAQAGVRYSIENPKAYIDSNIVGFSNILEGGRHNEVKHLVYASSSSVYGSNTSMPLSHDNVDHPLSLYAATKKSNELMAHSYSNLYALPTTGLRFFSVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 143955244 -ASRRAFITGISGQDGSYLAEFLLSKGYEVHGMLRRTSHLSRTRIDGLCERGDMTDSMSLVRLMRDIQPHEVYNLAAQSHVRISFEQPNYTAEVSALGVLGMLDAIREFQHQRFYQASSSEMFGNVQESPQRETTPFAPRSPYGVAKLYGHWITVNYRESYGLHASSGILFNHESPRRGENFV------------TRKVTQAAARIKRGLQKTVTLGNLEARRDWGFAGDFVKAMWCMLQ----QDKPEDYVIATGSSHSVRELCQIAFEHVGLDYHDYVEHDRPAEVDHLLGDARKAREILGWKPSICFKDLIVMMVE-------------- 135506211 -----ILVTGIAGFIGFHVAKTLLERGDEVIGIDNISDYYDVNLKKNRFKNLDISEINSLKSIFSKNKITKVCHLAAQAGVRYSLEAPMAYVNTNIVGHVNILECCKNYNVSNLVYASSSSVYGGNLKVPFSVDDRVDPISLYAATKRTDELISYTYQHLYGINTIGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 137980348 --NEKILVTGAAGFIGFHLCQKLLDLGFEVAGIDNMNSYYSVQLKQSRLSELSVGNLEKTKKVLDTIKPSIIFHLAAQAGVRYSIENPRAYLESNINDTFNLLEAVRDTDIKHLLFASTSSAYGSSSKELLSEDPCQTPMSFYSATKLSCEAMCHAYSNIYDLNITAMRFFTVYGP--------YGRPDMALMKFIDSIHNDRNIELFNSG--------NMKRDFTYVGDVVD---------------------------------------------------------------------------------------------------- 139420853 ----KILVTGGTGFIGSYLVRSLVKKDNKVVILDNNYRGSDNNIRDFADKQGDIRDSSLVKKAIEGVR--TVYHLAFINGTETFYSNPDLVLDVGLKGTINIIDGIQNSDVENFIYASSSEVYQTPNNIPTYEEDVKNPRYSYGASKLIGEIMTLHYLTDKKLSKKIFRPHNIYGPAMG------WGHVIPQIMKKICNSSNFFKEKDIEIQIQGTGEET--RAFCYIDDAVQGIMKVAEK---GADGEIYNIGSEEEVSIKDLILMIGEILEIKLKLNQGKILPGG---------------------------------------------- 142136439 ----KVLVTGGCGFIGHRLVK-LLERGCEVLVVDNHTTYERSKDFTSKVVNIDICNFAALYKAFDEFDPDVVIHLASFPRQKSVSVNPQEGAKTMMEGLINVLELSKN---RHFTYISSSMVYGDMSR-PAVETDECNPKGLYALMKYTGEKMVEDYARRFGMTYNIVRPSAVYGPLDVG----------DRVIAKFMLNAMTDKPLKVNGAFEKL-------DFTYVDDTAMGIYLVATVGQS---GEIYNITRGVGRTLYEAANLIRGIVGKGVVVTQDRDNQF-PSRDALCIDKARRDLLFNPTHDLEYGLVKYYTWFRDRVHWF----- 307133066 -----IIVTGGAGFIGSNIVKALNDDGYRDIVVDNLKDG----TKFANLVDLDIADYMDKEDFLASIDIDVIFHEGACSSTTE--WDGKYMMDNNYQYSKDVLHYCLERGIP-FLYASSAATYGGRTDNFVEDRQYEQPLNVYGYSKFLFDQYVREILPQTNSQICGFRYFNVYGPR------EGHKGSMASVAFHLNNQINQGEKPKLFA-----GSENFKRDFIYVGDVAAVNLWFWQHEV----SGIFNCGTGRAESFQAVADAVLDYHQKDESIPFPEKLKGRQAYTQADLTNLRAA-GYKPFKTVAEGVADYLAW------------- 142733589 ----KVFVTGHGGMVGSAILRALE------------SSKTDWQILTRSRAELDLCDQGAVDAFMGSESPEYVINAAGVGGIHANNTYPAQFIRENLMINANLIHSAWTHQVRRFLNLGSSCIYPRDAEQPLREETPLEPTNSAYAAKIAGLEMCRHYRAQYGVLFHSAMPTNLYGPGDNYHLENSHVLPALINRFHDA-------KERALPEVCIWGSGKPRRELLHVDDLADGLVHLL---GVDDPPDWVNLGTGKDQTILELADMVRDVVGFDGDITHDLSKPDGTPIKRLDTS-LMDSLGWSASIALREGIEKT---YLDYKERL----- 136863601 ----KILVTGGSGFIGYHLGKLLSDKGCQVTLLDNLDAEKLDDELIALIEQVDLSDMDAASKLDK--DFTHIVHFAALLGVQNVLKNSFDVLKLNTELVINTIELCLNQRSLCWTLEAGCLEIPSPETSRLVLPKLDSPRTSYMLSKIYGEALC----QHSNLPCTILRPHNIYGPRMG---------------MRHVIPELLRKASTAEGEAIEVFSLHHSRTFCYVSDAVNMIFELMQNKDAIGHVFNI-GNQGPEIMMGDLAKLIIDIVEKDLAITDMGTTQGSPKRRAPEMTRLKNLTGYKSEVFLQDGIKKCAEWY------------ 137540525 -----------------------------------------------------------------------------LKSVPESEEFFDLYWQNNVGGTENILESMKTTGVKNIIFSSSASIYGEQETQPINEKAKPGPISNYALTKFESEKIIKKFASNGFINAVSLRYFNPVAAHSDLLVYEDYRNSNNLISVILKAAMKDIESVQIYGKDYCTYDGTAERDFIHMQDLINGHFCALQKIGTFENYIAINLGTGKSISVLEMIETFKKVNKIDFQVDFTTRRKGDVSINYAQVNKAKEFLGWQSSHNLEKMCKDAWE-------------- 142805172 ---KKILITGGTGFIGSAITNFFCEKGYKITVLDNNSRGSFKRINKNKNKKGDITKYNDVLRVSKGQ--DYIFHLAAINGTRFFYEKPDEVLNVSCKGVINIIDVAKKLKIKNIFLASSSEVYHFPNKIPTDETDILNPRYSYAGGKILTELIGIN-NANYFKKMIIFRPHNVYGPDMGN---------EHVVPELIKKIINSKTSIRIKGS------GSQTRSFIYIDDFVEAFYILFKKGKHMN---IYNIGTQEQIKIIELAKLIMKVLKKKLTIKHEKLALGGTQHRTPNIKKIKK-LGFISKISIIQGLKKII--------------- 144014325 ----KIFITGGAGYVGSKLVPKLLEKGNEVTVLDLMIYGENQDNKGLTKINGDIRDTKLLEKFLPGH--DAVIHLACISNDPSYELNPSLGKSINFDAFEPLVKISREKNINRFIYASSSSVYGIKNEKNVTEDMSLEPLTDYSKFKGECEKILNNYSSEEFITSTI---------RPSTVCGYAKRQRLDLVVNILTNHAFHKRKITVFGG-------DQLRPNVHIDDMVDSYILLLNSDYKKINGEIFNVGYKNQT-VNELAQNVRDVIGKD--IEIIKTKSDDNRSYHVSSQKIYDVLNFKTKLTVKDAV------------------- 306845147 --KKVALITGITGQDGAYLAELLLQKGYAVHGIKRFNTARIDHLYHDPHEQGDLTDTSSLVRIMQLVRPDEVYNLGAQSHVAVSFEEPEYTANSDALGALRLLEAIRMEKQTRYYQASTSELYGLVQEIPQKETTPFYPRSPYAVAKLYAYWITVNYREAYGIYACNGILFN-------------HESPLRGETFVTRKITRALARIKIGNQNRLYGNLDSLRDWGHARDYVEMQWLMLQ----QDQPEDFVIATGKQYSVREFVTLAAKDIGIEMRWEVDPRRPAEVETLLGDATKAHQKLGWKPKISFETLVSEMIA-------------- 143468580 ---KKVLVTGGVGFVGTNLIEELLKQGYKVSSVDNYDTGKKERELEGCYHNIDISDSCWCEFWCDCEQPDIIFHLAARARIQPSFEDPQSTFRSNVLGTQNVLEYARLRNIPVI-YAASSSSHGDVH------------ANPYTFTKWQGEELIRLYNKVFNVPTVITRFYNVYGERQNT------EGAYCNVLGIFERQYGEGRPLTITGDGEQRRDFTYVKDIVDGLIRCSYILESNRSHKISGEEIELGNGKNYS------INELADSFGENYPTKYLDERPGEVRESLNTDTKAQDILGWNPSGDILDYIK------------------ 119718422 ---KTALITGITGQDGSYLAELLLAKGYVVHGLRRFNSSRIEHLYQDPHHYADLTDAANLIRLLHRIQPDEVYNLAGQSHVGASFDEPEHTAITTGVGPLTLLEAIRTVGIDCRFYQASSSAMYGAATGAQDECSPFRPLSPYGAAKLHAYWSTVTYREGYGMFAVSGILFNHESPRRG------------ETFLTRKVTRAVARIQAGLDDFLYLGNLEPTRDWGYAPEYVEGMWRMLQAD----EPDDYVLATGTSYSVRDFVVAAFEHAGLDWEKHVRRDRPNENPSLVGDASKAEARLGWKAHVNADELVRLMVD-------------- 139082240 ----RLLITGGAGFIGSNYLRHILENEVEVRVLDALTYSGTESNFLGINRQGDIRNYDLVYK--ATSGVDVIVHFAAESHVDRSIDSSRVFVETNVLGTEILLSAALKNKIKTFIHVSTDEVYGSIGSGSWNEEFPVNPNSPYSASKAGSDLIVLSFHRTHGLDVRVTRCSNNYGPR--------QFPEKVIPLFITNLLEGKKVPL--------YGHGKNVRDWLHVSDHCRALDLVLQKGKA---GEIYNIGGGRELSNIDLTKIILNEMKFD---------------------------------------------------------- 136587826 ----KILITGGAGYLGSVLVDRLLDGGHEVTVLDNLMYNHYSYNKRFHFIYGDVRDNALLEKVVPNF--EVIIPLAAIVGFPACDRDKDLATAVNYTHVKKICDLVGGSDV-RVVYPNTNSGYGIGENGECTEESPLNPISHYGVTKVNAEREVLA--------------IGGISIRLATVFGSSPRMRMDLLVNEFVYKALTDKYITIF-------EKNFRRNYIHIRDVAKTFEYMIENYQKFQGEVFNVGLTSANLTKAQLVEKIKEYVPDFAITYSDFYQDPDKRDYLVSNAKIES-TGWTPDCSLEDGIEELIK-------------- 136077774 LTSKKYIVTGGAGFIGSNLIERLLKYKQKVICIDNLFTGDLKNIKNFVFIKKDIRQKNIFKK---KINVDYFIHLAALGSVPRSIKDPYETNDVNLNGSMNMFKLGSYLNCKRFIFASSSSVYGDGQGITKKESDTLRPISPYGLSKLFFEKYIENISSNKKLKSVGLRFFNVFGPRQS------IKGPYAAVIAQWINSGFSKKKLILNGDGSTT------RDFTYVSNVVDGIISSCFFSFEK-YFEAINLACGKEISLSELIKILKYLFGIKIKIKHKPFRKGDIK-------------------------------------------- 154252371 ---KRALITGITGQDGAWLARLLLDKGYIVHGVKRFNTGRIDDLYQDPHHYGDLTDATNLIRIVQETQPDEIYNLAAQSHVHVSFETPEYTANADAVGTLRLLEAIRMSETVRFYQASTSELYGKAQETPQNERTPFYPRSPYAVAKLYAFWTTVNYREAYGMHASNGILFNHEGPTRGETFVTRKITRAVAAIELGLQDVLY------LGNIDARRDWGHARDYAEGMWRIVQQDEPDDYVLATGETHSVREGRHIAWKGEGADETGVDAVNGKVLVRIDPRRPTEVDVLLGDPSKAHQKLGWRHTTQFPELVAEMVA-------------- 251773453 -DNKTVLITGADGFTGYHLTAALSKKGFNIFGV------GREQKSNPIITPCDLTNKKEIWEIVERVRPDFIVHLAAVSFVGES--DIESFYRVNLFGTMNLLEAHQNPPPKKIIIASSANVYGSPRSRLIDESVCPAPVNHYANSKLAMEHMARTWFDR--LPIIITRPFNYTGPGQS---------ERFLIPKIVGHFKRKEKKICL-------GSLDVSRDFSDVKDVVECYVRLL---MSDAMSVTVNICSGKSVALHEAINIMNKLAGYDISVEVTPDRQSEIKSLKGSNTYLKKLINFIPMTPFEEILQRMYE-------------- 142786470 -----IVVTGGAGFIGSNLVKQLNAQGRDVVVIDDLTDG-TKFVNLVDLTIADYMDKDEFQARIWDGGIEVIFHEGACSATTE--WNGKFIMEVNYEYSKDLFHYCIEREIP-FIYASSAATYGGRNDNFIEDPKFEQPLNVYGYSKQLFDQYVRRWMPEINSQVVGLKYFNVYGPR------EQHKGSMASVAFHLNTQVKKGENPKLFEGCDGFPNGGQMRDFIYVDDVCKVN---LWFWQNPQHSGIFNCGTGRAEPFQNVAEAVIKHHQKGA-IEYIPF-PDHLKGRYADMTKLRGV-GYDAEFTVAEGVADYMAW------------- 148256986 MAGKRVLITGVTGQDGAYLAEYLLGLGYTVHGIKRFNTGRVDHLYQDPHEHGDMTDSTNLIRLVQQIRPDEIYNLAAQSHVGVSFESPEYTANADALGVLRLLEAIRMEKQTRFYQASTSELYGLVQEIPQRETTPFYPRSPYGCAKLYGYWITVNYREAYGMFACNGILFNHESP------IRGETFVTRKITRGVARIEAGLEEAIYLGNLEAKRDWGHARDYVEGMHRILQADVPDDFVLATGETHSVREWRGEGV---EETGIDCRSGKAVVRIDPNYFRPTEVDLLIGDCSKARDKLGWTPKTSFAQLVKEMVA-------------- 139538487 --------------------------------------------------------------------------------------------------------------------------------MPIREDFSTDPANPYGRSKLMVENILSDLANSDSRWIAILRYFNPVGAHKSGLIGEDPNGPNNLFPYISQVATGSRETLSIFGSDYPTVDGTGVRDYIHVNDLVRGHVLALNALEQLKGTNIWNLGTGSGHSVLQVLAAFVKATGNPVPYKIVSRRSGDVAECWADPAKAERELGWRAEYGLEEMVVDSLRWQTLNPNGYGR--- 280959750 --TKVALVTGVTGQDGSYLCELLLQKGYEVHGLVRFNTARIDHLYQDPHEDGDLDDGLSLVNILRETGPSEVYNLGAQSHVRVSYDTPLYTANVTGVGALRILEAVRASNLDIRVYQASSSEMFGSTPPPQNEETPFHPRSPYACAKVYAYWSAVNHRESYGMYVSNGILFNHESPRRGETFV------TRKITRAVARIQAGLQKELYLGNLDAT------RDWGYAPEYVNAMWMMLQA----PEPDDYVVATGTTYSVREFCQVAFGHVGLNWYVRFDPKRPAEVDALMGDASKIRTNLGWKPEVNFEELVQIMVD-------------- 135741901 ----KILVTGGLGYIGSHTVVELCSNGHEVIIVDDISNTSFSILDGIKFVELDLKNLELTKSFIKNNDIDGVIHFAASKAVGESIDDPLKYYNNNIISLLNLTALKKLDKKINFIFSSSATVYGKPDILPITEESIKIAESPYGNTKQIGEEILFDLVKSSNFNVLSLRYFNPIGAHDSALIGELPIGPQNLVPFITQTAIGKRDILTVFGNDYNTHDGTCLRDYIH---------------------------------------------------------------------------------------------------------- 135664894 ----------------------------------------------------------------------CIFNLAAESHVDRSIDNPKNFIKSNIVGVYNLLESFKEFSKNKFIHISTDEVFGDIYRGRTKETHAYNPSSPYAASKAASDHLVRSYIRTYKIPAIITNCSNNYGPRQ---------HPEKLIPKLIYNILNNK-------TLPIYGKGTNSREWIYVKDHCEAL---LKVFQKGKIGEFYNIGSNKNLTNIEVCKKLLGISGKKVKILYVKDRPGHDLRYALNSNKIKKELNWKPKTSFNKGMKLTFEWYKSYYKSLSKK-- 136265486 -KNKRVIVTGHTGFKGSWLTLWLYLLGAKVLGISLPSKKNDHFSLLNIKNRINILDKKKIESAILNFKPDYVFHLAAQSLITKSLQQPFLTWKTNVIGTINLLESVKQIKKRVNCIFITSDKCYENKRSGYKETDRLGGEDPYSASKASAELAINSYF----LTFLKSTKHRVVTARAGNVIGGGDWNHGRILPDCMNSI--------ILGKKVKIRSLTSSRPWQHVLDIIYGYIKLSQNKKLNGHSFNFGPNKSVIKTTLNLILEIKRYWPALNYQKNKKRTFKETNCLVLNSSKANKKLKWKTNLNFSQTVKFTVDWYKDYY-------- 135542556 ----------------------------------------------------------------------------------------LAFAKTNILGTMVLLNAFKNWAGKRFYHISTDEVYGTGETGLFEESTPYDPNSPYSASKASSDHFVRAYGETYGLPYVITHCSNNYGP---------HQFPEKLIPLFINNILDDKP-------LPVYGDGNYTRDWLYVVDHAIAIDLVFHKGKN---NETYTIGGFNEWKNIDLVKVLCKQMDEKLNITYVKDRPGHDLRYAIDASKINKELGWSPTVTFEEGLSKTIDWYLNNKQWLSS--- 136305159 ------------------------------------------------------------KKTLKNYKIDSVIHLAAALSVEESQKKPNKYKWINIEGTKRLLSSIKDSNVKNIIFSSTCAVYRDGLDR-VSERSKLKPTSVYGKTKLKGEKLIKKLCKSKKMNYGILRFFNVAGASPTGKIGQINIGDQLF-KNLSLELNKRYPVFKIYGDDYNTKDGTCIRDYIHVSDIAQIHYEVLKKINKINNSVIFNCGYSKGISVKEVIKAFKKNTRKKIIVKILKRRKGDMAKITANSSKLKKFISWKPKYSLNKIVKSCLYWEKR---------- 144013329 MENMRILVLGGYGFIGSHICQQLKLEGHTVGIVDCYHQYYKKITNTDYEYIGQIENLQFMEKTFEDFKPDRVIHVATYPNARMVKRNVLDATNNMVTATAYILDLCVKHKVQKIVYASSSMVYGEFDNKIPDENVVPKPNTLYGSYKRQGEIMCKIWNREYGLDYVIMRPSALYG-----------------EKDTITRVISQMLKSVLTTGEMTVQGPDNKLDFSNVLDVAKYFSL---ATTNEVKNETFNCTRGNGRKIIEAAEIIKQKIGLGN-IIIKPHDAFYPNRDTLNSDKAKSMFNFNPQIDIETGIPKYINWFLNQPFYFDN--- 136240223 --KKVALITGVAGQDGSYLSEHLLDAGYEVHVVRRQSTAENQESRLDHTHYGDLLDNTSLTKIILDTQPTHIFNLGAMSHVRISFEVPKFTVETNGIGVLNMLELYRQLCPDAKFYQASSSEMFVDPDGFQRETTAMNPVSPYGCSKVLGYNLVRHYRNAYNLHACNGILFNHESPRRGSNFVTN-----KVVKTAVQIKLGLVDKLEL-------GNMDSFRDWGHSKDYTRGMIKIINHH----EPDDFVLSTGKTYSVRDLCQVVFDHLDLDYNDYVVQMRPEELKYLKGDCTKAKNILGWEPEYTFEMMMHEMVDYWMGYFK------- 78357942 ---KKALISGITGQDGAYLAEFLLQKGYEVHGIKRFNTDRIDHLYEDPHAEGDLSDSTNLIRIIQEVQPDEIYNLAAQSHVQVSFDSPEYTANVDALGTLRILEAIRIEKKTRFYQASTSELFGQVQEIPQTEKTPFYPRSPYACAKLYAYWICVNYREAYGMYACNGILFNHESP-----IRGETFVTRKITRALARIKLGLQDRLYL-------GNMNALRDWGHAKDYVEMQWLMLQQDAPQ----DFVIATGEQHSVREFVELAATELGISIEWDKKYYRPTEVETLLGDPAKAKKELGWVPRTTFTELVKEMVQ-------------- 135456089 ---KKIIVTGGSGFIGSNLVNYLVKKKYFVINIDKLTYSSNKFHNYNKFLKIDINNKSKINKVIKKYKPVCIFNLAAETHVDRSIDSPYQFIHSNILGVFNILEAIRKNKKIKLVHISTDEVYGDITTSRSNEKFPYNPSSPYSASKASADHLIKSYIRTYKLPALISNCCNNYGP--------YQFPEKLIPKMIVNILNNKPLPIYAKGQ--------NSREWIHVEDHCD---------------------------------------------------------------------------------------------------- 135270137 MSKQKIFIAGHKGMVGSALVRLL--KTHDV------------ELITKEKKELDLLSQNDVSDFFKYQKIDQVYLAAAVGGIHANNTYPAEFIYENLMIQTNVIHGAFLGGVKKLLFLGSSCIYPKNANQPMKEEDKLEPTNPYAISKIAGIKMCESYNRQYA-ESHSIDYRSIMPTNLYGPGDNYHPQNSHVIPGLIYRFHYAKVNNLPSVTIWGTG--KPKREFLYVDDMANASIHLMNIDKKIYDNLHINVGSGEDLTIKKLAETIKEVIGFEGKINFDHTKPDGIHRKFLDSKRINS-FGFKPKINLKNGLIKTYQDYTK---------- 209551789 -KGRRVFLTGHTGFKGSWLSLWLERLGAEVTAVETEPSLYQKLAPWDDHHIIDIRDAEALLALSERFKPEIVIHMAAQALVRRSYENPAETFSTNVLGTANVLDAVRQTPSVKTVLAITSVYANNGSGIPFVETDTLGGKDPYSNSKACTELVCQSYRDSF----FKGRDIRLATVRAGNVIGGGDWSRDRLIPDFIRAFEG--------GEPIMLRYPQAIRPWQHVLEPLGGYLRFAERSRDLPDALNFGPHPESFATVCELAEALGRAHSAEDVWRPAPEHLPEAPALTLSSALALDTIGWRPRLSLQQTIDWTAAWYKANREG------ 124486241 --GKTVLVTGHTGFKGSWLCLWLAQLGAEVHGFSNHYTAAHISELLKSEQLGDIRDQSTLTKYIQSVQPDCIFHLAAQPLVRKSYANPVDTFDTNVMGSIYLMDAVRSKPCSVVMITSDKCYENVGKAEGYVETDPMGGHDPYSASKGCAELAVASYRRSFFPPADVAKHVMIASVRAGNVVGGGDWAEDRIIPDAVRAVTTEK--------SLEIRSPNAVRPWQHVLEPLSGY-MLLAAKMMETNAAVYADGWNFGPKENSPAVTVAEIIDSKAEYDTETKHLHEAAFLTLSSKKAESVLGWKQQWDVNETMKKTAEWYKNFYAG------ 220926124 -------VAGHRGMVGSAVVRRLEA-------------GERCSVLTVSRRDVDLTHQEATERWIKQQRPDVVVLAAAVGGILANSQYPADFIGQNLAIALNVIRASHAVGVRKLLFLGSTCIYPKFASQPLNEDAPLEPTNEWYAAKIAGIKLCQAYRLQHGNDFISAQPTNLYGPNDNYDLNSSHVMAALIAKI-------ARAKQDSLDTVTVWGTGEPRREFLHVDDLADAVVFLI---KNYSDETSINIGVGDDISIRQLAALIAEIVGWQGRFAFDTSKPDGTPRKLVDVSRLHS-LGWKARISLPDGIRQTYRAYQEQMRS------ 220930171 ----KYLITGGNGFIGSHLTLRLLIEGHKVTVLDNFFTSPKGRLTGANVIEGSVNDENLINSLIENC--DHVIHLASIVGVRLAMLYGIDGLKLSCQGTENILK-HASRLNKKVLVTSSSAIYGKIVSSPVNEECSSKSSWLYSISKLAEEHMCLAYYREHSTKVKICRLFNVIGPNQSKHY--------GMVVPNFISCALKNEPIQVYGDGTHTRTFSY---------VDDIIDGIEIIIENGKDGEVYNIGGTEEISILELAKRIINLTNSSSAVEFVPFSKGDTRQRKPDISKLCN-LGYNPKYSLDEAL------------------- 134438535 -----------------------------------------KPINGVDIIKANILDKET--AISASSNADIIVHLAANCGVEASISDPEFDCDTNVKGTLNYLEAAKLNKIDRFVFASSGAPAGNIT-PPIHEEIVPKPISPYGASKLSCEGYCSAYKHSFGIDTIVLRFSNVYGP---------------LSQHKNSVVAKFIKEILRGKTLETYGDGHQTRDFIHVDDLVNAILQSSKA--NGAGGEVFQIATNKETSINDIIEILKSIFSERQSMGIDKQRIGDMKRNYSDISKAANLLDWKPTKDLIEGLKETVEYFL----------- 143404707 ---KTVLLCGATGFIGKNLLDHYSNNPDYKIKAVYNNRSPYKNSKNVEWVKCDLRDQRQIKQAMKNVDIVMQFAATTTGAKDIVSKPYIHVTDNAIMNSLLLREAF-EQGVEHFIFP-SCTIMYQKSETALKESDYDPSDEIQSHTKVYLENMCKFYANFKKTKHTVIRHSNIYGPHDKYDLEKSHVTGATITKVMKNKD----------GIVNVWGTGEEKRDLLYIEDLISFIDLALERQQNYYD--LFNVGLGEGIKIKNLVKKVIECSGKELTINHDLSKPTVPTSLFLDCTKAREELGWSPKYTLEEGLQKTIAWYKE---------- 137223667 ----KYVVTGGAGFIGSNLVDYLIDKNNEVHIVDNFLSGKQNCHPRAVLHEIDIAEDKNLDAIRNIFIDAAIFHCAAIARVQPSILNPIHYEKNNTIGVVNSLKAAVDSKVKRFIYSASSSAYGPTEKLPSIETDPVNPISPYAAQKYYGEVACKMFSEVYGLETVSLRYFNVYG-------------------------------------------------------------------------------------------------------------------------------------------------------------- 135238665 ---RTILVTGGAGFIGSNYLNRFVRQNYFFINVDALTYAGSLDNIDYVFEHVNICNTTSLSKVFDRYTPTDIIHFAAESHVDNSIKSPGIFIETNIVGTENLLELARVHTIQRFHFISTDEVYGSLDDTPVTESAPLLPRSPYSASKAGAELLVRAYHETFGLNTVITRASNNYGPHQ----------------------------------------------------------------------------------------------------------------------------------------------------------- 134803722 ---------------------------------------------------------------------DSVIHFAGLKSVSDSIIKPLEYWEWNVNSTLSLLLSMNKFNCNSIVFSSSATIYKPVDKEKITEDSFKDPINPYGNTKITIEKILRDLYDREKWKIINLRYFNPVGAHESGLIGEDPLGPSNLFPTLGKVISGEMNNLYIYGNDWPTKDGTCVRDYIHVMDLSDAHFASLMFKKNSPQFNSVNIGTGQGFSVLEIVKKYSEVNNIEIPFKFANRRDGDASFVVADNRFALKLLDWVPKKTIEESC------------------- 143181875 ----KIYVAGHRGMVGSSILKKLQEQGHDIEIITRSRDS------------LDLTQQEKVEEFIKKNQPDQIYLSAAVGGIFANNTYPADFIYQNLMIQNNVIHSAYKFGVKKLLFLGSSCIYPRLAEQPMKEEGSLEPTNPYAIAKIAGIKLCESYNRQYGVDFRSIMPTNLYGPG-DNFHKEESHVIPGLIRRFHDAKESNERSIKIWGSGNQLREFLHVYDMASASIFIQNMNFDEFNKKTKPMLSHVNVGSNFEIRIKDLAKMISNEVQYKGEIIFDDSKPDGSPRKLMDSNLINQ-FGWHPEIQLQQGITETYDWFLKNKENF----- 135919299 MKNKKIYVAGHNGMVGRALVRYLKKEGVRNIIFANRKS-------------LDLLNTKELEKFIKFKKPDIVINCAGVGGILANSSYPVEFINENILIQLNLINLAYKHKIKHFVNLGSSCIYPKNSKQPIKENELEKTNEAYALAKIVGLKVCEFYNQQYKTDFFTLMPCNLYGP-----YDNFHLRNSHFIPALIKKFIEAKRRKKNVVEIWGSGL--PRREVMHVDDLANAIGYLLKLKINNNDNSLINVGSGQEFQIKKFAEIINKLTFSNKRLKFNKKFPDGTKRKILDISILKKI-GWKSEINLYDGLKNTISWYKA---------- 300114249 ---KKALITGVTGQDGVYLVEFLLKKGYEVHGIDHLYQDPHESERRFILHYGDLTDATNLIRVIQEVQPDEIYNLAAQSHVAVSFETPEYTANADALGTLRLLEAIRIEEKVRFYQASTSELYGKVQSVPQNEQTPFYPRSPYAAAKLYAYWITVNYREAYGMYACNGILFNHESPVRGETFI------TRKITRGLVRIALGLQSCLHVGNLEARRDWGHARDYVKAQWLMLQQSWPDDYVIATGEQHSVREFIEQAAQVLEEIAVVRAVAGQAMIIRIDGRRPTEVETLLGDASKARRELGWAPQIAFDGLVREMVMEDLKYAK------- 142622780 MMGKRALITGITGQDGSYLSEFLINKGYEVYGAVRRTSSELDILNKINLVAMDLAEITNIQRVIEEIKPDEVYNLAAQSFVQTSFDQPIYTSEIDAIGVTRILETIRMGGNIKFYQASTSEMFGKVQTSPQNEETPFYPRSPYGVSKLYGHWMTVNYREAWDLHAC------------SGILFNHESPLRGIEFVTRKITLGVAEITKKKKKSISLGNLNAKRDWGYAGDYVNAMWLMLQKKTPSDYGEMYSVREFVEKAFNAVDTEIEWVLDDGVDINKDFNRPAETDYLLGDATKAKEELNWKPQVNFNTLIEMMVK-------------- 170747482 ---RRILVTGAAGFVGGHVLPLLARAGDCVAGIGR---GRSPRLPEGVTYAIDLLDEAALGGFVARFRPTAILHLAGLASVADSASGPGQTWRVNVNGLMNLVAAVEAVPGCTFFFVSSGEVYGSAAGHALSEAVEPLPRNTYARSKWVGEQLLRDLLPRIGVKLVVLRPFNHIGPGQD-----------ERFVVASFAGQIARIEAGLVPPCLEVGNLSSYRDFLDVADVANAYADLIGRADSLADGSVFNISSGQPRTIASVLEDLRARARVPFEIRVAPERPTEIPLAAGDAGRLHAATGWQPRVSWDAALTRVLD-------------- 307719255 ----RALITGITGQDGSYLAEFLLSKGYEVHGLDHLYTDPHNPGVRFFLHYGELSDSGRLTNLIYEVQPHEVYHLGAQSHVRVSFDMPEYTGDVTGLGTTRLLEAIRRSGVKTKFYQASSSEMFGAAPPPQNESTPFYPRSPYAAAKVYAYWMTINYREAYGLFACNGILFNHESPRRGETFV------TRKITRGLSHILAGKEKKLYLGNLDAQRDWGFAPEYVTCQWLMLQQEEPDDYVIGTGETHSVREWRGKGIETKGIVKSVREEWSDKIVVEIDPRRPTEVDVLLADATKAEKRLGWKPRVTFTQLVRIMVD-------------- 290477278 -----IIVTGGAGFIGSNIVKALNDEGYKDIVVDNLKDG----TKFVNLVDLDITDYIDKEDFIAFGDIDAIFHEGACSSTTE--WDGKYMMDNNYQYSKDILHYCLERQIP-FLYASSAATYGGRSDNFIEERQYEKPLNVYGYSKFLFDQYVRDILPQADSQICGFRYFNVYGPR------EGHKGSMASVAYHLNNQIHQGQNPKLFAGSEHFR-----RDFISVSDVAAVNLWFWKNSI----SGIFNCGTGRAESFQAVADAVQEKSPVVEYIEFPEKLKGRYQSTQADLTKFRAA-GYKPFKTVAEGVTEYMQW------------- 140444891 ----RVLVTGADGFIGSHLVEALLKAGHQVRAFCLYNSGWLDSLPPAAKAQGDIRDPLCIREAMRGC--DQVFHLAALIAIPYSYVAPASYIDTNIHGTLNVVQAARDLGVQRVIHTSTSETYGTAQFVPITETHPLVGQSPYAASKIGADQIALSYWRSFETPVAVLRPFNTYGPRQS---------------------------------------------------------------------------------------------------------------------------------------------------------- 143648997 -----------------------LDKGHFVYCIDNLSTGNLVNIKYINNPNFEFINHDIINTL-PRFNIDLIFNLACPASPIHYQMDPIKTMKTSVLGTYNIIDLALMENA-KIIFTSTSEIYGDPLEHPQKETYWIGIRSCYDEGKRCSETILLDYHKFQKLDVTIARVFNTYGP--------QMMTEDGRVVSNFIVSALENKDILINGDGLQT------RSFCYVDDTVNALISMID----INDFGPFNIGNPNEITIKELSQIIIELTSSKSKISFKPLPKDDPLKRKPDISLAMKKLNWQPEIDLNKGLIETIKFFRK---------- 183597234 -----IIVTGGAGFIGSNIVKALNDAGRTDIVVDNLKDG----TKFANLVDLDIADYIDKEDFIAFGDVDAVFHEGACSSTTE--WDGKYMMDNNYQYSKELLHFCLEREIP-FLYASSAATYGGRSDNFIEDRQFEKPLNVYGYSKFLFDQYVREILPEAQSQICGFRYFNVYGPR------EGHKGSMASVAYHLNKQINEGQQPKLFEGSENFR-----RDFIYVGDVAAVNLWFWQNNI----SGIFNCGTGRAESFQAVADAVTQFHSDKNYIEFPEKLKGRYQSTQADMTKLRTA-GYKPFKTVAEGVAEYMQW------------- 139081999 ----KVLVTGGAGYIGAHVASELLNDGYSVRIYDDFSNGLHRRVKFRDIVEGDILDREKL--IQAMHGVDAVIHLAAKKAVEESVKNPLKYYENNVGGTLNLLAAMSVKGVKKIVFSSSAAVYSPNDKDAIEETDPTVPLSPYGATKLLSEELISSVGGAEQISHVSLRYFNVVG-------------------------------------------------------------------------------------------------------------------------------------------------------------- 141126102 MKKKSILVTGGAGFIGSHVVRRLLKQDSRIINLDSLTYGNLENIKDCHFKKESINNIEVLQSLFKTYSFDAIVHLAAESHVDNSIKNPLGFAKTNIQGTLNLLEVARQNWQNRFYHISTDEVFGLGIKGQFNEYSSYDPRSPYSASKAASDHLVRAYYHTYGLPILISNSSNNYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 143910896 ---RNALVTGGCGFIASNFLNIMKNRYPETVNIDKLSNVHNVNPGVAIFVKGNIGNAELIEHLIEEYKFDVVFHFAAQSHVDNSFENALSFTIDNTHATHVLIEACRRHIPNVFIHFSTDEVYGEKTNEPFTEDMVLRPTNPYSASKAAAEMIVRSYIESFGMNIKIIRCNNVYGPN--------QYPEKLIPKFKQLIKEGKKC------TIHGTASSQIKRAFMHVEDVVDAVELI---WVKGIPGEIYNIASDNELTVMEIIETITGTTNYDDWITYVDDRPFNDKRYYICAQKLKQ-LGWSQKKTREDLI-HFLKYY------------ 256831032 MEREKIYVAGHRGLVGSAICRRLEKDGFGNI-------------LKRTSSELDLRNQQAVEDFFAAEKPEYVFLAAAVGGIHANDTYPADFIRDNLQIQTNVIDAAYRHGARKLLFLGSSCIYPKLCPQPIKEEYLLATNRPYAVAKIAGIEMCWAYNRQYGTRYLAVMPTNLYGPGDNYDLHASHVLPALIRKAHEAKVRGDR-------SFMVWGTGTPRREFLYSDDLADACVFLMDAMFGDHEPPLINIGCGEDVTIAELAGMVAEVVGFEGEVEFDSSKPDGTPQKLLDVSRMRGI-GWRPNVALPVGIGLAYKDFQE---------- 143834498 -KNQKIYLAGHNGMVGAAISNQLNSAGYKNLVTKDRKS-------------LDLTNQDDVRRFLETEKPDWVILAAAVGGISANDTYPAEFIYENLMIEANVIHQSFQSDIKNLIFLGSSCIYPRLCPQPIKENGPLEPTNPYAIAKIAGIKLCQSYNRQYSTNFRCLMPTNLYGEK-----DNFHLENSHVIPALLSKFHEAKVKGSDFVEVWGSGQV--MREFMHVDDLAKACLFMMQLEVDSPEYIHINVGMGKDISIYQLSKIIKKIVGFEGKIEFNKDMPEGTPRKLLDVSCLQA-LGWNASIELEEGLSNVYEWYKENIEDLRK--- 126736255 ----RIFLAGHNGMVGSAILRKLVARDHDVITV--------------AHAALDLTNQAAVRSFVWAKKPDVIIAAAKVGGIMANDTKPADFIQDNLMIASNLIQEAHAAGVQRLIQLGSSCIYPRDTAQPIPETGPLEPTNPYAMAKIAAIKLCESYNRQYGRDYRSLMPTNLYGPG-DNFHPDHSHVLPSLLRRYDDAVRSAQRAVTIWGTGAPRREFLHVDDLADAVLFTMGLSQDQYAAATRPMQSHLNVGTGKDISILKLAHMVADLTGFCRDTHTDPSKPDGTPRKLLDTS-VMQSLGWAPKISLHDGLAQTYDWYR----------- 94309673 ----TIIVTGAAGFIGSNIVKGLNERGETNIIVDNLTRA-EKFNNLVDCEIADYLDKTDFVARFARGDFGAVFHEGACSDTME--TDGRYMMENNYRYTLALLEACLEQGAQ-FLYASSAATYGA--STMFREDDYEKPLNVYGYSKFLFDQVVRRRLPSAHSQIVGFRYFNVYGPR------EFHKGRMASVAFHHFNQFRAEGTVKLFGEYNGYAPGTQSRDFVSVEDVVKVNLYFLDHPEKSG---IFNLGTGRSQPFNDIAVSVVNALRESEG---------------------------KPALSLDEMVQEGLVEYVKFPDALRGKYQ 298528878 -AGRRVLVTGHTGFKGSWLCLWLEMLGAKTFGFTDLSHFYLSK-PEVLCRTADIRSLQEIREAVKYARPDVIFHLAAQPLVRRSYFAPLETLHTNVMGTANLLEACRHIDSVRAIINITSDKCYEDQGNGCMENDPMGGSDPYSASKGCAELVIRAYRDSY-FPVHTYHHTLVASARAGNVIGGGDWGADRLLPDIIRAAAAKK--------QLKVRNPGHIRPWQHVLDPLCGYKYLLEGRSEYAGAWNFGPAGDTRVTVASIVEMVQNHWDFSYTFAHETEPLPETATLHLDSSRARKSLNWEPVWDVSDSVQKTVDWYRSFYHN------ 143933816 ------LITGITGQDGSYLAEFLLEKGYEVHGIERRSSYTESRIKNLHMHYGDMTDLPALTDTIKSVQPNEIYNLAAQSHVGLSFKMPVYTSEVDGIGVLKILEAIRQIKTCKLYQASTSELFGKVREVPQNENTSFYPRSPYGVSKLMGYWSIVNYREIYGMYACNGIMFNHESPRRGENFV------TRKITLGAANISLGKQDCLYLGNLNSERDWGHARDYVECMWKILQQEEPDDYVIATGETTSVRDFVRIAFKHVDIDLKFERTGKVVVRVNPEFFRPTEVDKLLGDPSKAIQKLNWNPRTSLEKMIEEMV--------------- 302038311 ----NALITGITGQDGSYLAEFLLAKGYDVYGIIRRSSSFNTARIDGIYQDGDLNDASSLNKIIRTVQPDEIYNLGAQSHVRVSFDIPEYTAEITGLGTVRLLEAIRESGRPKFYQASSSEMYGKVQEVPQRETTHFYPRSPYGAAKVYAHWITVNYREAYGLFACNGILFNHESPRRG------------ETFVTRKITKAAARIKLGLQQDLFLGNLDAKRDWGYAGDYVGAMWLMLQ----QDEPDDYVVATGETHTVREFLDVAFGHLGLDWYVKIDPRRPTEVDLLVGDPAKAQRKLGWKPTVDF----------------------- 294506062 -TGKTVVVCGAGGFIGGHLTADLLRQGYDVRAVDKPPNQWFQKFPQADNRSLDLREKENCYRALEGADQAY--NLAADMGGMGFIENNKALCMLSVRINTHLLMAARDMDIGRYFYSSSACVYNSADVEPLSEEDAYPALDGYGWEKLFSERMCRHFREDFDVTTRVARYHNVYGPFGTYDGGREKAPAALTRKAIETKLSGS-------GDIVIWGDGTQTRSFMYVDDCVKGTQKIMHSDI----TEPINLGSDELVTINELVDVIEKAVEVDLGREYDRTKPQGVDGRNSDNTKILQELGWEPPTALRDGMEVTAEW------------- 138153405 ---KKIVLTGGAGYIGSHIFISLVEKGYEPIIIDNFTNSNEAVIKKINFYKCDVTN--NMDELFKNENVFGVIHLAAYKSIPNSLSSPLEYFYNNVNGLISILQAMKNNQCDRIIFSSSCSIYNNNSNTPVTEECQIKGNNPYSLSKIMCEEILQTAFSDPNFRYMSLRYFNPVGAHKSGLIGENVDSEESNLMNEICK-------------------------------------------------------------------------------------------------------------------------------------- 139496190 --NKSILVTGGCGLIGSNFVKKLNNYNYDIVIIDNLSSGYIENLKNISFFKINLNNKLKLEKVFKKYNFEYIFHFAAISNIQTSINNPKKILNNNLNTTKNLILLTKKYKVKYFVFSSSASVYGDVEKSNLKETSSTQPISPYGESKLKCEYFIKKNSVNSYYNFCIFRYFNVVGNHLSKKIVKK---KNLNLFEKISYCIKENKLFKIYGNNLKTSDGTPVRDFIHIDDVV----------------------------------------------------------------------------------------------------- 143098042 --DMKALITGITGQDGYYLAKLLIEKGYEVHGTIRRSSSQFEEVGKLNLHYSDLLDSASLNSLINQIQPDEIYNLAAQSHVAVSFKNPIYTTQTGTLGSVALLEAVRHNNEIKFYQASSSEMYGGINKEMLNETSPLIPKSPYAASKVFAHNMTKLYRESYDLFCVNGILFNHESP------YRGQTFVTRKITRAVGRIVNNLQSKLTLGNLDASRDWGFAGDYVEGMWLMLQHDTPDDWVLATGETHTVKE------FLQKAFEYVDLDWEKYVQTSEKYFRPNEVEHLLGDSTKARKELNWSPKTSFDELVKLMID-------------- 136461800 ----KILVTGGAGFVGTNLIKRLLNEGHEVQSLDNYSTGLKSNEVDSCRYWID--DIQNISTMDK--DFDIVFHMAAIARIQPSFERPHDYIETNFNGTYEVVKFCTNNSIPLVYAGSSSK-------------HSGRFKNPYTFSKDLGEDIIELYQQHFGLQASITRFYNVYGPHQ------LLEGGYTTLIGRWINNIKNGIQCEIYGTGEK------RRDFTHVDDIVDALIMIME---QNAYGYIFELGRGKNYSVNEVASMF------KIDPVYKEDKPGEADITLNDNTLARDILGWNPQKEL----------------------- 142570643 -KGKKVFITGHTGFKGSWLSLWLTSLGSEVSGFSLKPTDKKNLFSKTGLEHGNINDYESLRKAIDLSKPDILFHLAAQPLVRDSYIEPIETLQTNVIGTANILNISRSIETLKSVIIVTSDKCYENQNIPFTEDQPLGGYDPYSASKGCAEIVTNSFRSSFYLDTDTK----IASVRAGNVIGGGDWAKDRLIPDVIESIKN--------GKKIILRNPSSIRPWQHVLDPLSGYMLLAEKMISSDKDYDSAWNFGDEKRVDWIVDQLILMSGKNISWEQDTTYPHEAHYLRLDSTKAISLLGWQPKWDIKHAIKNTSLWYEQNYEG------ 142157969 ------LITGITGQDGSYLAELLLEKGYVVHGIKRFNTNRIDHLYQDPHEYGDLTDSSNLIRIIQDVQPDEIYNLGAQSHVAVSFEAPEYTANCDALGTLRILEAVRLSQRTRIYQASTSELYGLVQEIPQRETTPFYPRSPYGVAKLYGYWITVNYREAYGMYACNGILFNHESPRRG------------ETFVTRKISRGLARIDAGLDGCLFMGNLDSLRDWGHARDYVEMQWRMLQ----QDAPEDFVIATGRQESVRRFIELSAAALGWDVVVRIDPRRPAEVDTLLGDPSRAHERLGWAPTTTLEELVKEMVE-------------- 301169856 -----IIVTGGAGFIGSNIVKALNDLGRKDIVVDNLKDG----TKFANLVDLDIADYCDKEDFIAFGDIDAVFHEGACSATTEW---DGKYIMHNNYEYSKELLHYCLDREIPFFYASSAATYGD-TKVFREEREFEGPLNVYGYSKFLFDQYVRNILPEAKSPVCGFRYFNVYGPR------ENHKGSMASVAFHLNNQILKGENPKLFAGSEHFR-----RDFVYVGDVAAVNIWCWQ----NGISGIYNLGTGNAESFRAVADAVVKFHGKGEIETIEHLKSRYQEYTQADLTKLRS-TGYKPFKTVAEGVAEYMAW------------- 135427614 --KKKVIVTGGAGFIGSHLVELLVKKGYKVLVIDNFETGRPDNLTKIRKKITNITNYEKIENYFRNC--LYVFHLAALADIVPSIENPEKYYNTNVTGTLNILKASKKFRIKKVLYAASASCYGVVKTFPTDEKNKIMTEYPYALTKNLGEQLMTHWSKVFKISTISLRLFNVYGLRSRT------TGAYGAMFGVFLAQKINKKPLTIVGN------GKQSRDFTYVTDVANAFY------------------------------------------------------------------------------------------------- 47226915 -DRKRILITGGAGFVGSHLTDKLMMDGHEVTVVDNFFTGRKRNVHWIGHENFELINHDVVEPLY--IEVDQIYHLASPASPPNYMYNPIKTLKTNTIGTLNMLGLAKRVGA-RLLLASTSEVYGDPEEHPQNEEYWIGPRACYDEGKRVAETMCYAYMKQEGVEVRVARIFNTFGSRM-------HMNDGRVVSNFILQALQGEPESEFSLPRLVYGTGSQTRAFQYVSDLVN---------------------------------------------------------------------------------------------------- 137680969 ------LVTGAAGFIGSNLVDYLLEQGHQVVCVDNESANNDKFYWHKDTYNVDITDYKTMKNAFTNV--DYVFHLAAESRLQPAIENPIGAVEKNCVGTTVMLQCAREAGVKRFIYSSTSSAYGNN-SYPNVETQPDDCLNPYSASKAAGEKFCKMYYNLYGLETVVLRYFNVFGDR------------------------------------------------------------------------------------------------------------------------------------------------------------ 136333839 -------------------------------------------------------------------------------SVSDSIIDPLNYWDVNVNGTINLLSVMKKNNCNNIVFSSSATIYNSRIKEKIDENTELKPINPYGNTKLVIENLLNDLSKRNKWRIANLRYFNPVGAHSSSYLGEDPKKKVNNFPSIFDAIQKKTEYLPIYGSDWPTKDGTCVRDFIHIMDLAEAHLLVLNYIKNEPQNLKLNIGTGQGFTVLEVVNTFINQINLDLKYKFVDRRMGDYPEVVADNSLAKSILKWEPKRNLLDMCIDSWNW------------- 142278302 MKKKIALITGITGQDGSLLARFLLKKNYEVHGIDDIYQDINEKNKRLILHYGDLVDTSNMLKIIGDANPDEIYNLAAQSHVKVSFELPEYTSMVDAIGCLKILEIIKTLKIQKFYQASTSEMYGSSP-PPQNEKTQFKPTSPYGAAKLYAHWITRSYRESYKIFASTGILFNHEGP-----YRGDTFVSKKIVKAAVKIKNGKQKKLYL-GNLYAKRDWGDAEDYVAAIWKILQQKNPDDFVLATGKSYTIKEFASRTFKKLDMKGYIKNTNKEVVVIDKKYFRPFEVDHLKGDPSKAKRVLKWKPNTSLDRLITKMINFEIKN--------- 222055218 --GKKIFVTGHTGFKGSWLCLWLHQLGAEINGLDPPTNPSLFKLLLQAHTVADIRDGAALTAAMQKAQPEIVIHMAAQPLVRDSYAIPVDTYAINVMGTVNLLEAVRNCPSVKAVVNVTTDKCYENKEWVWRENEAMGGYDPYSSSKGCSELVTSAYRQSFFNPLHYQHNVAIATARAGNVIGGGDWANDRLIPDIVRSLLA--------GEPVRIRNPHAIRPWQHVLEPLSGYLTLAQYLFQSGEGWNFGPADNDARPVEWIVNEMCRLWGNGTRYEIDKEHLHEAHYLKLDCSKARMRLNWQPHWSLDEALANIIEW------------- 140677218 -----IFVTGGLGFIGSNLVELLLTKGYRVVVIDFMGIGSNKRNIQKHKNLIDINRTTNIKNYIKKYKPVCIFNLAAETHVDRSIVNPKPFINSNFLGTFNLIETLRNMKLKNFKFIHTDEVFGDISNKKSTEKDPYNPSSPYASTKAAADLLIKSYIKTYKFPCIITNCTNNFGPRQF---------QEKLIPKIILNILNNK-------NIPIYGNGKNQREWLYVEDHCKIL---LSLFQKGKLGETYNIGSGFVKNNLYIAKKILSLTKKKFNIVFVKDRKGHDFRYSLNS-------------------------------------- 296130206 ----RALITGITGQDGLYLSELLLSKGYEVYGLIRGQNNPRETVPGVQLVTGDLTDMSSLIRALEQAQPDEVYNLGAISFVAYSWENALLTSEVTGKGVLNLLEAVRLYAHDRFYQASSSEMFGKVQEVPQRESTLLWPRSPYGVAKVFGHYMTINYRESYGMHASSGILFNHESPRRGPEFV------TRKVSQAVARISLGLQEHITLGNLDAKRDWGFAGDYVEAMWRMLQQDDADDYVVATGETHSI-------RELLDVAFRRVGIDEWAHLVKQDPRRPAEVDLLIGDPAKAHEQLGWKPQVGFEQLVEMMVD-------------- 150400819 FKGKKILITGHTGFKGSWLTQVLLNSGADVIGYSNLFNILNLEKKIKNYFE-DVRDYSKIKEIIEKEKPEIVFHLAAQPLVRDSYDDPLFTYETNVIGTANILQAIKETKCVKSAVIITTDKVYENKEWIWRENDELGGYDPYSTSKACAELVVRSYIRAFFNTKDYGDRHNTASVRAGNVIGGGDWSKDRLIPDIIRAIFEKD-------EQIIIRSPESIRPWQHVLEPLLGYLLLAQHLYNGKGAWNFAPNEENFINVEKLVKKGIEILGTGKYTVKRDDSKHEMKILKLDATKAKTYLKWKPVLNIDETLNWTFEWYRKY---YDNE-- 85714623 ---RRVLITGVTGQDGAYLAEFLLGKGYLVHGVKRFNTARIDHLYSDPLHYGDMTDSTNLIRLVQQIQPTEIYNLAAQSHVGVSFESPEYTANADAVGVLRTLEAIRMSDKVRFYQASTSELFGLAQEIPQSETTPFYPRSPYGVAKLYGYWITVNYREAYGMFAANGILFNHESP-----IRGETFVTRKITRAVARIEIGLQETL-FLGNLEAKRDWGHAKDFVEGMWKILQADKPDDYVLATGECRSVREFV--EIAFAEIGRRIEWQGRGVDETGVDARRPTEVDLLVGDASKARAELGWQPKTSFSQMVKEMVA-------------- 87123116 ---KTALVTGVTGQDGSYLVELLLSKGYIVHGIDSLSLDSGIYKKRFDLHYADLTDSASIINVIAKTEPDEIYNLGAQSHVAVSFNQPEYTADSNALGTLRILEAVRMSSKVRIYQASTSELFGKVQEVPQRETTPFYPRSPYAVAKLYAYWITINYRESYGIYACNGILFNHESPRRGLNFV------TRKITLTLSRINEFGHGCLMLGNLDSLRDWGHAKDYVEMQWLMLQQDKPQDYVIATGDYSAEALGWGPLRWDGHGLDEVRDTNEIVVRVDSHYFRPSEVASLLGDSFLANKNLGWKPTYSLKELVHDMIQ-------------- 143243460 ----KVLVTGSEGLVGSSVIRVLK------------NSPKVSSVVPSSRRDTNLFDKAETENLINETKPDILVNCAAVGGIHANNTNRSDFILENLKININLLEACIPNNEIKIINLGSSCIYPLNAQNPIKETGQLEPTNPYAVAKITAIEIGRSITHEFGHKILNLMPTNLYGPNDYFSPIDSHVIPGLLTRMHDSKLNNQ-------SIFEIWGTGKPLREFMFVDDLSSSIEFMLDKEW---EYDLINIGTNQEISILELGNLIKKIVKYEGDLVFDSTKPDGNPRKLLDSSLITS-LGWKPSVDLEEGLKITYDWYLEN--------- 144042464 -------------------------------------------------------------------------------------------------------------------------VYGVPDKIPVKETASIKPFSPYGYTKQVGERILNDFFKSLPSSSLTLRYFNPIGAHPSGLIGELPIGPSNLVPYITQTAIGKRNKLTVFGKDYDTPDGTCIRDYIHVVDLANAHVSALEKGLKSKDSIKLNVGTGKGASVLDVITAFEKVSKQELNYGFGPRRAGDVPKIYSDNTLIKNELDWDAKFSLEDCLEHSWNWEKN---------- 135371244 ----KYIVTGGAGFIGSHVVEYLVNHKKKVVILDNLSTGRLENIKKFKKKVKFICDISKKGNWINEFKGVYVIHLASVADIVPSIQFPEKYFNFNVKGTLNILNACRNCKVLKLIYSASSSCYGIPKKFPTKENEILKPMYPYALTKKMGEDLLVHWSRVYNIPFISLRLFNVYGTRSRT---------SGTYGAMFGVFLAQKISNKPF---TIVGNGKQKRDFTFVTDVVN---------------------------------------------------------------------------------------------------- 254472891 --GKTVFVAGHKGMVGGALMRRLERE--------------DCSLLTADRKQVDLTAQNETLMFLQDTKPDVVIVAAAVGGIWANNEYPADFLYENLAIETNLIRGAYAANVQKLLFLGSSCIYPKHASQPISEEAPLEPTNEWYAAKIAGIKLCQAFRKQHGCDFISAMPTNLYGPGDNFDLSTSHVLPALIRKVHEAKVSGST-------AFEIWGTGAPRREFLHCDDCADALVYLLKNYSA---NEHINVGFGTDISILELAEKLASILGFEGSIEKDTSKPDGTPRKLMSSERLAQ-LGWKPSISLDQGIAETYSWFLQH--------- 283824743 MSKKKALITGVTGQDGAYLSQLLLGKGYEVHGI--LRRSASAEVIDARLKWLDITDISSLIRIIQKVEPDEIYNLGAQSFVKSSWQQPVLTGQVTAIGVSNILEAVRIVAPQRFYQASSSEMYGLIQEPMQSEKTPFYPRSPYAVAKLYGHWITVNYRESFGLHASSGILFNHESP------LRGIEFVTRKITDGVARIKLGKAKTLALGNLDAKRDWGHARDYVKAMWLMLQQDKPDDYVVATGRTETVREFC------RLAFGHVGLNYEDHVVVDSRFMRPAEVDVLLGDSSKARKFLGWAPETTLEQLAAEMVE-------------- 143163037 --SKVALITGITGQDGSYLAELLLELKYEVHGIVRRSTHRIDKIYDDIHHYGDLTDATNMISVIQKIQPDEIYNLGAQSHVKVSFELPEYTGQVDGLGTLRVLEAVRMEKKTRIYQASTSELYGLVQATPQTETTPFYPRSPYGVAKLYGYWIVKNYREAYGMHCSSGILFNHESPRRGETFVTRKITQGLSRISVGLQDCLY------LGNLDAKRDWGHAKDFVEAMYLMLQQDEPDDYVIATGEQHSVREFVEEAAPIIEWMGEGLDEVGYDWNIRVKERRPAEVESLLGDPTKAKEKLGWEPTTSFKQLVEDM---------------- 170747683 ----RVLVTGHTGFKGAWLVAWLLDQGAEVMGLDRPSLFRDLALARMRSAVVDIRDAEAVREAVAAFRPEIVFHLAAQALVRRSYADPLETFAVNVMGTAHVLEAARHTDSVRAVVCVTSDKCYENREWVWRESDPLGGKDPYSASKAAAEIVAGSYRQA----LMPGSRLRLATARGGNVIGGGDWSEDRLVPDLVRAIRSRAP--------LVLRNPDAVRPWQHVLELLHGYLLLGARLLAADDAAVGAWNFGSEVAVRRLVEALAAWGGDPIPVQIEASALREAGILKLDAAKAEAELGWRPRLGFARTVRLTMEWYRDY--------- 136296855 ---------------------------------------------------------------------------------RHSIENPRAYLDSNLIGFHNIIEQCRRCKINKLIYASSSSIYGLNEKIPFNVNDKTDPVSLYGATKKSNELVAHAYSHLYGLKTIGLRFFTVYGP--------WGRPDMAYFSFTKKIIEGRKIEVFNHG--------NMQRDFTYIDDIVDGIRNAIEKDFN---FEIFNLGNSKSEDLMTMIGIIEKELNIKANIVFKNMQAGDVFKTYADIKKSSKMLKFKPKVSLQDGLKRTIDWYKNF--------- 138965860 ---RNVMVTGGCGFIASNFLNIMKKRYPEIVNIDKLDYSNVENVEPGTFIKGNVGNAELIENLIKEYKFDAIFHFAAQSHVDNSFENALSFTMDNTHATHVLIEACRQFDVEFIHFSTDEVYGESKTDVPFTEDEVLRPTNPYSASKAAAEMIVRSYIESFGMNIKVIRCNNVYGPNQYP----------EKLIPKFKRLLKEGKKCTIHGKNCA----NIKRAFMHVEDVVDAVDIVWEKGT---PGEIYNIASDDELSVMEIVETIKGTTDYDKWITYVDDRPFNDKRYYICAKKLK---------------------------------- 136708112 -KNLKVVVTGSTGFKGSWLCFWLYLLGSKVTGIDSILFKNLQIFKKINQKYLDIRNFKLLNDVIKKEKPDLIFHLAAQSVVSESFYDPLKTLSTNIMGSSNILETVRLNKIKNLVFITSDKCYNDGRNSSYKETDKLGGEDLYSSSKACAELTFEAYLKSFYTNNNKIKLTSV---RAGNVIGGGDMKKDRIVPDV--IKFIKNNSPILIRSPFATRPWQHVLEPLSGYLKLGSLSFNDKISVNLSPNWNFGPNVVNNKNVLEVVKKIVFYWGIKKKIKIIKNKNFEAKLLMISNTKAKNELNWYPRLNFDETIKLTVDWYKSYYN------- 226941716 ----KVFVTGGLGQIGSHVVEMLLERGDHVVAVDNLATGRREHLADHPNVVIDIANKPVMDKLIGDFKPDAIVHTAASYKDPDDWYSDTLT---NCVGGANMVDAAKNFGVKRFIYFQTALCYGLPMQQPIRLDHPRFPGSSYAISKTTNE----YYLELSGVDFVTFRLANVIGPRNVAGPLPIFYQRLKDGKQCFVTQ--------------------ARRDFVFVKDLARVVLKACDGI----GHGAYHFSSGKDVAIQELYDAVVEALDVPGRPEVKELGPDDVFSILLDPSRTFEDFGKIEFTPLQETVSAAMAYYQQH--------- 86748650 -SSKRVLITGVTGQDGAYLAEYLLGLGYTVHGVKRFNTGRIDHLYQDRHHYGDMTDATNLIRLIQEIQPDEIYNLAAQSHVAVSFESPEYTANSDAIGVLRLLEAIRMEKKTRFYQASTSELYGLVQQIPQTEKTPFYPRSPYAVAKLYGYWITVNYREAYGMFASNGILFNHESP-----IRGETFVTRKITRSIARIELGV-ENCLYLGNLDAKRDWGHAKDYIEGMHKILQASEPDDFVLATGETRSVREFVGRSIEWLDEIGIDKASNKVVVRIDANYFRPTEVELLIGDATKAREKLGWTPKTTFAELVKEMVA-------------- 140931711 ----KILVTGGNGFIGSNLVRALCARGHQVVSIDDLSTGKEINETRTAAYL-----YEDIEKVYDGTEVDVIFHLAALARIRPSFKYPSEYFRVNVKGTEIVADWAKNYQV-KMIYAGSSSIHNNPFS------------SPYAAYKAMGENIVNMYRTSYNLDAHIARFYNVYGPN------EIEEGEYASLLGIWRSMMKKNHPLPIIGD------GEQVRDFTFVDDIVDGLLRIMDY--GGKDNHIWELGTGFTYSVNELARLFGQKYKGGT--VTLPDVPGNYRESYRMDDKAIDILGWEPK-------------------------- 142885714 -KNKTVLITGHTGFKGSWLTFWLNQMGAKIVGYSLEPPTSPNHIQYLDFDIGDTRDGDALNKVFNEHKPDIVFHLAAQPLVKFSYENPLETYETNVIGTLKTFEACKKYDVKAIVNITSDKAYENQESRGYKENDPMGGHDPYSSSKGCADLLANSYRKFNILNYKKTHNTLLASCRAGNVIGGGDWAKDRLIPDIVLSVSE--------GKKVRIRNPKATRPWQHVLEPLSGYQRLLEEKKEYGDAWNFGPSNEASITVEEVVKNVETHWDKDYEIVEDSNQFHEANLLKLDCSKANNDLNWTDVWSSQKTFEKTINWYKAFYE------- 187926901 -AGKRVFLTGHTGFKGSWLALWLRQLGAEVYGYSNLFTAADVEPALAGHTLADIRDAEALRIAMTAARPDVVFHLAAQPLVRASYNDPVGTYATNVMGTVNVLEAARGCTNLAAIVVATTDKCYDNREWAWRETDALGGHDPYSASKACAELVVASYRRAF----FANGPLLATG-RAGNVIGGGDWSEDRLIPDAERAMRA--------GAPLVIRSPHATRPWQHVLDCLHGYLLLAQRLLAGDAACATAWNFGPDSAATRTVEQVLQGLQHHWPTLIWQLDAHEAGMLHLDASRARQQLGWQTAWPFETALEQTAAWYR----------- 142396760 ---------------------------------------------------------------------------AALKSVPESIKEPLKYYKNNITATLILLEVMREYNCKKLIFSSSATVYGNSIS-PLSENTGIGITNPYGQTKYMMEKILEDYSKSNKINITILRYFNPVGAHSSGLIGENPNNKVSYQNNKNIFIDKRYKELKIFGNNYQTIDKTCIRDYIHVVDLAEGHISAL--NNNKEGLNIYNLGTGKGTSVLELIKTFERVNNVKVPYSYSDRREGDLEKVYCMAYKAEKELNWKSRLTLEDICKDAWNYMVK---------- 143896233 MLGENILITGITGQDGHHLTKFLLGKGYKVAGLVNREDSFTRLFPEVNLVQGDLTDFSSLLQIVHDIQPDEIYNLGAISFVGLSFKQPELTANVTGLGALRLLEAVRKEKSIRFYQASSSEMFGKVRETPQNELTPFHPRSPYGVAKTFAHYTCVNYREAYEMHISSGILFNHEG-----EYRGHEFVTRKITSNVARIKLGIQNKFSL-------GDLTPQRDWGYAGDYVEAMWKMTQVENPS----DYVIATGNTRSVRDFVVAALTASGLEPDYDMAMKRPSEVDLLVGDATKAKRELDWQPTTTFEELVGLMVE-------------- 118581805 ---KKALITGITGQDGSYLAELLLEKGYQVHGMSSFNTGRIDHLYRDPHEKGDLNDASSINTLLRTIRPDEIYNLGAQSHVRVSFDVPEYTAEVDGLGAVRLLEGIRETGNTRFYQASSSELYGKVVETPQKETTPFYPRSPYACAKAYAFYITMNYRESYGIYACNGILFNHESPRRGETFVTRKITRAAARISLGLQDCLY------LGNLEAKRDWGFAGDYVEAMWLMLQQEQPEDYVIATGETEMVFQRLGMPLEWRDEVGVDTKSGNSVIRIDPKYFRPAEVDLLLGDPSKARRQLGWKQKTSFRELVEMMAD-------------- 141583269 --KMKILITGSAGFIGSALSIKLLNKGYSVVGIDNHNKYYDVSLKEARLKRMSIENREGLEKTFKDEKFDIVINLAAQAGVRYSIENPLVYIDSNLLGFANILEGCRHNKVKHLIYASSSSVYGLNAKQPFTHEGTNHPVSLYAATKKANELMAHAYSHLYNLPTTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 135631552 ---KTAIVTGGAGFIGSYMVDKLLHENYKVRIIDNFSGGHEKNLRHIKSNNLDICKLNYNNSVFK--EVDVIFHFAGLGDVVPSIQKPIDYFNVNVQGTVNLLNATKQNLIKHFIYAASSSCYGIA-SVPTKENDPIDPQYPYAMSKYLGELSVMHWRKVYKINTKSIRIFNAYGRRVRT------TGAYGAVFGVFFKQKLEKKPLTIVGD------GSQKRDFLHVVDVAEAFFQ------------------------------------------------------------------------------------------------ 138603871 ---------GAAGFIGSNFVRYWFQKQDNIIALDALTYAGNKTNLKAHFIQGSICDQNLLDKLFTEFNIDCLVHFAAESHVDRSIDNPDAFITTNVVGTHHLLESAKKHWDYLFHHVSTDEVYGSPTDPAFTEASSYAPNSPYAASKAASDHLVRAYHRTYGLKVTTSNCSNNYGP--------CQFPEKLIPLCLLNILNGKPLP--------IYGEGTQVRDWLYVDD----HCRGIELILKGGKGETYNIGGNNEWTNIEVVQFMCEAIQDKF--------------------------------------------------------- 136078342 -----------------------------------------------DFVWCDIVNESHVNYLFDKHRPSKVFHFAAESHVDKSISNSNPFISTNINGTINLLNASLKVEVEKFHHISTDEVYGSDDKDLFREETPYDPRNPYSATKASAEHFVTSWHNTYGLPYVITGCSNNYG---------KGQHFEKLIPKVIMNAMNNQVTYMYDGG-------EQIRDWIHVMDHCGAIWSIDEKKVLNDK---FNVGAGCELQNIEVTRKILDLLHKPHDIGISYERPGQDKRYGTDFSKLTAATGWTPSINFDDGLKDTVKWYID---------- 260203256 ----KVWITGAGGMMGSHLAEMLLAAGHDVYATYCRPTIDPSDLQFNGAE-VDITDWCSVYDSIATFRPDAVFHLAAQSYPAVSWARPVETLTTNMVGTAIVFEALRRVRPHKIIVAGSSAEYGDPSEVPINERRELRPLHPYGVSKAATDMLVYQYHKSYGMHTVVARIFNCTGPRKVGDALSDFVRRCTWLEHHPEQSAIR------------VGNLKTKRTIVDVRDLNRALMLMLDKGEAGADYNVGGSIAYEMGDVLKQVIAACKRDDIVPEVDPALLRPTDEKIIYGDCSKLAAITGWQQEICLTQTIADMFDWRSK---------- 138826941 --------------------------------IDNLNKYYDVSLKKDRFTKIDISNFSSLEKLFKKYKFNIVINLAAQAGVRYSIKNPYPYYDSNIKGFYNILYLSNLYKVSHLLFASTSSVYGDTKSFPTNEQSTDRPLSFYAASKKCNEIMAYSFSNIYNLPVTGMRFFTVYGP--------MGRPDMSLFKFTKLISENKK--------IDLYNKGNHIRDFTYIDDVVNSIILLIKNKSRKKIPYQILNICSNPVKLKKFIKEIENNLYVSSKVNKLPKQLGDVHKTFGDNGLLIKTTNYSPKTNIQLGVKKFIKWYRDY--------- 136468236 ---KKIIITGVAGLLGSRMAEWILANHIEIIGIDDLSGGYQDHIPKGIFHQLDIS-KDDISALFKEVQI--VYHFAAYAAEGLSPFIRKFNYNCNLVATANVVNACIEHNVGRLVFTSSMAVYGIGT-PPFKETAAPAPIDPYGVAKYACEMDIQIAGEQHGLDWCIIRPHNVYGRNQ--NIWDRYRNVLGIWMYQYINGL----PFTIFGDGSQQRAFSSIDDCLLPLWKAG--------NDARASKQIINIGSWKYYTIKEAAEVLKQVIGSGEIKHEQAR--HEVKDALPAHELSVQLLDYQDTTSLEAGLSDMWQWAQQQPK------- 293168227 ----TVLVTGAGGFIGGHLVAQLLADGKEVRAVDV----KPEKEWYQRFDDADVADCSLLDDARRMEGTTEIYNLAADMGGMGFIENNKAECMLSVLTSTHMLMAAREAGTQRFFYSSSACVYADPNVTALKESDAYDPEDGYGWEKLFSERMARHFREDFGIETRVARYHNVYGPEGTFEGGREKAPAALSRKIAEAKLSGNH-------TIDVWGDGEQSRSFMYIDDCVRGTKEIL----AGDNIEPVNLGSSELVTINQMIGILEEIAGITVTKQHDLTAPQGVRGRNSDNTMFHDIYGWEPSISLHDGLEKTYAW------------- 138375396 ---KNILVTGADGFIGSHLCEALIRNGYKVKALVAYNSFNSIGWLEDIDINGDIRDSDFILSLTK--NIDIIFHLAALISIPFSYISPRSYIDTNVTGTLNILQAGKYNNCEKIISTSTSEVYGTARTVPITEEHVLQAQSPYSASKIAADHLVESFVKSYSIPALILRPFNTYGPRQS---------------------------------------------------------------------------------------------------------------------------------------------------------- 138594034 ----------------------------------------------------------------------------------------LKYYENNVCGTLNILAAMAATGVKQIVFSSSAAVYSPNDKAAVEETDATVPLSPYGATKLLSEELISAVGSAEGISHISLRYFNVVG----SALPEFGDNSKDNLVPKVFLAIKEGKRPEIYGTDYPTPDGTCIRDYIHVLDLAKSHVAALKKTEASFVSSIYNVGSGNGYSVREMMDQISQTLGRDINPQESPARAGDSPKLIASTKKIEFELGWKPTATLKEMIDSSWEAERAN--------- 139812597 ------IVTGGAGFIGSNLVEELVKLNRKVIVIDNFSTGKFDNISHIKIIECDIGQKGDWQNYFKNA--TYVYHLAALADIVPSIQNPISYFHSNVTGTLNVLEACRKGNIKKLVYSASSSCYGIPNTYPTSEIEDIRPEYPYALTKKLGEDLVLHWSKVYNIPSISLRFFNVYGLRART------SGTYGAVFGVFLAQKLAGKPFTVVGDGTQTRDFTFVSDICDAM-------------------------------------------------------------------------------------------------------- 237799662 ---QRILITGANGFVGQTLCSRLQQAGHHVIAL--VGAESSLSAQASESVRCDIRDAEGLEHALSRTAPTHVVHLAAITHVPTSFKDPVLTWQTNVMGSVNLLQALQRKAPDAFAFVSSSEVYGEKQGTALGEESACKPMNPYAASKLAAEAAFNEHLRQ-GHRGVVVRPFNHIGARQSPDF-----ATASFARQIALIEAGKQLPELKVGNLLA------ARDFLDVHDVCEAYIALLTLADTREDCGCLNICSGEPTSLETILAHLMALSSGTIEVKVDPMRPSDIPSAFGNNSAMRGFTGWKPTTSLNETLANLLNYWR----------- 137024453 -------------------------------------------------VKLDISNNKKLDKHFKGVN--YVFHLAGLAEIIPSIKNPRKYFINNTLGTLNVLEAAKKGKIKKFIYAASSSCYGMPKKVPTKETEKIDTKHPYAFTKFLGEETVIKYANFFKMPNISCRFFNVYGPRLNTN------GQYGAVFSNFLTQKKKKRPLTIVGNGKQT------RDFIHVDDLTNAFIKLARSNL---KNKIYNLGSGKETSINKIANII------GGKKIFISTRPGDPKRSCANISKIKKDINWKPKISINEGIKR----------------- 294506531 -TDKNVVVCGAGGFIGGHLVADLLRQGYDVRAVDKPPNQWFQKFPEADNRSLDLREKENCYRALEGA--DQVYNLAADMGGMGFIENNKALCMLSVTINTHLLMAARDNDVDRYFYSSSACVYNTSDVEPLSEEDAYPALDGYGWEKLFSERMCRHFREDFDVTTRVARYHNVYGPFGTYDGGREKAPAALTRKAIEAKLSGS-------GDIVIWGDGTQTRSFMYIDDCVKGTQKIMHSDI----TEPINLGSDELVTINGLVDVIEEAVEVDLDREYDLTKPQGVDGRNSDNTKILNELGWEPPTALRDGMEVTAEW------------- 139006170 MNNKNILITGSAGFIGFHLAKKFLENNYNVIGLDGLTNYYDVELKHARRYEFMLEEFKELEKLIIKFQPQFIFHLAAQAGVRYSRENPKSYIDSNIIGTFNILELSKKHKPSHLLIASTSSIYGSNTKIPFHEDDKTHPLSFYAATKKSIEVMSHTYSYNFNLPITILRFFTIYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 134766938 ---KTALVCGAGGFIGGHMVKRLQEEGYKVTAVDIKPVGYQHHEAATNYDRFDLRESLIVENLFRANQFDEVYQLAADMGGAGYIFTGEHDADVMHTINLNVANAVARTSPDTKCFYSSSACIYDPDNPNCEESSAYNPDSEYGWEKLFSERMWRSFARNYGLEVRIARFHNIFGP----------FGTWDGGKEKAPAAMCRKALQAGDGRLEVWGPGTQTRSFLYIDECVEAVRRLMESDVTD----IINIGSDEMISINDLAEMALDIAGINARVVNVDGPVG-VMGRCSDNKLIAEKLGWAPSEPLLEGMKKTMRW------------- 110668874 ----HVLVTGGCGYIGSALIPQLLQNDSHVTVFDSFASSSPQNLFKLSFIRGDIQQYGDVEN--AVHGAETIIHLAAITGAASTHDRREETFAINYDGTENVLRAAGKFGVKNVVFASSCNVYGRAAETEITEHTDPDPLNPYAESKLKAESLLRDAVDTYNFDGTALRMSTNYG--------YAPGVRFNLVVNHFVFRALTDRPLTVYGD------GSNWRPFIHVHDAARAYAHAACNPQQWSQFCYNVGASTQNFQIETIAQAVREELDQTLEITYRDEHPG--PSYHVNFDRL-GETKYQPKYTLQEGI------------------- 135243218 MNSKKILITGAAGFLGSHLVEKLHTLGHTVIGIDNMMGGYEDNVIKGEFHKLDCCDLPKIQEVMKGVDI--VYHCAATAHEGLSVFSPYEITKNNYLASVSIFTAAINNKVKRIVYCSSMARYGD-SKTPFTEDMEPNPIDPYAISKVASEKVLVNLCELNNIEYVIAVPHNIIGPRQRYDD------PFRNVVSIMLNRMMQKKAPIIYGDGEQRRCFSYIDDCI----------------------------------------------------------------------------------------------------------- 170079159 MGNRKALVTGITGQDGSYLAELLLEKGYEVHGIIRFNTDRIDHLYVDPHHYGDLTDGTSLGKLIEKIQPHEVYNLGAQSHVRVSFDSPEYTVDTVAMGTLRILEAIRDYQERRFYQAGSSEMFGLVQEVPQKETTPFYPRSPYACAKVYGYWQTVNYRESYDLFACNGILFNHEGPRRGETFV------TRKITRAIARIIAGQQDKLYLGNLDSKRDWGYAKDYVRAMWLMLQQDKPDDYVIATGETYSVRQFLETAFAYVN--------LNWEDYVAFDRRRPAEVDLLIGDPTKAKKQLNWEPSIDF----------------------- 142689419 ---KTLLVTGGLGFIGSNLIELLLKKRFRVINIDKVSYSSNKNNKNYKFIKCDINNQIKINKILNKYHPDCIFNLAAETHVDRSIDNAKHFIKSNITGVFSLLQVCKFYKKFKFIHISTDEVYGDKLRGRSLENDPYKPSSPYAASKASSDHLVYSYIRTFDFPGIVTNCSNNYGP--------KQHPEKLIPKIIY--NILNNRPLPIYGKGI------NEREWIYVEDHCDALFKIYQKGLIGNFYNIGSDVVLKNNALIKNILSIAKRVGKNVKMVYVKDRPGHD--------------------------------------------- 142467498 MMTSNVLVTGGCGFIGSNFINIMSKKYPNVVNIDKLDYCSNILNVDSTFVKGNVGNAELIEHILSKYTFDTIFHFAAQSHVDNSFDSALSFTLDNTYGTHVLIEMCRRYIPHVFIHFSTDEVYGESKERFTEESAVLKPTNPYAASKAGAEMIIHSYIESFNMNAKIIRCNNVYGPNQYP----------EKIIPKFKKLLRNGKKCTIHGKN----SSNIKRAFLHVEDVVNAVEIVWKKGVT---GEIYNIASDDELTVIEIIKTLLETTDYDKWITYIDDRPFNDERYYICADKLKT-LGWKQNKTREDLIK------------------ 253687705 ---KKAIISGITGQDGSYLAEFLLEKGYEVHGIVRRASSYNTARIDHISEEGDLTDTSNLVRLVKEIEPDEFYNLGAQSHVAVSFESPEYTADVDAIGTLRILEAIRINKKTRFYQASTSELYGLVQEIPQRETTPFYPRSPYAVAKLYAYWITVNYRESYGMYACNGILFNHESPRRGETFV------TRKITRAIANISQGLESCLHLGNMDSLRDWGHAKDYVRMQWLMLQQNNPEDFVIATGYQITVREFVRMGIELEDEIATVKAITGGDVIVKVDPRRPAEVETLLGDPAKAKEKLGWVPEITVNEMCAEMVA-------------- 140688291 ------------------------------------------------------------------------------------------------------------------------------DGIVLDEDSVQHPINAYGASKRAIENILGDYQATYGLNQVIFRYFNVAGADPEAEIGEFHRPETHLIPLILDAVDGKRDSLTIFGTDYDTPDGTCIRDYVHVYDLVEAHVLGLKWLQQGRGSRVFNLGTGDGFSVREVVDHAGQVTKRPVPIIEGARRPGDCTKLVSGSSRAVSELGWSATRSMQQMITDAWRWHQN---------- 143872591 ----NVLVTGGCGFIASNFLNLMKVKYPNVVNVDKLDYSNVENVHPGTFIKGNVGNKELIEHLIEEYRFTTIFHFAAQSHVDNSFTDPLSFTEDNTLATHVLVEAARTCAPNVFIHFSTDEVYGEKTDVPFTEDEVLRPTNPYAASKAAAEMVVRSYIESFGMNIKVIRCNNVYGPNQYP----------EKLIPKFKRLLKQGEKCTIHGS----RSASIKRAFMHVE---DVVDAVDVVWKRGTPGEVYNIASDDELSVMEIIETVQGTTDYDKWITYIEDRPFNDQRYYICAKKLKS-LGWSQKKTRED-LKKFLH-------------- 135377200 -----------------------------------------------------------------------------------------------------------------LIFSSSATIYGDADLVPIKENAFKEAFSPYGKTKQICEHIIRDITITDSIKSVILRYFNPIGAHSSSLIGELPNGEPQNIPFITQTLIGKRNELMVFGNDYPTKDGTCIRDYIHVMDLAEAHIKSLEYISNENNFEVFNIGTGKGHSVLEIINVFEKVAKKKIKYSISSRRKGDVAVSYADCSKAREILKWTSKFSLEDSISSSWKWEKNN--------- 237718659 -KGKRVFLTGHTGFKGSWMCKMLANAGAIVTGY-SLEAPTEPSLFKIANIEGDIRDMAALKAAFDEAQPEIVLHLAAQPIVRDSYKDPVYTYETNVMGTVNILECVRQSNCVKSFLNVTTDKVYLNKEWNWRENEELDGYDPYSNSKSCSELVTHSYKRSFFTDKDGQPIIPISTARAGNVIGGGDFANDRIIPDSIRAAAKH--------EDIVVRNPFSTRPYQHVLEPLYAYLVIAMKQYEDSKYADYYNVGPDDVDCFQTGALVDKWGEGMRWINKYDGGPHEANFLKLDCSKLKTTFGWTPRWNLDEAMEKIVEW------------- 217966771 ----KILITGALGVIGVFLYDSLKKDGFEIIGIDQKITDYSDYI------RADVTHFEDLWKVFKKEKISTVIHLAGEVGRLIGEEYPQRMVYVNNVGLLNIISLCLEYN-SRLIYFSTSEVYGFNEGKEVKEEDIELTTNIYALSKLYGEAIVKHYVDNYGLKALTIRPFMVYGPGEYSNRYRSAISIFVYN---------------ALNNKPITVHKGAIRAWCYISDFIDGVKLLLDYPITE-KYEAFNIGSDEYHTMEEVANIIIEEVGGD----FENLKIIDPPSKFLSIEKIKN-LGYKPKISFREGVREVIKWQKEVLKNYS---- 143826743 ----------------------------------------------------DIRDSEAVREAIKGVDF--VFHLAALIAIPYSYRAPESYVDTNIKGTLNVLQASRDFNVERTLITSTSEVYGSALYVPIDEKHPFQGQSPYSASKIGADRLGESFYKSFETPITIVRPFNTYGPRQS---------ARAVIPSIISQLLSGAENIKL-------GALHPTRDLLYVKDTVNGFFEIANNDNTIGEEINI--ATSSEISIGKLANTIINLINPNAKIISDADRVSEVQRLYGCNKKIRKLTNWSPTFSLEQGIEETISWFRNNEN------- 256397271 --SEKVLVSGSAGFIGGYIVEELLSRGYQVVGIDNYSTKSYDDHPDYAFHEGDVRDTALMAELLAGC--DHFIAGAALIGGISYFHTYAYDLATNERIIASSCDAAIKAHAQKVTYMSSSMVFESTEVWPSVEGSERRPLSSYGFQKLAVEYFARAAWDQYKLPYTIVRPFNCVGIGESALGDEEILSGNVKLAMSHVVPDLVQKIVKGQDPLHILGTGEQIRHYTYGGDLARGIVTAMEHPAALNDDFNLSTPDGHSVELAEAIWRKIKGPDVPLRLVCDDPFEHDVRRRVPATEKAERVLGFRADTSLEDMLDEVIPW------------- 142433996 -----------------------------------------------------------LNSIIKDFAPDKIFHLAAESHVDRSIAGPQEFLNTNILGTFNILESIRKNKDFILIHVSTDEVFGSFDDSLFSESSTYAPNSPYSASKASSDLLVRAWNKTYGIKAAITNCSNNYGP--------WQNPEKLIPKTIFNALSKQPIP--------IYGKGINIRDWLHVSDHVNALMKISNTEENYSK---FNIGGNQEMANIDLVHIICGHLDEKIPITFVEDRLGHDLRYAIDASKLKEDLDFEPGYYLENGIKETVDWYVDNLDWVKSK-- 307299601 --KKHALITGITGQDGSYLAELLLDKGYEIHGISSFNTKRIDHLYKDPHERGDLTDSTNLIRLIQEIQPDEIYNLAAQSHVKVSFETAEYTANSDGLGTLRLLEAIHFEKKTKFYQASTSELYGKVQETPQKETTPFYPRSPYAAAKLYAYWITVNYREAYGIFGSNGILFNHESPRRGETFVTRKITRAASRISHGLQDRLY------------LGNMDAKRDWGYAPDYVKAMWLMLQ----QEEPGDFVIATGETHTVREFVELSFKEAGIDIHWEVDPDRPTEVELLLGDPTKARDKLGWQPEVKFKELVEIMMKAYEKYKKQYN---- 142925796 ----KALITGVTGQDGSYLAENLLKKGYEVIGVRRSSTVNYERIAHIKFVNGDLLDQISLIDAIKMHEPDEIYNLAAQSFVQTSFGQPVLTGETTALSVTRMLDAIRIVDPIKFYQASSSEMFGKVAEVPQSEDTSFYPRSPYGVAKVYGHWITVNYRESYNLHASSGILFNHESPRRGLEFV------------TRKISYGAASIKLGMEEKLSLGNLDSKRDWGFAGDYVEAMWLMLQ----QETPDDFVICSGLTHSVREFCDLAFKHVGYEDHVVVDERRPAEVDLLVGDYSKASKILNWQPSTTFEDLVEMMVD-------------- 139094170 ------LVTGGCGFIGSNLIDRLINDGELVVCLDDLTSGTKENIKNFIFIHHDITNPVNL-----NLEIDRIWHLACPASPVHYQIDPIRTSKINFEGTLNILEFANERKI-KLLLTSSSEVYGNPSVHPQDEKNPTGIRSCYDEGKRIAESLCFDFNRIYGLDIKVARIFNTYGPQMS--------FYDGRVISNFICKSLQKKPLTIFGK------GNQSRSFCYVKDLVD----GLIKLMNSNQKGPINLGNPEEITIMSLAILISGKVGNEFKYEEMPLPQDDPIKRRPNI-------------------------------------- 135441999 -KNKKVLVTGATGFKGAWLCYWLTMLGAKVYGTGHNPNKNKKLNNKIKLKLFDIRNKKKLKSFIKHSDPQIIFHLAAQPLILESYKKPFLTYTVNSIGTLNILETVRETNSVKSLICITSDKCYENNYSTFKESDKLGGEDPYSGSKASAEIMIRSYQESF----FKKKKCGIASARAGNVIGGGDWSENRLIPDAINSIMKNK--------TIYIRNPNFNRPWQHVLEPLYGYLMLAEKVYKHPKKYSGPWNFGTTTNVLTIVKEIVKFWGKGKIKFKTNQKYYEQTNLQLDINKSKKILKWKPKYSIVKSVQVTVNWYKK---------- 260913315 -----IIVTGGAGFIGSNIVKALNELGRTDIVVDNLKDG----TKFANLVDLDIADYCDKEDFIAFGDIDAIFHEGACSATTE--WDGKYMMQNNYEYSKELLHYCLDRKIP-FFYASSAATYGDKTEFREE-REFEAPLNVYGYSKFLFDQYVRAILPEANSPVCGFRYFNVYGPR------ENHKGSMASVAFHLNNQILKGENPKLFAGSEDFR-----RDFVYVGDVAAVNIWCWQNNI----SGIYNLGTGNAESFKEVAKAVVKFHGKTEADIETIPFPDHLKSRYANLEKLRA-TGYKPFKTVAEGVAEYMAW------------- 194288919 ----TIIVTGAAGFIGSNLVKGLNERGETVIAVDNLTRADKFHNL-VDCEISDYLDKQDFLARFARGEFGAVFHEGACSDTME--TDGRYMMENNYRYTLSLMESCLEQG-TQFLYASSAATYG-ASQVFREDREFERPLNVYGYSKFLFDQIVRRRLPSALSQIVGFRYFNVYGPR------ETHKGRMASVAFHNFNQFRADGTVKLFGEYGGYGPGMQSRDFISVEDVVKVNLFFFDHPEKSG---IFNLGTGRAQPFNDIVNTLREAEGKPPLSLDDLVQEGDALRTQSDVSKLRGA-GYSERFSVEEGVARYCRWLLE---------- 140373042 -KKKKIIVTGSVGFIGNSLSKKLLERGNKVIGIDNHNHYYDPKIKEARHYKLNIHDQNSLDRIFEDQKPNIVVNLAAQAGVRYSMENPLAYINSNIVGFANILENCRHNDVEHLIYASTSSVYGANTKMPFSEHDSNHPLSVYAASKKSNELMAHTYSYLYKLPTTGLRFFTVYGP----------WGRPDMALFKFTKNILEDKPIDVFNYGKHTRDFTYIDDIVD---------------------------------------------------------------------------------------------------------- 137339545 ----------------------------------------------------------------MDFDPDYVVHFAAETHVDRSIDGPSEFINTNIIGTFNLLQSCLQKGRFRFIHISTDEVFGSSSGDAFEENDAYLPSSPYSASKASADHLVRAWHTTYDFPAIIVNTCNNYGP--------FQNREKLIPKTIFCLMNGLEIPLYGKGQ--------NIRDWIHVDDHVEAILLVIQKGII---GSSYNIGVNNQVKNIDIVNSLCELAHHKELIKFVDDRPGHDFCYSLSSKKIEKELGWKPRYQILEGLKLSIQWYVANQDKLDNE-- 135209681 ----TALITGITGQDGSYLADLLVEKGYRVVGMVRRTSSENLWRVHHLHDKGDLLDQNSLINALQECEPDEVYNLAAQSFVPTSWNQPVLTGEFTALGVTRMLEAIRVVNPRRFYQASSSEMFGKVRETPQREQTPFYPRSPYGVAKVYGHFLTVNYRESYGMFACSGILFNHESPRRGLEFVTRKISYAVARIKHGLQNKLR------------LGNLEARRDWGYAGDYVKAMWSMLQ----QEEAGDYVVATGETHSVREFAERAFARAGLDWKDHVELDRPAEVDLLVGDPSHARAKLGWKPSITFE---------------------- 256376932 -RTERVLITGGAGFIGAHLAAELAARGCAVVPVDDLRVAPLQPPPAGLLVKLDLA-RPDLDGI------TAVYHLASHKSVPESFERPLDYLD-NVDSGRHLLRLCEQAGTPRVLVGSTCEVYGNSLALPNTEAAPLAPRSPYASSKVALEMIARNHQQRRGSRVTVVRLFNVYGPGERA--------DALVPALCLRAAQGRGLPVEGTGR--------QCRDFSYVADTV----HKLAGLLHAPPVSTVNLGSGRSRSVLEVAALLREI-RPGLELEFRPGRRDEIDEFRADTTVQDGLLGLPPAVTMREGVRRTYDW------------- 138187897 ---QKALITGSSGFIGFHLCKRLLEAGFVVIGVDNLSNYYDRKIKKSRQKLLDIQKKDFIMSLFKSENPEFVVHLAAQAGVRYSIENPRTYLENNIIGTFEILDAAKFNPPKHTLLASTSSAYGINTKIPFKEDFKSDNISFYAATKKATENMSYAYSHNYELPITIFRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 155371751 ---KRALVTGITGQDGSYLAEFLINIGYVVHGIDALYDKYSESGQLVLHY-GDLTDSTNLVKIVQQVKPDEVYNLGAQSHVQVSFEMPEYTADVDGMGTLRLLEAIRICKTTKFYQASTSELFGKVREIPQKITTPFHPRSPYAVAKMFAYWTVVNYREAYGMFACNGILFN------------HESPLRGETFVTRKITRGLARVKLGKQKCLFLGNMDAKRDWGHARDYVEAMWKMLQ----SPEPEDFVIATGVQYSVKDFVNETCKALGMSIEWQGEGERPAEVETLLGDATEAKEKLGWSPRTSFEDLVKEM---------------- 139051919 -SSKKILVTGVAGFLGSHLAEKLSQMNHKVVGVDNMLGGYKDNVPKNIFFNFDCCDLKKMNEIMKGV--DVVYHCAATAHEGLSVFSPFEITKNNYLASVSVFTSAISSKVKRIIFCSSMARYGD-QKTPFTEDMDPKPIDPYAISKVAAENVLMNLCDLNKIEWVIAVPHNIIGPRQ------KYDDPFRNVVSIMINRMLQNKAPIIYGD------GEQKRCFSYIDDCLSCLLPMLDQKNLNK--QIINIGPDEEFVTINKISEICS--------------------------------------------------------------- 140421980 -----IIVTGGSGFIGSNLINLLLKKKFFVINIDKFSYSDFKKNKNYVFFKLDINKTSKILSILNKYKPVGIFNLAAETHVDRSIDKAHHFIRSNITGVYSLLEAIRKYEKKKLLQVSTDEVYGDPRGKLANENHNYNPSSPYSASKAGADQFIKSYGRTYGLKILIAHPCNNYGPN--------QHPEKFIPKMIF--NLLNNKSLTLYGS------GNNIREWIYVKDNCEAL---LKIFLKGRSGRSYNIGTGIKLKNLDIAKKLLAVISKKNKIQFVQDRPGHDERYALNSSRI----------------------------------- 296163285 ----TIIVTGAAGFIGSNIVKALNERDERVIAVDNLTRADKFKNLVDCEVD-DFLDKTEFVARFKRGDFGAIFHEGACSDTME--TDGRYMMENNFRYSRDVLDTCLEQGVQ-FLYASSAAVYG-GSSEFVERRDVEKPLNIYGYSKFLFDQIVRQILPKARTQIAGFRYFNVYGQR------ENHKGRMASVAFHNFNQFRAEGKVKLFGEYNGYGAGKQTRDFVSVEDVTKVNLHFFDHPERSG---IFNLGTGRAQPFNDIASTVLSLAELVQRGLIEYMPFPDALRTQADQSKLRAA-GYAPFLTVQEGVDRYVRW------------- 221486169 -EPRRALITGITGQDGSYLSEFLLEKGYEVHILRRCSTFNTERIDHIFDHHGDLLDSSCLCNIIASVRPHEIYNLAAQSHVKVSFEMPEYTSKVTGLGTLRLLEAVRSTKETRIYQASTSELFGRVQESPQSETTPFYPRSPYGIAKLYAYWTVVNYRESYGMFCVNGILFNHESPRRGKTFV------TRKITRAVAQIVKGVQDSLVLGHLDSWRDWGHAKDYVKVMWMMLQQESPQDFVIATGEQHSVREFCEAGRHIKWEGEGLKETGKELISVSPVYFRPAEVESLLGDASKAKKLLGWEPTTTFYELVYDMLR-------------- 45658048 ---KSIYITGGAGYVGAMLVPRLLSEGYKVTVLDLMIYG-EDVLKEHPNIQGDIRDQNVLNQTIPGH--DSVIHLACISNDPSFELNPNLGKSINLDAFRPLVEISKKHSVKRFIYASSSSVYGIKDEPNVTEDFSLEPLTDYSKFKADCEKILNEYQTDD---------FTTITIRPATVCGYSPRQRLDVVVNILTNLAYHKREISVFGGA-------QLRPNIHIDDMVDAYLVLLRAPKEKVAGEIFNAGYLN-FTVSEIANMVKEVVGED--VKLVTTPTNDNRSYHISSDKIFNKLGFRANRSIK---------------------- 143543112 ---KNSIITGGAGFVGSNLVDRLVSIGHKVIVLDNFVSGKKSNLSHHKIIKIDISDK-NLDKYFK--KADYIFHLAALAQIIPSFKDPNKYFKNNVIGTLNVLKAAKAVKIKKLIYAASSSCYGAPKKFPTSEKDKIDLKHPYAATKFIGEELVMRYASKFKMPNISFRFFNVYGPRLNA------SGQYSAVIGNFLSQTKTRKPLTVVGDGKQT------RDFIHVDDLIN---------------------------------------------------------------------------------------------------- 135278940 MTTKVALITGITGQDGAYLAEFLLEKGYEVHGIKRFNTDRIDHLYQDPHEYGDLSDSMSLVRIIQQIEPDEIYNLGAQSHVAVSFETPEYTADTVGLGALRILDAIRIEKKTKFYQASTSELFGKVQEVPQKETTPFYPRSPYAAAKLYAYWITINYREAYGMYACNGILFN------------HESPVRGETFVTRKITRALSRISLGLQDCLYLGNLDAKRDWGHAKDYVEMQWLMLQ----QDEPDDYCIATGVQYSVRDFCNAAYDHLGKRIRWEGEGFRPTEVETLLGDPTKAKEKLGWVPKISFEDMVHEMME-------------- 136416984 -----VLVTGAAGFIGYHTAAALLARGERVVGLDIVNDYYDVNLKEARNVRADVADREAVAALIKQHDIDRVVHLAAQAGVRHSLVDPYTYSRTNVHGHPVVLEACRNLPAKHFVYASSSSVYGGNRDMPFSVDQRVDPLSLYAATKRAGELMAQSYAHIYRLPQTGLRFFTVYGP----------WGRPDMAAYIFARKILAGEPIEVFND------GEMARDFTYIDDIVAGVLACLDRPP------------------------------------------------------------------------------------------ 139212915 ---------------------------------DRKNIKHLDCLDAFEFVNHDVSEYIDVDG-----PVDYVLHFASPASPIDYLQFPIQTLKVGSLGTHNTLGVAKAKKA-KYLLASTSEVYGDPLVNPQPETYWVGPRGVYDEAKRFSEAMVMAYHRVHKIDAKIVRIFNTYGPRM-------RKNDGRVVPAFIDAAL-KNEPLLVFGDG------SQTRSFCYVSDLVEGIVRAMNSSLNEP----VNMGNPNEITVIEFAQIVKKLTGSKSKLSYKPLPVDDPKVRRPDITKAKTKLGWEPKVSLEEGLEKT---------------- 223935424 ---KHILITGGAGFIGSHLIERLLPAGKKIVVIDDCSTGSLENLKPFATHQSKVSQCKQLSEIVANA--ESIYHLAAAVGVELVVSSPIHVLETNLHETEIILEVAAAHGTPIL-LTSTSEVYGKSQKPAFTEEDPHRGRWSYACSKLMDEFLAMAYAKERSLPVVIARLFNTVGPRQTGRY--------GMVLPRFIATAKAGQPLKVYEDGRQTRC------FCYVQDTVEALTRL--QNCPAARSEVFNIGSTEEISILELAQQVIHALNSKSTIEFLPYNPGDMRRRKPVVDKLFKTIDFQPSTSLRRIIELT---------------- 281355875 -KQKRALVCGAGGFIGGHLVRRLKSEGYFVRGVD-IKDHEFFPVTADEFIRADLRNPVIFDEITRDMQFDELYQLAADMGGAGYVFSGEHDAEVMHTINLNAAEAARKNKVKKLFYSSSACIYPDPDNPGLRETDAYGPDSDYGWEKLFSERLYFAYMRNYGLEVHIARFHNIFGPYGTWEGGREKAPAAMCRKVA---------ETPDGGEIEIWGDGLQTRTFLY----IDECLEGVRRLMNSDFSGPVNIGSDELISINDLAGMAMKIAGKTQSIRHIPGPLG-VRGRSSENSFIQEKLGWRPTARLLDGMTPTYRW------------- 308275073 ---KKALITGITGQDGAYLAEFLIEKGYIVHGIKRFNTDRIDHLYQDPHEYGDLTDSTNLIRIIQEVQPDEIYNLAAQSHVQVSFETPEYTANADGLGTLRILEAIRMEKRTRFYQASTSELYGKVQEVPQRESTPFYPRSPYAAAKLYAYWITVNYREAYGYFACNGILFNHESP-----LRGETFVTRKITRAVARIKLGLQKKI-FLGNLDSLRDWGHAKDYVEAQWLILQQNVPDDYVIATGEQHSVREFVETAFRKIGIIIVWAKESTGEILVEVDPRRPTEVETLLGDPKKAKEKLGWSTKVSFDELVSEMVR-------------- 143711354 ------LVTGGAGFIGSHLVDYLISIGHEVTIIDNLSQGNKLSDFGRKHSKLIIGDIMDIDIIDNACKCDYIYHLAALLGVEVVAKNHVETMQVESIGTRNIIDAAIKHSVKKLIYASTSGVYGKAADKSVDENFMLDPRTSYSIAKRYNEIFLKSNWEENGLSSIAIRYFNVYGPRQDG---------RMVVPRFIKQGIKNEP-------IVVYGQGNQTRDFTYVTDAVRITVDLAETL--QDGFEIFNICGDNELTITQVAETIKQTLKSKSKIRNMDMPND----------------------------------------------- 284166141 ----TVLVTGGTGFIGSYVVQDLLEHGHDVVAYD-LSTDTEILEKLGVADDVDVREPTDVIRAVKETGTTHIVHLAALLTTTA-RENPRSAADVNVMGTNNVFEAARDDQIERVAWASSAAVYAPPHNEWIDEDELVYPDTLYGATKEYNEHQARVYHEDYGLDHVALRPTVAYGP---------------YRETGGSAFLANIIEKPALGESYSVEYGDQAIDWQHVEDIAQA-FRKAAFTPEDELSQRVYNVRGVLATVREAAETVESILPDADVDVSDD---GELPWTQLDMTKAQEDLGYEVQYDLESGFRKYVD-------------- 139197088 ----KILITGFAGFIGSHVCEKFIENNHEVWGIDNLSTGHKGNIKENKMKGIVIDDINNINLYFDNIAFDQIIHLAALADVVPSIKNPRKYFDSNVSGTLSVIEFMKNRNIKKIIYTASSSCYGIPKKYPTSEEELIDTRYPYALTKFTAEQYVKHFSSLYNFEAISLRLFNVYGTRART--------------------------------------------------------------------------------------------------------------------------------------------------------- 143326147 --KKTALVFGAGGFIGSHMVKRLKAEGYWVRGVD-LKRPEFSETEAHEFIQGDLRDANFVEKVIQFYQFAADMGGAGFVFSGENDMHNSATINLNFLEAQRKLNDSKGVNKTRIFYSSSACMYPDPDNPDCREESAYNPDSEYGWEKLFSERLYFAYNRNYGIPVRVARYHNIFGPEGTWEGGREKAPAAICRKVAYLPEEG--------GTIEVWGDGLQTRSFLYIDECIEATRRLMDSDF----IGPVNIGSEEMVTINQLVDTAAKVSSKDVNKEYNLNAPTGVRGRNSNNDLIREKLGWDYSQSLEKGVSKTYEW------------- 297180221 ------LITGGAGFIGSHLTERLLGQGERVVVIDDFSTGSRANLAA-------VADDPNLTVVESTAESGYVFHLAAAVGVDLVVQSPIRTIETNLKATEAILEAAAPNRTPML-LPSSSEVYGKSSREGFAETDDLGPPTSYACSKLMDEFLALAFHAERQLPVIVARIFNTVGPRQTGRY--------GMVLPRFVEAAKAGEPLRVFGDGQ------QSRCFGHVTDTVEALLRL--AMCDRAPGGVFNIGSTEEVTILGLAKRVIELTGSTSEIELVPYDEADMQRRQPVIDKLEQFTGFRPTTPLDDIIRST---------------- 142570750 MNKMNIMISGANGFIASNLIKELSRKNHNIFCISRNRKANLEDKYNNHFINHDLLNEELPKDL--PDQVDIIFHLAAISKTFLKDSEAKNQFSENISITSNIINFAEKLETKKIIFSSSVYVYSGTESKPFSENMILSPSEYLGASKLASEQLLKTYSASSAVDVYSLRLFTVYGPG----------SRKNQFVPEAIRKITDPKITANFGNPNVT------RDFVYISDVVKAFLLTLE--SNGKGFLPINIASGEAITISEAVKIIKKVAGVKKSIEFEPKQQGDSNHS-ANIDLAKKVLGWKPETSFKDGILATIK-------------- 142311630 -SNDKIYIAGHTGLVGSAIVRRLKKDGF-------------NNLLTRSHKDLDLTNQGKVTDFFRNEKPDYVILAAAVGGIYANNTYPADFIYQNMMIEGNIIHSAFENNIKRLLFLGSTCIYPKEAEQPMPEDALLSSNEPYALAKILGIKLCESYNRQHDTD-----FRSVMPTNLYGINDNFHPDNSHVIPGLMRRFHEAKINNDSKVEVWGSG--NAMREFLYVDDMAEASLFNLDKKIYQANTKHINVGTGQDITIKKLSEIMKEVVGFNGELFFDTSKPDGSPRKLIDTSLLSN-LGWNYSTKLEEGLVQTYKWY------------ 141111790 ------------------------------------------------FVRGDIADANTVLKAIQDHNVDTIVNFAAETHVDRSIMEPDAFIKTDVYGTYTLLEAARELDLQRYHQISTDEVYGHVPEGSSKETDPIAPRSPYAASKASADMMVHAYWVTYGVPVSITRGANNIGP----------YQYPEKVVPLFTTNAMDDRPLPLYGDGL------QVRDYHYVLD----HCEAIDLVLHEGEGGVYNVGTGTEMANIEMTRMILRLLDKPESIQPVRDRAGHDRRYSLDVSRMRA-LGWEPAHTCEEAIEKTVRWYVENEWWWSGEH- 108802911 MEQQRVLITGGAGFLGINLARHLLKKGYAVASLDIAEFDYPER-DRVEVIRGDIRDAALVERAVREADF--VVHAAAA----LPLYKPEDIYTTDVEGTRNVLEAALRHGVRRVVHISSTAVYGIPDHHPIYETDRLEGVGPYGQAKIQAEMICLEY-RAKGLVVPILRPKSFVGPERLGVFALLYDWAHTGH-NFPVLGSGNNRYQLLDVEDLCEAIELCLRLPEERVNDT-FNIGAKEFSTIKEDYQAVLDAAGHGKRVIIWALRILDRLGLSPLYKWVYETVS--KDSYVSIEKAERQLGFTPRYSNREALLRNFRWYLENLDRFQD--- 167933027 -------------------------------------------------------------------------------------------------------------------------------------------TNPYGWTKFMIEQILTDIAAANDFEVTILRYFNPVGAHKSGLIGEDPNGPNNLLPYIAQVAVGRLAKVGVFGNDYDTPDGTGVRDYIHVMDLASGHTAAL--NNSKPGVAIYNLCSGKGVSVLELIDAFRKAAGKPIPYEITDRRPGDIAASYADASKAKKELDWQTVYSIEQACADSWKWQSNNPNGFAD--- 140184226 ----RYLVTGAAGFIGAALASRLLKEGNQVVTIDNLSTGNTSNIPDGKFIEGDCGNKDVYSKI-PNEKYDAIFHIAGQSSGEISFDDPIYDIRTNAESTLLLLKFALQNKCKRFIYASTMSVYGIKPERPVKESEDCHPESFYGIAKLASEHYLRVY-EQYGINTTSLRLFNVYGPGQNMDNLRQGMVSIFLAQMLKDKRIHVKGSSDRF------------RDFIHVDD------------------------------------------------------------------------------------------------------- 136108418 ------------------------------------------------FFKLNISNLIIIRRIIKKYKINSVIHFAAYKDVEESILKPKKYYKNNYLITKNFIDCCKDCGVNNFIFSSTAAVYGNEKSKKVSENSILKPISMYGFTKKKVENYLKKISDKN-FKFFSLRYFNVLGADKYLKYGPIGL-DINSFSNNLYKAIMFKKVFKIYGNRHKTFDGTPVRDFIHVDDLANIHFYALKYLIKFKKNQICNCGYGEGYSIKQIVDNLLKNKNVNFEYTFEKKRSGDISYMVADNKKLKQILNYRPKTDIRKMIISELKWKKK---------- 142187259 ------LVTGGTGFLGPRLCADNVYSGSKDNVVHLLDHPHFELLR----HDVTLPLYVEIDEIYNLACPASPVHYQ---------RDPVQTTKTSVHGAINLLGLAKRTGA-RILQASTSEVYGDAEVHPQTEVYWIGPRACYDEGKRAAETLFFDYHRQHGVEIKVARIFNTYGPRM--------HPNDGRVVSNLIVQALSGKPITIYGDG------SQRRSFCYVSDLIDGLVTLMASPAE--VTGPINLGNPDEVTIGDLAEEILAMVGGASTLHFEPLPTDDPRRRQPDVTRAREVLGWEPKVVRAEGLARTVASFRE---------- 136834723 --KMRIFITGADGFIGQHMVERLKDK------------HELEFLTE------DLRDHAKVAMQISTFDPEIIVHLAARTEVEDSFYEQITFSEINYVGTVNLIEAAKLPNLKNFVFASTMEVYGWQPIVAFNESTPPNPNAPYAVAKYGCEKYLEYAHRSYGLPFTAIRQTNAYG-RKDNDFFVTEQIITQMLKNPDEINLGYGEP---------------YRNFIHIDDLLDA-WETVITNPDKCQGEIFCIGPDNAIKIKDYVKMIADKLGWNGHVNWKPARPGEIYLLNSTNHKITTRLGWTPKVELSEGLDRTIAW------------- 134468158 LENKSVLVTGGAGFIGSNICEVLIQQNNKVRCLDNFITGKNENISHLQDHEGDIRDEECCNA--STKNIDVILHQAALGSVPRSIEDPIKTNEININGFLNILNAAKLNNVKRVVYAASSSTYGDSKTLPKVEHQIGLPLSPYAVTKYVNELYAHVFSNIYDMENYWAFVF------------------------------------------------------------------------------------------------------------------------------------------------------------------ 139799609 -----------------------------------------------------------IKEVISEFEPDYIIHLAAESHVDRSIDNPDQFIKSNINGTYNLLNEGYHYWKFRFIHVSTDEVYGSEKESAFLENSVYKPNSPYSASKASSDHLVYSWYKTYSFPINIVNTSNNYGP--------WQFPEKLIPLVISKCLKGEKIP--------IYGNGLQIRDWIRVEDHVNGLLTVLDKGII---GEKYNIGANCEKTNLQVVHDICSILDEVIPINFVEDRPGHDQRYAINSNKLKN-LGWNPSVSWEEGIKDAINWYLNNKNFLNLEN- 134863463 ---KNILITGGAGFIGSHLVKRFVKNNYNIYNVDSLTYAGDENKKNYNFFKIDINNHDEIINLFKSKDISDVIHLAAESHVDKSIESSYEFAKTNVLGTLSLLEACRKIWTNIFYHISTDEVYGLGIDGSFNEKSKYDPNSPYSASKASSDHFVRSYYKTHKLPILISNCSNNYGP----------FQHKEKLIPNILNSLINREKISIYGD------GKNIRDWLFVDDHCDAIELIFNKGVA---GETYNIGGDFEISNIDLAYTIIKIFD------------------------------------------------------------ 310644322 --KKKIIVTGANGFIGSHVIDELGITGDEVYAITSKVDLKINT-SNVTWVKANLHDDNQIDEIFSIVSPTHLLHLAWDTTPGHYQNSSSNF--KWVSASLSLFDRFRVAGGKRIVGVGSCFEYDSSQEGCKESDTPLNYNTIYGSSKNSLYQMLMTYSQHFGISSAWARIFYLYGPR---------EHRLRLVPSVILSLLDGEKAKCSHGL--------QIRDYLYVKDVARAVVKLLDSEV----SGPVNIGSGTPIRLSEIIYKIADIIGHKELVHLGPTSVDEPKIVLADITKLKGSTDWNPLFTLEEGLHETVQWWQSNLNG------ 140882087 ----KVLVTGAAGFIGSALSIRLLDREDEVFGIDNHNDYYDPKLKEARHIRMDIEDGKALEALFKENQFDVVVNLAAQAGVRYSIENPLAYINTNMVGFGHILEGCRHNKVAHLVYASSSSVYGSNTKMPFSHDNVDHPLSLYAASKKANELMAHTYSHLYDLPTTGLRFFTVYGP--------WGRPDMALFKFTKAMLAGEKIQVFNYGKH------------------------------------------------------------------------------------------------------------------------ 140915028 ----KILLTGGAGYIGSHTALSLIDGGHSVTIIDNLITGTKKLLPQAEYHECDMADKKSIENILKKNKFDIIMHFAGLTRVDESVKYPEKYELNNFEKSKTFFNCCFDNNIKKIIFSSTAGVYGDSTSEYVKETDALIPMNPYAESKLKIEKFLIENSKSQKLNYTILRYFNVAGA------------------------------------------------------------------------------------------------------------------------------------------------------------- 143392139 IKDKKILVVGAGGFIGGHLVKKLLNDGNKIIASDIKPKEHWFQDFDDPTYFTDMKDISNCRK--ATNDVDYVFNMACNMGGMGFIENNKAECMQSVLINTNLLIACKENKVKKYFFSSSCAYNKIKQQDVFVEGLKEEPEDGYGWEKLFSERMCRHFMEDYGIEVRIARYHSIYGPYGTYDGGREKAPAALCRK-VLNAKLNNHNKIQVWGDGKQTRT------FLYVDDCVEGTLRLFE----SDYSKPVNIGSDEQVSINQMIDIIENIAGKKLDREYQLDKPKGVRGRSSNNDLVKKILNWNYKIKLEEGLKNTYQW------------- 293602508 --------------------------------------------------------------------------------------------------------------------------------MPIAEDNSRSATNPYGRSKLFIEDILADHSSDPQWSIARLRYFNPVGAHESARIGEDPVGPANLMPYIAQVAVGQRERVHVFGGDYPTEDGTGVRDYVHVMDLAEGHVAALRGLLQQGGMLTVNLGTGRGTSVLELIDAFSQVSQRKIPYQIVDRRPGDVAQCYAAVAQAEQLLGWKAKRHLTDMCADHWRWQVENPNGY----- 141848592 -------------MVGSAIVRELKSRGCNHLVL-------------KTSAELDVTDQRAVRDFFESERPQAVYLAAAMVGIHANNTYPADFLYRNLMIQSNVIEVAYRAGVERLLFLGSSCIYPRDAVQPMVESSLMGTNEPYAVAKISGIKLCESYNRQHGTDYRSLMPTNLYGPG-DNYHPENSHVVPALIRRFHEAKVAGDTTVTVWGTGLARREFLYVDDLARACVDVMQIDADSFWGGRDPQCSHVNVGTGSDATIAELAELIAETVSFRGEILFDHTRPDGSLRKLLDVSEISR-LGWRPKVSLEDGLAQAYR-------------- 141585602 FRSKKLLVTGGAGFIGSNFILYLLEKYDDIYNLDLLTYAGSKSDSRYKFIHGNICDDKLLDNIFKKYKIDGVVNFAAESHVDNSIENPEIFIRTNVIGVYKLLDACYKNWMNRFHQISTDEVYGSIINGSFKEDDICRPNSPYSATKASADLIVRSYLKTYGLNVVISRCTNNFGPN----------QHKEKFIPMIIDSIQNNKPIKVYGKGDNIRDWITVIDHCKAIDIVYNN-------------------------------------------------------------------------------------------------- 143954593 ----RILVTGGKGFIGSKIVEMLCKDN-QVVVMDNRDTYRERNWHNVIDVAGDTVNRLDCLKSFK-HRPDMVIHLATYPRAKLVEDDPIAGVPKIIDGTVNLLWHAQNFGCKKFVYVSSSMVYGNFTDGT-KEDGHTKPVNIYGEAKLAGERITKLFSRRHGLNYNIVRPSGVYGPG-----------------DMPDRVVSRFFAEAMQDKTITLHNGDNKVDLTYVQDAADGI---IKASFSEVSNTSFNITTGNATSLRTLAETIIEMTGSKSDLKDIGMQELYPQRGTLDISRAKDLLDYRPQFTLEEGLTSYYNW-LKNFNGI----- 221233408 LEGKRVWVAGHRGMVGSAIVRRLASEGCEI-----LTAGR---------EVLDLERQSAVEAWIADNKPDAIFMAAAVGGILANDTYPADFLYNNLVIETNIVDAAWRNGVGKVLFLGSSCIYPKFAPQPIMEDAPLEPTNEWYAAKIAGIKLAQAYRKQHGCDFISAMPTNLYGIGDNFDLNSSHVMPALIRK-AHEAKLAGADSITIWGT------GTPRREFLNADDCADACVFLM---KNYSDFEHVNVGSGEDVTILQLAQLVCEVVGFKGEIITDTSKPDGTPRKLMSADKLRG-MGWRPQIELGPGITNTYAHFL----------- 15840978 ----RVYIAGHRGLVGSALLRTFAGAGFT-------------NLLVRSRAELDLTDRAATFDFVLESRPQVVIDAAAVGGILANDTYPADFLSENLQIQVNLLDAAVAARVPRLLFLGSSCIYPKLAPQPIPETGPLEPTNDAYAAKIAGILAVQAVRRQHGLPWISAMPTNLYGPGDNFSPSGSHLLPALIRRYDEAKASGAPN-------VTNWGTGTPRRELLHVDDLASACLYLLEHFD---GPTHVNVGTGIDHTIGEIAEMVASAVGYSGETRWDPSKPDGTPRKLLDVSVLREA-GWRPSIALRDGIEATVAWYREH--------- 228919200 MGKNNYLIVGGNSFIGINLALGLLKQGQNVKVFSRHINNFPQNLIEVEFIKGDLANVEDIYKAL--VNVDIIIYLAATSNVTTSIEDVFGD-RNSSFFFLNFMETVKRFPIKKIVLASSGTVYGEPEYLPIDEKHPLKPLSPYGITKVSLENYLYFYKKKYGMDYVVCRYSNPYGKYQNPL-------KKVGAINCFLYQHLSNEKINIYGN-----PQEIIRDYIYIDDLVEITIQLSQ--LNRLKSCVYNIGSGKGLSLKRIIVELEKLTERKVDFICYKQKQENVQKIILNIDRVRRECNWEPKVDFKSGIR------------------ 134830778 ----RALVTGGAGFIGSHLIDRLITRGDDVVVIDNLSSGQLSFIEPHVKVQGDLCNPDDVNQAMAM-NIDCVFHLAANPDIRLGTRVTDTDLKQGTIATYNVLEAMRSNGVVNIAFASSSVVYGEDAPMPTPEDHPCMPISLYGASKQAGEGLISSWVGTFGAKAWIFRFANIIGAR------------------------------------------------------------------------------------------------------------------------------------------------------------ 139391329 MALKSI-ITGGAGFIGSNLTDHLVRIGHKVIILDNFVSGKKSNLSHHKKKDVKISNCKNLDKFFKGA--DYIFHLAALAEIMPSFKNPKKYFKNNVIGTLKVVEAAKKVNIKKLIYAASSSCYGTPKKLPTSENDKIDLKNPYAATKFIGEEIIMKYADMFKMPNISVRFFNVYGPRLN------ISGQYSAVIGNFLFQTKNNKPLTIVGNGKQT------RDFIHVDDLGKCVY------------------------------------------------------------------------------------------------- 295112135 ---KTALITGITGQDGAYLASFLLKKGYSVHGIKRFNTARIDGLYRDLHEEGDLTDASNLIRIIQQVQPDEIYNLAAQSHVKVSFETPEYTADSDGIGTLRLLEAIRMEKRTRFYQASTSELFGKVQETPQRETTPFYPRSPYAAAKLYAYWITVNYRESYGLFASNGILFNH-----ESELRGETFVTRKITRAAARIALGLQDK-TWLGNLDAKRDWGHAEDYVEAMWRILQHDRPDNFVIATGETHSVFKEAGMEIEWRDEVGVDAGTGRVLVEVDPRYFRPTEVDLLLGDPSKAERELGWKRKVSFSDLVRRMVRYDLD---------- 85059821 ----RVLILGVNGFIGNHLTERLLRDGYEIYGLDIGTDAISRFNPLFHFVEGDISIHSEWIE-YHIKKCDIVLPLVAIATPIEYTRNPLRVFELDFEENLKIIRHCVKYQ-KRIIFPSTSEVYGMCTDPVFDEDDIVGPINKQRWSKQLLDRVLWAYGEKEGLRFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSHIKLVDGGA--------QKRCFTDISDGIEALFRIIENKDNNCDGQIINIGNDNEASIRQLAELLLASFERHPLRQHFPPFAGDVEHRKPSIRNAKRLLGWAPSVPMAQTIDETLDFFLQ---------- 140503140 ---KKILITGSEGFIGSHLVERLITKGYKVRCLGNLSFIKKSLLNKAELIFGDIRDNNLVTDISKNC--STIIHLAALIGIPYSYKAVKSYLDVNILGTHNLLEAALKNRVKKFIHTSTSEIYGSAQFRPMTENHPYVAQSPYAASKISADALVTSFNKSFNLNTLILRPFNTFGPRQSTRAVIPTI-----------------IQQAINGNKINLGNTKPKRDFTYVDDTIAAYELALKSNLHF-RGEILNLGTGYSSSVLQIIKYISKILNKRLEIV------------------------------------------------------ 136037519 MNKKKCFVSGGAGLIGLEICNKLIKKGHKVYLYDQIARNRAYINKKVKIFYGSILDLHSLKKALKNCDF--VFHHAAMLGVKYTEDNKLDCLEINSTGTKNVLEACLGNKVKKVIFASSSEVYGEPKKNPITEKFQTQGKTIYGISKLMGEEYCKSYHQKHNLNFTILRYFNTYGT----------YQQKKFVIQNFVYDSIKNNKITINGN------GNQKRSYMYVSDAADATISAAFSKKTNNKIFNIGNGK-NPISLRELAKIVAKIIFKKNFEKTDRTIEREIFYRYCDSSKFQKLIKWKPKITLEMGIKKILNHY------------ 15678361 ---KSALITGITGQDGAYLAKFLLEKGYEVYGIRRLSTPNFWRLQYLEIFDADLTDEFSLLESLKISDADEVYHLAAQSFVGTSFEQPTSTAHVTGVAVTSMLEAIRHYNPHRFYQASSSEIYGDGHTTILNENSPFKPSSPYAAAKLYGYWMTRIYREGYDIFACNGILFN------------HESPLRGLEFVTRKISNTAAKIALGLEDELLLGNLDAKRDWGYAPDYVEAMHMMLQHK----EPDDFVIATAETHTVREFCEKSFEELGLDWYVKVDKRRPLDVNYLCGDYSKARENLGWQPKTKFEELVKIMVR-------------- 137665761 ------------------------------------------------------------------------------SHVDNSIKDVNPFLYTNVVGTVNLLNLSVKYGVEKFHHISTDEVYGNYDDPPFTEETAYDPQNPYSASKASSDHFVTAFHNTYNLPVIITNCSNNYGPR--------QHKEKLIPKTITNILQGKKIP--------VYGRGQNIRDWIYVEDHCKGI---LDVFYGGKVGEKYNIGGECEVKNIDLVKTIIKVMGSEDLIEYVDDRPGHDLRYAIDNAKIRSDLNFYPEHTLEEGLKKTIAWY------------ 142841512 -----VLITGGAGFIGRHVVRQFLDHGWRVVVLDEFSESERQEMGDFTAIQEDINSKESLRDAMKSC--DAVVHLAARVSVPQSIQEPEKTMFINVEGTENVLQCALDAGVSKVVVASSAAVYGSWPEMPLLESAPVECLSPYAESKAANEKQVVAF-RNKGLDAIALRFFNVYGPGQR------YDSAYASLIPLFLHSMKNGKRPTIHGT------GEQTRDFVHVHDLAKAVHAM----------------------------------------------------------------------------------------------- 192292838 --SKTAFITGITGQDGAYLAQHLIAQGYAVHGLLRRSASADGMTSRLKWHDGNLTDLSSLIRLLTDLQPDEVYNLAAQSFVKSSWQQPLLTGTVTGLGAVNALEAVRIACPKRFYQASSSEMYGLIQQRIQSETTPFYPRSPYAAAKLYAHWMTINYRESFGMHASCGILFN------------HESPLRGIEFVTRKITDGVARIKLGLQTTLSLGNLDAKRDWGHARDYTRAMWLMLQ----QDVPDDYVIATGRTASVREFCEMAFACVGLDMYVVTDPRRPAEVDYLQGDAAKAKRKLGWTFETSLEQMITEMVE-------------- 156523062 -KRKVAIITGITGQDGSYLAELLLAKGYEVHGISSFNTGRIEHLYHNPQHYGDLTDSTCLVKIINEVKPTEIYNLGAQSHVKISFDLAEYTADVDGVGTLRLLDAVKTCDTVRFYQASTSELYGKVQEIPQKETTPFYPRSPYGAAKLYAYWIVINFREAYNLFAVNGILFNHESPRRGSNFV------TRKISRSVAKIHLGQLECFSLGNLDSMRDWGHAKDYVEAMWLMLQQEEPVDFVIATGEVHSVREFVERAFKHVGKTIVWEGKDEKEIHVRVDPKRPTEVDYLQGDSSKAFKVLGWKPRVTFEELVKEMVD-------------- 142552990 ---------------------------------------------------------------------DYVLHQAALGSVPRSIKDPVNTNISNVDGFLNILVAARDSAVKNFIYAASSSTYGDHPDLPKKEHLIGNPLSPYAVSKYVNELYASVFSRTFRINTVGLRYFNVFGKRQD------PKGSYAAVIPRWIDAFIKNEPVYIFGDG------STSRDFCYIENVIQANLLAAISENLSESGEIFNIAVGDRTTLNQLTDIIKKSLNSESNIIFEDFRDGDVKHSQADISKAKKLLGYEPYYNVEQGISETINWFL----------- 149173203 ---KRVLITGINGQDGYYLSRFLKGKDYEVHGVTSCSKPGIGEPPENCYY-CDFADGSNLNEIMDKVKPDEVYHLAAQSHVRLSFDIPVYTAEVTGVGTLRLLDAIRYFEQQRFYQASSSEMFGKVVESPQSETTPFHPRSPYACAKVFSYWQTINYRESYGMFACNGILFNHESPRRG------------EAFVTRKITQAVARIKLGLQDKLFLGNIDAKRDWGFAGDYVEAMWLILQ----QEKPDDFVIGTGETHSVREFLEAAFGAVELDWYVEIDPQRPAEVELLCADPTKAREKLKWEPKVSFEELARMMVE-------------- 134774318 --KKKILIAGGSGMIGRQLTQKLLSFGAYVTVLDNFKSS-----KNLKFIKCDLRNFDNCMKVCKNMDI--VFNLAGVKGSPKMTIEQASFFVPTIMFSINLMEAARRNKVERYLYTSSIGVY-SPKKTFIEDDVWKSFPSKNDWAKRISELQSEAYEIQYKWKVSIVRPANVYGP-----FDNFDINNAMVIPSLIYKSLNAKKYLEVWGD------GSPKRDFVYSSDVADGMI----LAVRKGYSKPINLGSGKKTSIRKIVEIINNIPNGPLEIKWDVSKPAGDKIRLMNIDRAMSI-GYKPKISIEEGIKLTIDWLLMNKKELN---- 143360572 ----RALITGITGQDGRHLAEFLHQKGYEVFGLVKQNNPKAESIREFPFVQGDLADFSSLVSALEMSQPDEVYNLGAISFVAMSFNQAELTGNITGLGVLRMLEAIRRKNPIRFYQASSSEMFGKVRETPQTEMTPFHPRSPYGVAKVFAHDITVNYRESYDMFACSGILFNHEGPRRGLEFVTRKITNSVARIKLGLQ------------NELVLGNLEAKRDWGYAGDYVEAMWLMLQ--QQKADDFVVATGVAHSIQLLEVAFAAADLGDWRKFVRQDKRRPAEVDLLIGDATKARTKLGWQPKVGFGQLIEMMVR-------------- 283841210 ----RLLVTGATGFVGQHLVDTLGAHPESEILLADRQTSSLHAGSRRRFVRLDVSDADEVDRLIRTEQPTHIVHLAAVAAVTAANSDQRLAWAINFGGTQNALAVSAFAPDCRLLYCSSAEVYGAKSGRPLDESALLDPVNPYGAAKAAADIMLGQMAR-SGLRVLRLRPFNHTGPGQTP-----QFAIPAFASQIARIERGEQEPVISVGD------LSSQRDFLDVRDVVDAYLRALLRFDDLPNGAAINIASGVARPLQEALDRLLSLTSSKIEVKVDPQRPNDTPVAFGNAARAAEWLDWRPAHDWPDTIASVLEYWR----------- 303239648 --GKRYLITGGAGFLGINLIRFLLKKGCSVISLDTADFEYDDVKNDIRIIKGDIRDRKIVDK--SMEQIDIVVHTAAA----LPLYKKEDIFSTDIDGTRNIVDSAFNHGVERVIHISSTAVYGIPDHHPLMENDRLDGVGYYGEAKIKAEEVCQEY-RKKGMCIPVLRPKSFIGPERLGVFALFYDWAKDGKGFPMIGNGRNRYQFLDVEDLCEAIYLAAEGDKDKVNDTFNIGAKEFTTMREDYQAVLDYAGFGKGFPAKPMILTLRFLEFLKLSPLYKWVYETACEDSFVSIEKAEKILGYKPKYSNKDALLRNYKWYLENLAEFENK-- 144179725 -SSRRALITGVTGQDGSYLAELLISKGYEVHGLRRRSTQRIEHLIFGEPHYGDLSDGNGLMRLIREVRPHEVYNLAAQSHVRVSFDQPAYTADTTAVGTLRLLEAIRDVQDIRFYQASSSEMFGKVVETPQKETTPFYPRSPYGVAKVYSHWITINYRESYGMHASCGILFNHESPRRG------------ETFVTRKITRAATRIKLGLQEKLYLGNLDAKRDWGFAGDYVEAMWLMLQ----QDEPDDYVVAMNELYSVREFCEKTFGRLGLDYFVEVDPRRPAEVDLLLGDATKAREKLGWIPQTSFEGLVDMMVE-------------- 225387304 MNKQRIYVSGHTGLVGSGIVKELKKQGYTNLVL-------------RTHNELDLTDQRAVEVFFDKEKPDYVIVGAGLVGIKANSEAPADFYYVNMQIANNVIWSSYKHKVKKLLYLGSACMYPKECEQPMKERMYPEATNEGYAAKIAGTRLCSYLHRQYGVDYISAIPANAYG-----VGDCFDPDKSHVIPALIMKYQKAKEQCDDKVILWGTG--SAKREFINTRDIASASIFLMNNYSAE---ETINVGTDEEVTIKELSEMIRDIVGFNGRIECDISKPDGMPRRILDSTKIHA-LGWSSSVALRDGLEELYEDYI----------- 123966601 --NKKALITGVTGQDGSYLVEFLLNKKYEVHGVDHLYQDPHENNPRFFMHYGDLTDSTNLIRLIQDISPDEIYNLGAQSHVAVSFETPEYTANCDALGTLRILEAVRVSKKTKIYQASTSELYGLVQETPQNEKTPFYPRSPYGVAKLYAYWITINYREAYGMYACNGILFNHESPRRGETFI------TRKITRGLARIDAGLEKLLFLGNLNSKRDWGHARDYVEMQWLMLQQKEPKDYVISTGRAESIRKGKPGIIWEGEGLNEVGRRADTEIVIKVDPRRPTEVEFLLGESKKASLELGWTPNTSLEELVKEMIE-------------- 136095197 ------------------------------------------------------------------------------------------------------------YNIKNLIFSSSCTVYGQADELPITEKTPQKPASVYGRTKQICEQMITDFHIEHDFNSTLLRYFNPIGSHESALIGELPNGPDNLIPYVTQTAIGKRKELTVFGTDYDTPDGTCIRDYIHVVDLAKAHVKAIVVCNEKKNAIPINLGTGNGYSVKEVLDTFQKENNIELNIKYGKRREGDITSAYADASLAYELLQWKVELGLSEMVKSAWEWEQK---------- 135326144 MKRKVALITGITGQDGSYLAEFLLKKKYLVHGISSFNTARIDHLYQDPHEKGDMTDSLSLQRIITSINPDEVYNLAAQSHVAVSFQQPEYTANTDGLGALRILEIIKNIKSKKFYQAGTSEMFGKVQEIPQSERTNFYPRSPYGAAKVYAYWITINYREAYNLFACNGILFN------------HESPVRGETFVTKKITKALCRIKYKKQKKLYLGNLQAKRDWGHAKDYVEAQWLILQ----QKKPDDYVIATGKNYSVKFFIEKVAKYLDMKIKWKGSDFRPAEVDTLLGDATKAKKILKWKPKISFDELVKDMVD-------------- 90021761 ---KKIFVAGHKGMVGSAIVRQLKKQPDVELILRDKT-------------QLDLLNQDSVTVFFENEEIDQIYLAAAVGGIVANNAYPAEFIYENLMIECNIVHSAHLAGINDLLFLGSSCIYPKFAEQPMKETGILEPTNPYAIAKIAGIKLCESYNRQYARNYRSVMPTNLYGENDNFHPENSHVIPALLRRFHEAKVSGVP-------EVVAWGSGAPRREFLHVDDMAAIHIMNLDAAVYESNTQHINVGTGVDCTIRELVEAVAGVVGYLGKITFDSSKPDGAPRKLMDTSCV-NALGWRHSISLHSGLARTYEWFLENHDKF----- 163840087 ----RALITGITGQDGLYLAELLLSKGYEVFGLIRGQNNPRETVPDVKLLTGDLTDVSSLVRVLGIAQPDEVYNLGAISFVAYSWENASLTSDVTGKGVLNMLEATRLYAADRFYQASSSEMFGKVQQVPQTEETLLWPRSPYGVAKVFGHYMTINYRESYGMHASSGVLFNHESPRRGPEFV------TRKISQAVARIKLGLQQQIVLGNLDAKRDWGFAGEYVEAMWLMLQQPEADDYVISTGETHSIED-------FLDAAFEAAELSDWRSYVRQDPMRPAEVDLLIGDSAKAKNALGWQPKVSFKELVTMMV--------------- 237749749 ----NILITGGAGFVGSQLGYVLSKKGHRVILLDNMSYGRLDNLIVNNEFFGEFIDIRSKDLLHVMKGIDYVFHFAGIAPLPDCQTDPYKAIDINVAGSANVLEAARFNGVKKVIFSSTSAIYENNKEFPTKESDETSPYLIYSTSKKQAEMLCHSFFKTYGLHIAILRFFNVYGPHQD---------------------------------------------------------------------------------------------------------------------------------------------------------- 309779482 -AGKRVFLTGHTGFKGSWLALWLRQLGADVTGFSNLFTLAEVESAMAGHTLGDIRDADGLRAAMTAARPDVVFHLAAQPLVRASYQDPAGTYATNVMGTVNVLEAARVCAGLSAIVVATTDKCYDNREWAWRETDALGGHDPYSASKACAELVAASYRRAF----FANGPLLATG-RAGNVIGGGDWSEDRLIPDAERAMRA--------GTPLVIRSPHATRPWQHVLDCLHGYLVLAQRLLAGDASCATAWNFGPDRTVEQVLQGLQQHWPALIWQLDASATTGEAGMLHLDASRARQHLGWQTAWQFETALEQTAAWYR----------- 143651180 -----ILVTGGAGFIGSNFILRWIKKNNEVLNFDNLTYASNEDNKNYFFVKGDINDKTLLKEVLSKYKPRSIVNFAAETHVDNSITSPEAFINSNITGTFNLLSATLEFYKFKFLHVSTDEVFGSLDDDKSTELSAYRPNSPYSASKAASDHLVRAWAETFKLPVNITNCTNNYGP---------HQHTEKLIPLLISNCLNWKK-------LPIYGDGKNIRDWLFVEDHCEAICEVLDK---GKVGETYNIGGNNQTSNIEITQKICS--------------------------------------------------------------- 308468034 -ARKVALITGISGQDGSYLAELLLSKGYKVHGISSFNTARIEHLYSNPIHYGDMTDSSCLIKLISTIEPTEVYHLAAQSHVKVSFDLPEYTAEVGTLRLLDAIHACRLTEKVRFYQASTSELYGKVQEIPQSEKTPFYPRSPYAVAKMYGYWIVVNYREAYNMFACNGILFNHESPR-----RGETFVTRKITRSVAKISLGQQESIEL-GNLSALRDWGHAREYVEAMWRILQHDAPDDFVIATGKQFSVREFCNIGEELQWEGEGVEEVGKNKNGVIRVKYRPTEVETLLGNPEKAKKTLGWEAKVTVPELVKEMVA-------------- 136374486 --KEHILVTGCAGFIGMHLCKALLKQNYCVSGIDNLNHYYDVRLKIDRFSKIDISNKEALDKFFKNSAIDKVVNLAAQAGVRYSLQNPNSYVESNILGFMNVLECCRHYNIKGLVYASSSSVYGDNTEIPFSVDHVVDPISIYAASKKANELMAYSYNHLFNLKSTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 136229658 ----------------------LLKKKYFVINIDHNKYSKKSYLLKDKFFRLDINNKKILT-IFKKYKPDAVFNLAAETHVDRSIDRPKDFISSNILGTFNILEQLRIYKKKYLVHVSTDEVYGDKRNEKSDEGSQYRPSSRYAASKAGADHLVKSYSRTFGIKSVISNCCNNYGPGQY---------PEKFIPTLIFNILKNLP-------LPIYGKGLNSREWIHVEDHCEGLFLIYKKGKN---GESYNIGTNNNIRNLNIVKKKKISIGKKVKIRFVKDRPGHDLKYCLNSSKIKNKLKWRPKKTFNKGLEDTLDWYLNN--------- 136289135 MKIKNILVTGGAGFIGSHLCKALLELGHYVICLDNLLTGSISNIKNFEFVKHDITERDNIDQIYNLACPASPVHYQ---------YNPIKTIKTCTIGVINMLGLAKNNNAKILQ-ASTSEVYGDPEVHPQKEDNTLGFRSCYDEGKRCAETLFMDYKRQHNLNIKIVRIFNTYGPNMT---------------------------------------------------------------------------------------------------------------------------------------------------------- 143418639 ----TIFVTGGCGFIGINLVKYLLQKTNSNIIIDNFSTSNYDYFIRIKIIEGDIKDYDSLNQAMSNS--DYVINLAAQVGVIESISDPIYDAEINIMGLINVLRSACENKIKCLVHASSAAPLGE-QIPPLSETNVPSPLSPYGASKLSSEGYCSAFSGTFSLNTCVLRFSNVYG---------------RFSFGKTSVASAFIKKMIKNEACIIYGDGKQTRDFIYVKDICQAIFQALKKNLK--GYNLFQIGTGIETSVIDFFTSISSMSERGFTVVYLDTRPGEIIRNFCDISK------------------------------------ 229492388 -------VAGHRGLVGSSVWRHLESAGFT-------------RLLGKTSAELDLRDREAAFDFFAREKPTNVILAAAVGGIAANSTFLVDFLSENLRIQVNVLDAALAHGVERLLFLGSSCIYPKLAPQPIKEEGHLEPTNDAYAAKIAGILHVQAARRQYGRPWISAMPTNLYGPGDNFSPHGSHVLPALVRRYDEAQSSAVQ-------SVVNWGSGNPRREFLHVDDLASACLHLLD---NYDGASHVNVGTGEDHTIREIASIVATEVGYTGETRWDTSKPDGTMQKLLDVSMIR-ELGWRPTIGLREGIASTISWYRDN--------- 138747732 ---------------------------------------------------------------------------------------------------------------FRFHHVSTDEVYGSLSNTAFTETHPYEPNSPYSASKAASDHLVRAWFHTYGFPVVTTNCSNNYGP--------YHFPEKLIPLVILNALNSKPLPIYGDGQ--------QVRDWLYVGDHCSAIREVLAKGQL---GETYNIGGWNEKANIEVVKTICQILDYAEQITFVKDRPGHDRRYAIDASKINRELGWKPKETFDTGIRKTVQWYLDN--------- 135480634 --KKKAFITGINGQDGSYLSNLLVEKGYKVYGLVRRNSINEHQTTRLDILNGDLLDESSLESKIRKIKPDEIYNLAAQSHVKVSFEIPQFTVKTNAIGVLNMLEAFRKFSAKRFYQASSSEMFGVNKDKFQRETTELAPNSPYGCSKVFSFYLTKFYRRAYKLFVCNGILFNHESPFRGTNFVTN-----KIVKGAVSIKLGLQNKLEL-GNLDACRDWGHSKDYVEAMHRIINHKVADDFVVASGKTFSVRDFCSITF------KKLGLNYKDYVIQNKKYFRPGEVPFLKGDARKARKILKWKPKYTIHTLIDEMIEW------------- 257052193 -----IAVTGAAGFIGSRVLDRLQAAHWELTAIDNFYLGKVREVGDVTIEHVDVRKRDRLADALDGSDI--VMHLAAVSGVDDCGDNADLAYETNVVGTHNVAWFCRQTGA-ALVFPFSMAVLGDPQSFPITVEQPRDPMNWYGRTKVLGEQAIQTYADGA-FPAHLFLKSNLYGSHEVGDRVVSKGTVINFFLGRLFDGE----------TLTVYEPGTQARNYIHVKDVARAYVRSAERLEEQGETGVERYEIASDMTVAEQIQEIAGEYGLDADVDLVEPRAGDVSEFGVDTSAAHETLGWEVRHSIEETVRE----------------- 136523865 ----KVLILGSNGLVGSSLVRILSK------------NKEYDEVIPSNRETLDLFKFDNVQDYIGDLQPDVIINAAAVGGILANNTYRADFILDNLKINVNLLEALIPFSDIKLINLGSSCIYPLNAKNPIKEEELESTNSPYAMAKLTAIELGNSLKSQYGHKVINLMPTNLYGPNDNFSDKESHVIPGLMGRMHKSKIEG-------LNEFEVWGTGNPLREFLYVDDLANAISFIIDNNIED---ELINIGSNQEISIKELVNSLIEVITYKGEVKFNDNYPDGNPRKLLDSSKISSY-GWKPQIQIQEGLKLTYQWYLEN--------- 141654805 LKMRTILVTGGTGFIGSHTSIGLLLRGYKICILDSLVNSKKDVLQKIDFVNCDIRDSDKLEKVFINFQIDAVLHFAGLKAVGESVKFPIEYWDNNVGGTINLLRVMRKYNCKTIIFSSSASIYGDTNKEYLDENSVINPANPYAKTKSIIEGYLKDIFDPNSWRIANLRYFNPIGAHPSGEIGENP--------------------------------------------------------------------------------------------------------------------------------------------------- 140713718 ------------------------------------------------------------------------------------------YASTNYTGSLNILDQMRSHNIKKYVMASTSSIYAGSEMPYIESSAVNQPISPYAASKKAAELIAYTYSHQFNLDVTIVRYFTVYGP----------AGRPDMSVLRFIKWIDEGKPIVLYGDG------TQSRDFTYIDDIANGTIAAAKNFSN--YEIINLGGGKNPVSINMLISKIENLIGKKSVIDQRPFHSADVNSTWADIYKAKDILDWSPKISLDEGIEKTVKWYLDNKSWLSK--- 291287755 -----IVVTGGAGFIGSNIVKALNEKGNDILIVDNLKNS-AKHLNLNRLDFADYIDKTSFFDIFDEIEIEIVFHQGACSDTMES---DGKYMMENNYDYSCALFNNCVQQGIRFIYASSASVYGNGDDGFIEKSECEYPLNVYAFSKYLFDSYVRKFPQVVKTQVVGLRYFNVFGP------QENHKGRMASVIRHFFNQYRENKHIKVF-----EGSTEFKRDFIHVDDVVNVNMHFMENSFLNG---IYNCGTGEYRTFADIADVFKKRYTDASEIPFPESLVGKYQKYQADVDKLRSA-GYEGEFSLEDGV------------------- 78188630 --NKVALITGITGQDGAYLAELLLGKGYIVHGIKRFNTQRIDHLYKDPHHHGDLTDSSSLIRIIQQTQPDEIYNLAAQSHVAVSFEEPEYTANSDALGALRILEAIRIEKKTRFYQASTSELYGLVQEVPQKETTPFYPRSPYAVAKLYAYWITVNYREAYGIYACNGILFN------------HESPVRGETFVTRKITRALARIKLGLQQCLYLGNLEAKRDWGHARDYVEMQWLMLQ----QEQPEDFVIATGIQYSVRDFVNAAAKELGMAPIIEVDPRRPTEVETLLGDATKAKERLGWVPKTTFDELVAEMVR-------------- 143631601 -------------------------------GIDNINNYYDVNLKKDRIRHIDLCDYKKLNNIIKKKKIKIIIHLAAQAGVRYSIQKPKTYFKSNLEGFFNILETSRQNKIKHLIYASTSSVYGDSKKFPLSEINRTDPLSFYAATKKSNEVMAHSYSYIYKLPCTGVRFFTVYGP--------FGRPDMALFKFTKHILNNQ--------PIDLYNKGNHLRDFTYVDDIVDGIYSLIKKQSKKSPYEIFNIGNGTPKKLLDYLKHIEKNLNKISKTNKLPLQVGDIVKTHSNINKLKKYTGYKAKTNIKIGISRFIEWYKDYYR------- 136413593 MKT---LITGVAGFIGAKAADVLLKAGHDVTGIDNLNDYYDPDLKKDRFVQASIEDPGVIMDLFQTHKFDTVIHLAAQAGVRYSIDNPRAYVQSNLIGTFEILEAARAHPPRHMLLASTSSAYGANTDMPYREIQKADHMSFYAATKKANESMAHSYAHLYGLPITMFRFFTVYGS----------WGRPDMAPMKFAKRILAGEPIDVYNHGNMRRDFTYVNDLVH---------------------------------------------------------------------------------------------------------- 143727634 -KNSKIFVTGHTGLVGNAIVKRLKIAGFKRII-------------TIESKKLDLRDQRSVFAYFKKNKPAYVINAAAVGGIYANSKYPVEFIYDNLQIQNNIIYASLKYNVKDLLFLGSSCIYPKYCKQPIKEKYLLKTNESYAIAKIAGIKLCESINLQFKKNFKCLMPSNTFGVN-----DNYHPMNSHFLPALISKIFFAKKNKKKHITLWGSG--NPKREMIYVEDIADACVFFLGKKTNE---HLINIGSGKDFKIKEYAKMIMKILNCDFKIKYDKSKPDGTPKKLMDISLAKS-LGWEPKYKFIDALKKIV--------------- 136428342 ---KVVYVTGCLGFIGSYITRTCLGKGWYVKGVDKVTYAANKHLLDFSFVHCDINDLKFL------YDCDYIINTAAETHVGNSISNSDDFVHSNISGVHNLLELIRNHRKPILLHFSTDEVYGDIESGTHTESDLLHPSNPYSATKAAADQLVLAWARTYDLPYIIVRPTNNYGVG--------QYVEKLIPKSCKYLHLGKRIPLHNNG--------TPIRNWLHAQDTANAIITIIESITNEIYNIAGGFEQENIVTVKKIIKEYLGEVDYASYIDMSINRKGQDVRYALDDSKLRK-LGWGPIKVFDQELPKIVEYYKD---------- 292493475 -----IIVTGGAGFIGSNIIKALNQRGREDIVVDDLTQG-EKFINLADCEIWDYWDKEQFLHAIEPHPPEAMVHQGACSSTTE--WNGRYMMENNYHYSKRLLHYCLERRIP-FLYASSAAVYGHGP-TFRERRKFEAPRNVYGYSKFLFDQYVRRCLPTAPSQIVGFRYFNVYGPR------EAHKGSMASVAYHAHCQLKDTGRIKLFEGCDGYGHGEQRRDFIYVADVAAVSLWFLEHPHCSG---IFNVGTGQAQTFNEVARAVLAFHGRGE-IEYIPF-PDHLRGRYADISALREA-GYTAPFPVEEGVKTYLDWLRENQ-------- 183980903 ----KVLLTGAAGFIGSRVGAALSAAGHEVVGVDVLAAHGPNPVLPPGCHRVDVRDADAMAPLLAGV--DLVCHQAAMVGAGVDAADAPAYGGHNDLATTVLLAQMFAAGVRRLVLASSMVVYGQGRYDCVGEEAELRPRSLYAASKTAQEHYALAWSESTGGSVVALRYHNVYGPGM------PRDTPYSGVAAIFRSSLEKGEPPKVF------EDGGQMRDFVHVDDIAAANLAAAQLEVDRHGFVAANVCSGRPISILQVASALCQARGDALSPVIGQYRSGDVRHIVADPSRAAEVLGFRAAVEPLEGLR------------------ 167628945 MKKKHIVVTGSTGFLGRHLVPILEKQ------------YGRENVTALSSKDYDLMDPIQVKQMFNDCPMDVLVHLAAYSGIGANRKYPADFYYRNTLLTALVFEEAGKRKITKLIYPMGGCSYPAKAISPIDEEQMQEESAGYSAAKKMGIVASRSYRTQYGLNSVVLIPGNMYGEYDNFRNDESHVVPAMIRRYFEAMRGGAP-------EVIMWGTGNPQRDFVYAGDVAATIPWFIENYDS---SEPVNISSGTTTPIRVLAETIKKQMNYPGDILWDTSKPDGQMIKIFDVKRLTS-LGLNCPTTLEEGLKRTINWFVANYDNASD--- 270492433 ---KTALITGITGQDGAYLAELLLEKGYTVHGIKRINTERIDHLFQDPHHYGDMTDSLSLVRIIQKIQPDEIYNLAAQSHVAVSFEEPEYTANSDALGPLRILEAIRIEKTCRFYQASTSELYGLVQEIPQRESTPFYPRSPYAAAKLYAYWITVNYREAYGIYACNGILFN------------HESPIRGETFVTRKITRALARIKLGMQEQLFLGNLDAKRDWGHARDYVEAQWLMLQ----QDRPEDFVIASGIQYSVRDFVDAAAKEMGMSLSWQVDPRRPTEVETLLGDPSKAREALGWVPRTSFGDLVREMAQ-------------- 140890652 ----------------------------------------------------------------------------------------------------------------------------------IKEEGFIEPITPYGSTKLTIESFLLYSFQKDNWNIINLRYFNPIGAHPTGIIGEEKTNNTNNVFPLIIDVASSRKTLEIFGNDWETFDGTCIRDFIHVMDVAEAHIKAFQFNKDSSQFLSLNIGTGNGTSVLELIKIFERVNNIKVNYVFGKRRVGDVPFIVADNSYAKKILNWKPTKSLEDMCRDGWKWKVINPNGYE---- 143025076 --------TGGAGFIGSHLVDELIESGYAVRIIDNLSSGSLDLILSNPKVEFIEGDITSIEVVMKMEDVECVFHLAANPDIRLGTQITDTDLKQGTIATYNILESMRVNEVKKIIFASSSVVYGEDAPMPTPENHGPCPISLYGASKLASEGLITSWVGTFGFQAWIFRFANIIGER------------GTHGVIFDFIHKLKRDNTRL----EVLGNGLQEKSYMEVKDCVKSIMHIFSTIDAPIN--LYNLGSHDTCSVRRIAEIVVEETGCSNSIEYGGDRAGDIPRAMLSIEKMLS-TGYDVNYTSETAVRHTVR-------------- 140883233 --KKNILITGGAGFIGSHVVRLLLDKDYNIYNLDKLTYAGNEHYKNYHFLKEDICDFERMKVLFKEYSITDVIHLAAESHVDRSIKDPFSFAQTNVMGTLSLLQAAKEHWNHLFYHVSTDEVYGLGEEGFFTEETAYDPHSPYSASKASSDHFVRAFADTYRMPTVISNCSNNYG-------------------------------------------------------------------------------------------------------------------------------------------------------------- 135514030 -----------------------------------------------------------LAKVFDKNSFDIIIHLAAQAGVRYSFTHPEKYLESNIISFNNILELSRVNSIKNIFFASSSSVYGDQKKFPINEDKNLSPVSFYGETKVVNEILAKSYAENFNMNITGLRFFTAYGP--------YGRPDMALFKFVDKIKKGNKISLFNFGKHE--------RDFTYIDDVINSVVKLVKIRLSKKKLKNYNIASGKPRKLIDFINIIENNLKIKAKFENLSLQKGDVIKTHGDIKNLVKYTNYKPKFNIELGIKKFVNWYLEY--------- 143308444 ----RALVTGITGQDGRHLAELLHAKGYEVFGLVKGQNSIREEFPFVEIVPGDLADFSSLVSALERSRPDEVYNLGGVSFVAMSFNQAELTANITGLGVLRMLEAIREDRRIRFYQASSSEMFGKVRETPQSELTPFHPRSPYGVAKVFGHDITVNYRESYGLYACSGILFNHEGPRRGLEF------------VTRKITHAVARIKLGLQQEIVLGNLDAKRDWGYAGDYVEAMWLMLQ----QPEPDDFVIATGETHSIGEFLDLAFRAAGLGDWHPYVRQRPAEVDLLVGDATKARTKLGWVPRVDFPGLVEMMVR-------------- 309301060 -RKRRVLITGITGQDGSYLTELLLDKGYEVHGLSSFNTGRIEHLYKDVHERGDLTDTTNLVYIISQTQPTEVYNLAAQSHVKVSFDMAEYTGDVDGLGTLRLLDAIRTCKHVRFYQASTSELYGKVAETPQTESTPFYPRSPYGVAKLYAYWIVKNYRESYDMFACNGILFNHESPR------RGRTFVTRKISRAVAEISLGQQECLYLGNLSAKRDWGHAKDYVEGMWKMLQQDKPDDFVLATGETHSVREFVEKSFAVVNIVIKWQGELDSVNEIRVDPMRPAEVELLHGMPKKAESLLGWKRTVDFDSLVREMVE-------------- 146342028 ----KIFVTGACGYKGSVLVPKLLKAGHKVVAFDIMWFGNLEPHPDLTVVQGDVRNPDAID----LTGVDAIIHLSSVANDPCGDLDPKLTWEISCLATMQLADRAYRHGIKRFIYASSGSVYGVKEEEQVTEDLELLPISEYNKTKMCGERIVLSYKDDMVVQIVRPATVCGYSPRQRLDV----------SVNMLTMQALMNGRITVFGG-------DQTRPNIHIDDITDLYLFLLDHPEHTG----VYNAGFENISILDIANMVTKHVPAEVIVT----PSNDPRSYRVNSDRLLA-TGFRPKKTVEDAIKEIIRWY--NLKWMEKE-- 142233474 --SKRAFITGITGQDGSYLAELLLDKGYEVAGMVRRSSTVNFERISHLMDQGDLLDQLSIIEALKLFEPSEVYNLAAQSFVQTSFSQPVLTGETTALGVTRMLDAVRLTDPIRFYQASSSEMFGKVAEVPQKESTPFHPRSPYGVAKVYGHWITVNYRESYDLHASSGMLFNHESPRRGLEFV------TRKISHTVAQIALGHVDELHLGNLDAKRDWGFAGDYVEAMWKMLQQDTAGDYVVCTGETHSVKEFCEVAFSHLN--------LNWENYVKIDDKRPAEVDLLVGDASKAHEILDWKPKVGFEDLVKMMVD-------------- 305665777 --KKKALITGVTGQDGSYLAEFLLEKGYEVHGIDHLYKGVDSHELDFHVHYGDMTDSSNIMRLLQQIQPDEVYNLAAQSHVRISFDIPEYTANADAVGSLRILEAIRIENKTKYYQASTSELYGKVQEIPQKETTPFYPRSPYGVAKMYSYWLTINYREAYNIYACNGILFNHESPRRGNSFVTKKIAE------SVAKIKAGKLEKMRLGNLDAKRDWGYAKDYVKAMWLMLQQETAEEFVIATGETHTVREFIEKAFNYIEIDIAWKKTNQILVTIDPYYFRPTEVDILIGDATKAKEKLNWEASVKFEELVDIMMEFEL----------- 141187471 ----RVLVTGADGFIGSHLVEALLKAGHQVRAFCLYNSGWLDSIPPAAKAEGDIRDPLCVREAMRGC--EQVFHLAALIAIPYSYVAPASYIDTNIHGSLNVVQAARDLGVNRVVHTSTSETYGTAQFVPITETHPLVGQSPYAASKIGADQIALSYWRSFETPVAVLRPFNTYGPRQS---------------------------------------------------------------------------------------------------------------------------------------------------------- 134405643 -------------------------------------------------------------------DPDLVIHLAAESHVDKSLIDPSNFIQSNIIGTFNLLEASRNHFTFRFHHVSTDEVFGSLDDGQFNENSNYAPRSPYSASKAASDHLVNSWFYSYSLPIVISNCSNNYGP--------YQYPEKIIPLAILNGIKGKDIPL--------YGDGMNIRDWLYVDDHVEALLLVAKRGVI---GQRYCIGGNCEKTNKALLNHICNLLDIYCPIKYVDDRPGHDKRYSINSKKISIELGWKRKYSFEEGIEKTVQWYLNNTKWWGD--- 136302774 -KDRIVLVTGHTGFKGSWLVYWLVQMGAKVIGYSLEAPTNPNHILSIDSVIGDIRDEEKLNNTFENYKPEIVFHLAAQPLVRFSYTDPVNTYETNVMGTLKIFEACKKHDVKAIVNITSDKAYENKEWERYKENDSLGGYDPYSSSKGCADLLANSYRNSFFNPDEYKKLHNTASCRAGNVIGGGDWAKDRLISDIMVA--------ASQGKKVSIRNPQATRPWQHVLEPISGYQRLLQEEVEYGDAWNFGPSEEGSTSVEEVVKNVKDQWNFEYEFNKELNQPHEARLLKLDCAKANKLLSWHEVWDSKKTFEKTVNWYKSFYE------- 91976126 --SKIAFITGITGQDGAYLASLLLEQGYAVHGLLRRSASADGMVSRLKWHDGNLTDLSSLIRVLGDVRPHEVYNLAAQSFVKSSWQQPLLTGTVTGLGAVNALEAVRTACPERFYQASSSEMFGMIQEPVQSETTPFYPRSPYAAAKLYAHWMTINYRESFGMHASCGILFN------------HESPLRGIEFVTRKITDGVARIKLGLQQQLVLGNLDAKRDWGHARDYTRAMWLMLQ----QDRPDDYVIATGRAASVREFCEMAFACVGLDMHVVTDPRRPAEVDVLKGDASKAKQKLGWQFETPLERLIEEMVE-------------- 143429408 ---KNILITGGAGFIGSHVVKRFVNKNYNIYNVDNLTYAGNEDKKNYFFFKIDIKNHNEILKLFYDKKITDVIHLAAESHVDKSIENSFEFAKTNVLGTLSLLEASKISWNNIFYHISTDEVYGLGLEGSFTEYSKYNPNSPYSASKASSDHFVRAYHKTYGLPILISNCSNNYGPNQ----------------------------------------------------------------------------------------------------------------------------------------------------------- 136548469 --SMKIFVTGGAGFIGSNYVRWLANTDHEVTVFDALTYAGNDDNKRYSFVKGNICQPGDVDGAMRGH--DAVVHFAAESHVDRSIEGSEDFILTNCFGTNVIMDAARRLEMQRVVHIGTDEVYGSVEVGSSKETDGLEPRSPYSASKAGSDLIALSYHATHGLPVTVTRCTNNFGP--------YQYPEKAIPLFTTNLLDGKQIPL--------YGDGLNERDWLYVDDHCTGVHLVLEK---GNVGEIYNIGAGNETANRVLVDKLLRHC------------------------------------------------------------- 140974596 ---KKIVVTGGCGFIGSQIIKFLLKKKYKILVLDKLSYASNLSLKYFHFKKIDISNEDKLIRILKKFQPDFIINCAAETHVDRSINDPDRFIKSNIIGTYNILEYLKKNIKCRLVHVSTDEVFGSLKNLKFNENSKYNPMSPYSASKASSDHLVRAYGNTYNIDYIITNCSNNYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 143265882 ----KVLVLGSNGLVGSSLVRILS---------DNKIYS---EVIGSNRQNLDLFELNDVKNFIEDSRPDVIINAAAVGGILANNTYRADFILENLKININLLEALIPFEDIKLINLGSSCIYPLNAKNPIKEEELEATNSPYAMAKLTAIELGNSLKSQYGHKVINLMPTNLYGPNDNFSDKDSHVIPGLMGRMHKTKIQGEKD-------FEVWGTGKPLREFLYVDDLANAISFIIENNIED---ELINIGSNQEISIKELVNSLMDVINFEGKITFNNNYPDGNPRKLLDSSKISSY-GWKAKVQIDEGLRLTYSWYLNN--------- 123406127 ----RVCIGGGAGFIGSHMGKFLRAKGYWVRAVDWAENEFWKPEEFCDEFQLDLRTYENCAKASAGCK--WVFNFACMGGMGFIQSNHSVIMYNNLQISSNMLEAARRNGVERFFYSSSACVYPDNPGLPEDCVWPAQPQDGYGLEKLCTEELAQHYSKDFPMKTRIARFHNIYGP-----WGIWRGGREKAPAAFCRKAICSKEKFDIWGDGLQTRSFTYIDDCLEGVW----------RLFNSDWDKPINIGSEEMVSMNQLAELALSFEGKKMPLVHGPGPEG-VRGRNSDNRLIRKVLGWEPKIPLAEGLRKTYDW------------- 218548295 ----RVLILGVNGFIGNHLTERLLEDHYEVYGLDIGSDAISRFLTHPNFHEGDISIHSEWIE-YHIKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVQYH-KRIIFPSTSEVYGMCTDKFFDEDHINKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGG--------KQKRCFTDIRDGIEALYRIIENTGNRCDGEIINIGNDNEASIEELGKMLLASFDKHPLRQHFPPFAGDVEHRKPSIRNARRCLDWEPTIDMQETIDETLDFFLR---------- 269791636 ---KRALITGITGQDGAYLAEFLLSKGYEVHGLKRFNTGRIDHLYQDPHVEGDLTDSSSVIRILQEVRPHEIYNLGAQSHVKVSFETPEYTANGDGLGVLRVLEAIRMEDSCRFYQASTSELYGRASEVPQRETTPFYPRSPYGAAKLYGYWITVNYREAYGIFGCNGILFNHESP------LRGETFVTRKITRGAARISLGLDDRLYLGNLDARRDWGHARDYVRAMWMMLQHHEPGDYVIATGRCHTVREFAEVGISLKWIGSGVEEKGIDADTVEVDPRRPTEVDVLLGDYTKARETLGWEPTVTPEELVDEMV--------------- 143603316 ----KILVLGGYGFIGSHIVNKLKKQNHTVAVVDCYHQYYKNNAKADKEYIGQIEHLQFMQNVFQEFKPDRVIHCATYPNAKMVARNLVDATNNMITSTGYILDLCVKHKVQKFVFASSSMVYGDFKNSVPDETVDPKPNTLYGSFKRQGELMCKIWHREKGLNYIIMRPSALYGTT-----------------DAIVRVISQLTKNCLVNGKMTVQGPNNKLDFSNVHDVAQYFCF---ATTSEIYNETFNCTRGHGRKIIEAAELIKSRL-SHGDIVTVPHDDFYPNRDTLNSDKAKSMFNWAPTVDIETGIPEYIDWFLK---------- 144127191 ----KALITGVTGQDGAYLADLLLEKGYEVHGVDHLYADPHEKPTNFFMHYGDMTDSTNLIRLMQEIQPDEVYNLAAQSHVKVSFETPEYTANADAIGTLRMLEAIRIEDKTRFYQASTSELYGLVQAVPQNETTPFYPRSPYAAAKLYGYWITVNYREAYGIHASNGILFNHEGPTRGETFVTRKITRAVAAIHHGRQEKLY------LGNLDAKRDWGHARDYVEGMWRICQQDSGDDYVLATGETHTVREFV--ELAFAEIDVSLEWLGSEQNEVGHCSKRPTEVDLLIGDPTKAKEKLGWTHSTQFKDLVAEMVQ-------------- 134892532 IKSSKIFIAGHNGMVGSAILRHLSFLGYKNII-------------TKSSKELDLRNQDLVKQFFKDENPEYVFLCAAVGGIYANNKFKAEFIYDNLMIEANVIKFSHEFNVTKLLFLGSSCIYPKKASQPIKEEYLLETNQPYAIAKIAGIELCKSFRDQYNSNFICAMPTNLYGYN-----DNYHPKNSHVVPSLIRKFCRAIKNNLSLVEIWGSG--SPLREFLFVDDLAEACVFLMHNYNNK---EIINIGFGSDVSIKDLVKLISKKTNYKGKIIFNKSMPDGTLKKLMDSSKINS-LGWKPKISLHVGLELAINDYKNN--------- 149204681 -------------MVGAAILRRLEAR---------RGAGEDLTLITRTHAELDLTSQAAVRDFMQSERPDVVILAAAVGGIHANNTYPADFIYENLMIECNVIHQAFDAGVRRLLQLGSSCIYPRAVPQPMREDAVLEPTNPYAVAKIAGIKLCESYNRQHGTDYRSVMPTNLYGPG-DNFHPENSHVLPALIRRFHEAAQEGRDEVTIWGSGTPRREFLHVDDMAEASLFVLDLPRDVYAANTQDMLSHINVGSGTDISILELAQMVAEVTGFQGKITTDPSKPDGTMRKLMDVSRL-ATMGWRARISLREGIEDAYRWYLA---------- 134481285 --KRRVVVTGGAGFIGSHLVDRLVKRDEEVIVLDNFSSGQLEF-LEDSIENITLIDIDLLNEDFAGYGAKIVYHLAANPEVQLGITKPEVMQEQNVDVTKRVLEAMKLTGCENIVFTSTSTVYGDAEKIPTPETAELKPISAYGTSKLDAEKLIEKYCKENDFRGVSYRFANCVGPR------------------------------------------------------------------------------------------------------------------------------------------------------------ 140132092 ----KILVTGGLGFVGSNLVDTLATMGPECIVIDNLSSESSSKDYKHPGVKYFIEDIRNINNKWAVRGYNLIFHLAGLARIQPSFENPVEYVDVNCGGTAKVMELARLLDAKLIYSSSSSINNGEYK-------------TPYTFSKWAGEEVAKTFSHCYGLKSAICRFYNVYGPR------EPMTGNYATVIRKFQRQYINGEPLTIVGD------GNQRRDFTHVNDIVDGLIKVSEVPF-IGPAELHHLGSGTNYSINELANMF-----TGHPTKHESLRRGEGEVTLADYSKTFKRLGWKSKQNLEEYIREFIK-------------- 140498227 ----KAIVTGGAGFIGSNIVDKFVAEGLDVIAVDNLSAGQGNVNPNAKLEEVDVRDLDALKKLAS--DCDYFVHCAAAMPIKPPFEDTVEHEEINVIGTMQCLKALEGTSVRKFIYASSCAAYGQAATLPITEETPTELLSPYTIQKFCGEQFSLLLGQRFGIPVVSLRLFANYGPR--SLQNTKTTNTYSPVIGIFLKQMLAKEPLTI------TGDGSQSRDFINVEDTARIFYEV--AVADNIENDIFNVCSGRRITIQELADII------SPNQTYIERTYGEVEHIHGDNSKL----------------------------------- 142865546 ----KCLVTGGAGFIGSNLVDTLIEEGHEVICVDNHSDAHDQYNEKAQNVTGDIRNYHLMSGLMAGV--DYVFHLAAEARIQPAILNPIEAVDINARGTCTVLQTAREAGVKRVMYSSTSSAYGMN-NSPNVETQPDDCLNPYSISKVCGEKLCHMYTQLYGLPTVTFRYFNVYGERQ----------------------------------------------------------------------------------------------------------------------------------------------------------- 310780461 MSNKVALITGITGQDGSFLAEFLLEKGYEVHGISSFNTGRIEHLYMDDLIEGDMTDSSNLIRLIQKIQPDEIYNLAAQSHVKVSFDMPEYTADTDGIGTLRILEAVRMEKKTRIYQASTSELYGKVQEVPQKETTPFYPRSPYGVAKLYGFWITKNYRESYDMFAVNGILFNHESERRGETFV------TRKITLAAARIAQGKQKKIYLGNLDAKRDWGYAKDYVECMWLMLQHDKPEDFVIATGEMHTVRKFTTYAFREMDEKGICKRTGKVLVEVDPKYFRPAEVEQLLGDPTKARTVLGWNPKTSFEELV------------------- 135365221 ---KNVLITGGAGFIGSHLVKFFVKNDCNIINIDNLTYANLREIEDQPNYRFDICDFSEAKKIFIKEKIDSVIHLAAESHVDRSISDPFSFAKTNVLGTLSLLQASKISWKDNFYHVSTDEVYGTGEKGLFTENNPYDPHSPYSASKASSDHFVRAFYDTYKLPIVISNCSNNYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 137387437 ---KCVVVTGGAGFIGSHTCLLLLERGYSVFIIDSLANSFIDTIDRIKFYKSDLKDCNALENIFEEFDIESVFHFAGFKSASKSIDNPIEYWNNNLMATINLIRISCKYSCKNLIFSSSAIVYGNENNTPLKEDSIVKPLNPYGHTKQAIENILFDVQKESRMRVICLRYFHPIGAHVSG--------------------------------------------------------------------------------------------------------------------------------------------------------- 141879690 ------LITGGAGFIGSNLADELLKMGHKVTVVDNYSDAHDQWNKNTYNVNCDIRDYKTLKN--CMHDVDYVFHLAAEARIQPAIKNPIEAVSINSLGTCTVLQCAREAGVKKVMYSSTSSGYGLNP-HPNVETQPDDCLNPYSVSKVNGEKLCKMYTDLYGLKTVIFRYFNVYGDRQ------PVRGQYAPVIGIFLRQLAAGEPLTIVGD------GEQRRDFVNVLDICRANIM------------------------------------------------------------------------------------------------ 198275337 ---KKILITGITGQDGSFLAEFLLEKGYDVHGTIRRSSAHLEGKPNFHLHYADLGDSMSILQVISKVRPTEIYNLAAQSHVQVSFDSPEYTANVDATGVLRVLEAVRLCDTCRIYQASTSELYGKVEEVPQNENTPFHPYSPYAVAKLYGYWIVREYREAYNMFCCSGILFNHESERRGETFV------TRKITLAAARIAQGKQEKLYLGNLDSLRDWGYAKDYVECMWLILQHETPEDFVIATGREFCYLAFKYAGIELEFKGEGIKATGKCLVEVSEDFYRPTDVVNLWGDPTKAKAKLGWNPKTTFEELVKIMVD-------------- 78358734 -EGARVLVTGHTGFKGSWLTAWLLELGATVAGFADSPSGYAAMGLENRIYTGDIRDRDAVQAAFADFRPQFVFHMAAQALVRRSYREPAATFATNAMGTLNVMEAVRTTPEVRVVVSITSDKCYRNDEWVWRETDHLGGDDPYSASKACAEIVAHSYITSFFTSGPRC-----ATVRAGNVIGGGDWAEDRIVPDCARAWAA--------GEPVIIRNPSATRPWQHVLEPLSGYLWLGAGLAAESAATAENPLHGQAFNFGPPAEVIRTVGDVGAHWPGFTARLGTPPALKLCCDKALARLGWRAVLRHEETVRFTAEWYNAFYSGASGD-- 135824579 ---------------------------------------------------------------------------------------------------------------FNFIFSSSCTVYGQSKNLPVSEDPIQKATSPYGNTKQICEEIIEDFCKSNNVKSISLRYFNPIGAHISSKIGELPKNPNNLVPFITQTGIGKQKELSVFGNDYPTSDGTCIRDYIHVVDLAKAHVKAFEILQNSFDKINYNIGTGLGHSVFEVIKAFEKVSGIKLNYKICPRRSGDICEAYANTEKANKILKWKAKHSLEEAILSAWEWEKN---------- 139031501 ----KYLVTGSSGFIGFHLCKRLCELGFEVHGVDNHNDYYDVDLKESRFFKLDLNDKAKIDSLFKNNCYEKVIHLAAQAGVRYSLTNPLTYGESNLSGFLNILEACRTYCPKNFIFASSSSVYGNTNKFPLNESDPTDPASLYAATKKSNELLAYSYSSLYKLPSIGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 240171284 ----KVLLTGAAGFIGSRVAVALSAAGHEVVGVDALAAHGPDAQLPPGCHRVDVRDGDALAPLLAGV--DLVCHQAAMVGAGVDAADAPEYGAHNDLATTVLLAQMFAAGVRRLIFEHRCPACGDPRWRLVDEAAAVRPRSLYAASKAAQEHYALAWSESTGGSVVALRYHNVYGPGM------PRDTPYSGVAAIFRSSLEKGEPPRVF------EDGGQMRDFVHDDVAAANLAAADLTAADRGGFTAANVCSGRPISILQVAIALCEARGDSTAPVIGQYRSGDVRHIVADPARAADVLGFRAAVAPVEGLRDF---------------- 141902102 ----KVLITGGCGFIGSHAVRYFVKNNYMIFNLDNLTYGNIENLNDYIFIKGDIKDKDFISNLFQQYKFDRIINFAAETHVDRSIISSFDFLRTNIIGTVNLLDSFKKLWSENFQQISTDEVFGLTESGYFNENSPYNPSSPYSASKASSDHFVRAYGKTYGLPYIISNCSNNYGP----------FQFPEKLIPLFINNIIQNKPLPVYGNGC------QVRDWLFVEDHVDALDLLFH---SEKKFDSYNIGGLNEIKNIDLVNLLCYKMGLK---------------------------------------------------------- 135973286 --KKTALVLGAGGFIGSHMVKRLRSEGYWVRGVD-LKSPEFSKTEAHEFVHGDLRDIGFVKRVIEIYQFAADMGGAGFVFSGDNDADIMYTINSNLLECQRLMNSDKNESKTKIFFSSSACMYPDPNNPDCREESAYDPDSEYGWEKLFSERLYLAYSRNYNIPVYIARYHNIFGP--------EGTWDGGREKSPAAICRKVAELPNESGTIEVWRDGLQTRSFLFIDECVEATYRLVQSDF----SGPVNIGSEEMVTINDLVDTAAKVADKNVSKIYVDGPLG-VRGRNSNNDLIREKLDWDYSQTLEEGISKTYNW------------- 84489792 METNRILVTGGSGFIGTNLVNELRSRGHEVIAADLLNTDREDYV------RTDVRNYRQIERTLEDEGPDYVYHLAAEYGRWNGEAYYENLWQTNVIGTKNMIRLQ-EKNKFRMIFFSSAEVYGDYTGKMSEDVMENNPINDYAISKWDGELMCMNSAKMFGTETVRVRPVNCYGPGEKYTPYRGFIPKFTYLALHDKPY---------------TVYEGHKRIIDYVGDTARTFANIVDNFI-PGEAYNIGGNQEWEMDIKDYSDLVLEATGRDDSVTYKEAEPFTTKIKTIDFSKSIRDLKHDPKIKPKEGIKKTAEWMKWYYR------- 141171222 ---KNVLVTGGCGFIGSNFVKYLISKCYFPIVLDKLTYGNKENINQISEEEGDICDEQLLLNLFKKYKFDGVFHLAAESHVDRSIDTPREFIDTNIIGTFNLLQASRNKNNFKFIHVSTDEVYGDGSDGYFDEESPYRPNSPYSASKAASDHLVRSWGKTFNLPVIITNCS------------------------------------------------------------------------------------------------------------------------------------------------------------------ 135216492 --NMKILVTGATGFIGSNIAKGLCERGHEVIGIGR---NNEESLEGLNIKLIKLAFYENLKEI---GRIDVLFHEAAIVDTAL--EDEAKMNYVNCNAAIDLFKGAIRQGCKKIIYASSTAVYG-NSRAPFVEGKGEEPLNAYGRSKLNLDIEAMKLAKENPKTIIVGRYCNVYGPGES-------------HKGKMANMAYLLTKQIKENNPRLFNYGEQRRDQIYIKDVVRAN---LCAMTNAKKSCIVNCGFGKAISFLEMVDTLNKMLGEKKEVEF----IGEPPHTACDMGLAEKLIGFVPKFDFLKGVKDYYK-------------- 77917642 ------IVTGGAGFIGSNIVQALNARGREDIVVDDLTDG----TKFANIADARICDYLDKDEFLRRIRIEAIIHQGACSTTTE--WDGRYMMENNYAYSKTLLHYCLERRIP-FLYASSAATYGGGQ-VFCEEPQYERPLNVYGYSKALFDQYVRRVLPTADSQVAGFRYFNVYGPR------EQHKGSMASVAFHLRNQLLKDGSVNLFEGCDGYGDGEQRRDFIYVGDVVDVNLWFLDHPEVSG---IYNVGTGRSQTFNDVAHAVLKAHE-GGELQYVPFPEHLKGRYQADLTRLRGA-GFDGSFTVEEGVARYMEWLQQN--------- 142695869 ---KNILITGGAGFIGSHVAENLLAKKLNIFIIDKLKKGNKNLINRRCYVKGNIIEINKVKKVLIKNKIDSIIHLAALIDVQDGERNKLKFYKNNVLATQNLIKACNNTYVKNIIFSSSAGVYGNSKS-PVTENSKTRPINYYSKTKLMSEKILIKLSKKYKVNYCILRYFNVCGASPS---------------------------------------------------------------------------------------------------------------------------------------------------------- 141263399 ------LVTGGAGFIGSNLVDYLLSKGHEVVCVDNESAECNDKFYWNPNVKADVTDYKAMKNVFTGV--DYVFHFAAEARLQPAIKNPIEAVYKNCVGTTTVLQCAREAGVKRLVYSSTSSGYGNNPSPNI-ETQPDDCLNPYSASKVAAEKFCKMYSDLYGLETVVLRYFNVFGER------------------------------------------------------------------------------------------------------------------------------------------------------------ 137218219 MTTKNILITGVAGFLGSHLSEKLLDLGHKVVGVDNMIGGYEDNIHKNIFHNLDCSDFSRVKKIMK--NIDIVYHCAATAHEGLSVFSPYEITKNNYLASVSIFSAAVNEKVKRIIFCSSMARYGD-QQTPFTEDMSPKPVDPYGISKVAAEDVLKNLCDLNNIEWVIAVPHNIIGPRQ------IYTDPYRNVVSIFLNRMLQGKPPIVYGDGEQKRCFSYIDDCLSCLVPMLDQKNLNKQVINIGPDEEF---------------------------------------------------------------------------------- 138707780 MSNKSILITGGAGFIGSHVVRLFVNNNYDIYNLDALTYGNLENLKDIECKRGDITDEKYINSIFKKYQFDSVIHLAAESHVDRSITDPLVFAKTNIIGTIVLLNTFKEFWKDCFYHISTDEVYGLEESGLFTESTPYDPNSPYSASKASSDHFVRSYGETYGLPYLISNCSNNYG-------------------------------------------------------------------------------------------------------------------------------------------------------------- 136179862 MTRKVALVTGITGQDGSYLAELLLSKGYEVHGLIRFNTSRIDHIYQDPHHYGDLTDGVGLTNLVRDIQPTEIYNLAAQSHVMVSFTMPQYTAQVDAVGTVAILEAIRAANSDIRFYQASTSELYGSTPPPQNEESKFSPRSPYAAAKLMAYWSTVNYREAFGIHATNGILFNHESPR-----RGETFVTRKITRAVAAIKLGKQKKLYL-------GNLDAIRDWGYAKEYVESMWLMLQ----KPESSDYVVATGVGATVKDFARVAFAHVGLDWQIDEKYERPTEVDALIGDPSKAKKELGWSAQTHWE---------------------- 87119520 ------IVTGGAGFIGSNIIKALNDRGISDILLDDLTDGKKCINLA----DLNIADYMDMYDFLESIEVDAIFHEGACSATTE--WNGKYVMDVNYQYSKAVLDYCLEHKVP-FSYASSASVYGAGP-IFKEERSHEKPLNMYAFSKFQFDQYIRNVLPSAESQIVGFRYFNVYGPR------EQHKGSMASVAFHLRNQVLAGENPKLFGAYDGYEAGGQSRDFIYVEDLVKTKLWFLD---NPEQSGIYNLGTGQAEPFKTIATTVISHYEKGEYIDFPDHLKGAYQSTQADISKLRKA-GYQGDFDLATGVKDYMTW------------- 167586383 -----ILVTGASGFVGQATCRALLARGERVVRLVRRPTGQPGLGPEWVHDQADFTGIEQM--WPDWVRCDTVIHLAARVHMRDSAVDPAAYLETNVEGTLRVARAAHAIGARRFVYVSSIKAVGEAGRAPLSEVDEPAPLDPYGISKLEAERGLVAFGRDSGMEIVIVRPPLVYGPGVRANFQSLMRALSKRIP-------------------LPIGAISARRSLIYVENLANALLVC--ASDSRAAGQVFHVADGRDLTVTELARSLATYLDAPARLLPVPARLPEVERLVLDTHRIRDMLDWCPPHDVEDGLRQTAIWYR----------- 141334134 -KKKNILVTGGAGFIGSHLCKALLDLGNNIICLDNLFTGAIENILDFEFVNHDITERDNIDEIYNLACPASPIHYQH---------NPIKTIKTCTIGVINMLGLAKKNNAKILQ-ASTSEVYGDPEIHPQYENNPIGPRSCYDEGKRCSETLFMDYHREHNLKIKIIRIFNTYGPNMASNDGR--------VISNFIFQAVKGDNLTINGDGNQTRSFQYIDDLIQAND------------------------------------------------------------------------------------------------------- 140852924 MKYRAI-VTGGAGFIGSHLVEHLI-HDMDVLVLDNFSTGR-ESNLGAVKDKINIIDVASVGLIFSKFKPHYVFHLAAIPGVSYSVEFPSITDLSNTHGTVNLLQASKEQGVKRFIFSSSSAVYGFTKILPTPESAPLNPQSPYALQKKIGEEYCKIFSNIYNLETVCLRYFNVFGPRQLG------------GSAYASVIPAFAESIKNNTPPTIHGDGNQFRDFCHVDNVVYSN-------------------------------------------------------------------------------------------------- 83591593 MAAKVALITGVTGQDGAYLAEFLLGKGYEVHGIKRLNTGRIDPLYQDPHHYGDMTDSANLIGLVQAIQPDEIYNLAAQSHVQVSFEIPEYTANADAVGTLRLLEAIRLTDKTRFYQASTSELYGKVRETPQSETTPFYPRSPYAAAKLYAYWITVNYREAYGIHASNGILFNHESPLRGETFVTRKITRAVAAIHLGLQDVLY------LGNLDSWRDWGHARDYVKGMWAMLQQPRPDDYVLATGVVHSVRAFVEMAFSKLGRRVTWQGEGVDEIGIDIDPRRPTEVEFLQGDPTKARERLGWVAETPLAELVAEMVA-------------- 139676633 ---KNILITGGAGYIGSHVTEILLKKYKKVFLLDNLSTGHRKLIKKAKFFKLDIHRQDKVRKIIKKNKIDSIIHLAANLIIGEGQRKPKKYYKNNVLGTKNLLEACKDTSVKNFIFSSTAAIYKEGQYK-VSENSIIKPKSIYGKTKIKAENLIRNFSKKNKINYGILRYFNIAGSSP----------------------------------------------------------------------------------------------------------------------------------------------------------- 142480049 -------------------VDKLIDMGVEVHVIDDLSTGFKTNLNKAYFHKIDISELDLNKSWDIFKGTDVIFHLAALARVQPSIEDPIPFDKVNIGGTLRMLKLAHKLGVKRFVYSASSSCYGETTILPTPEIAPTNPLSPYGLQKYVGEQYCKMFSEVYKLDTVSLRYFNVYGERMS-----LDGAYKLAIPIFADQMLKGKP-------LTITNDGNQRRDFTYVGDVVNANILAATHKTNINGNV-FNIGNGNNYSINEVADML------GGEKTYGEMRI-EPFETLADNTKAIHTLGWLPKGNLTEWIKK----YKK---------- 261880821 MEKKKALITGITGQDGSYLAELLLEKGYDVHGVIRRSSVHLEGKPHFHLHYADLSDSMSIVALIRKVVPTEIYNLAAQSHVQVSFDSPEFTADVDAVGVLRVLEAVRVCETCRIYQASTSELYGKVEEVPQNENTPFHPYSPYAVAKLYGFWIVKEYREAYNMYACNGILFNHESERRG------------ETFVTRKITLAAARIAQGKQDKLYLGNLSSLRDWGYAKDYVECMWLMLQ----QDEPEDFVIATGKQHSVRDFCYLAFKHVGIELEFQGEGMRPTDVVNLWGDPTKARATLGWNPRTSFEELVKIMVD-------------- 138451875 ----NILITGGAGYIGSHVVYSIAMQGHNVFILDDLRNSNSNVIEALFNITGNIANKNSVVKILQENYIDVVIHLAGDKAVGHSIVDPLGCYKNNFVGSFNLIKAMATAGVTRLVFSSSASVYGDPMYLPIDEDHPLSPKSPYARSKLMIENMLSDVVANQNWRIASLRFFNPVGSHSSGLIGENHINPVNLMPQLGRVALG----------------------------------------------------------------------------------------------------------------------------------- 143309884 MGQKRVLVCGAGGFIGNHLVKSLRDRGCYVIGAD-LQFPQYSPTAAHEFHQIDLADQNQARRVIEVYQLAADMGGAGYVFTGLNDSAILLAININVINQVIRSEIPRVFYSSACMYPAHDQITAGGGQLTESLAYPAAPDSEYGWEKLFGERLFASIQRNHGIDVKIARFHNVFGPQGTYDGGREKAPAALCRKVALVED---------GGTIDIWGPGNQTRSFLYIDECLEGIHRLMASDCH----VPINLGSARMISINDLALLIARLAGKRIQIHNIPGPVG-VMGRVSDNTFIQQTLGWQPPDHLEQGLERTYQW------------- 140492795 --KKKIFVTGVAGFLGSHLAEKLVNLGHEVVGIDNMLGGYEDNVPKNIFHKGDCCNFENIQKIIKGV--DVVYHCAATAHEGLSVFSPYEITKNNYLASVAIFSAAVNQKVKRIIFCSSMARYG-GQKTPFTENMKPSPVDPYAISKVASEEVLKNLCELNKIEWVIAVPHNIIGPRQ------KYDDPFRNVVSIMINRMMQGKAPVIYGDGKQTRCFSYIDDCL----------------------------------------------------------------------------------------------------------- 139542548 ---------------------------------------------------------------------DWVFHLAALADIVPSIEKPEAYYLSNSHGTFNVLEAARKYNIKRLIYSASSSCYGIPDEYPTKESADIRPQYPYALTKNLGEQQVMHWCNLYNLPSVSLRFFNVYGPRART------SGTYGAVFGVFLAQKLANKPFTVVGDGCQT------RDFTFVSDIVSAIIATAESKVS---GEIINIGSNNTYSINLLVELL------GGDVVYIPKRPGEPDCTWADISKAKNLLNWEPKVSLEEGVK------------------ 137136251 MQMKNILVTGGVGFIGSNLIKSLVEKGFNVISLDNYSTGSSNEIQEVKYYNLDIEKISKINE-----SIDLCFHLAAQSRVQPSFDDPEESFRTNVLGTSRVMEWARTNKI-KIVYAGSSSKHHDPSD------------SPYAMYKFLGEEVCKLYKKSYNLNVEIARFYNVYGPG-------------ENIDEKFGNVIGIWRSKVIKGEPLPIGDGNQKRDFIHVYDIVDGLIKIALTDTNHDDA--WELGTGINYSINELFRYFKDKFDVKSINI--PNQPGNYKETLRENDDSLKLLGWKPMDRLKD--------------------- 142531279 --TKKALVLGAGGFIGSHMVKRLKSEGYWVRGVD-LKRPEFSSTYADEFIQGDLREADFVRKCLEYYQFAADMGGAGFVFTGENDMQNSVMINLNVLEQQRLLNREHSRNYTKIFYSGSACMYPDPNNPDCREESAYNPDSEYGWEKLFSERLYLAYNRNHNIPVCIARYHNIFGP--------EGTWEGGREKAPAAICRKVADLPDVGGGIEVWGDGEQTRSFLYIDECIEATRRLMDSTF----LGPVNIGSEEMVTINELVNITAKVAGKAVSRRHKLDAPLGVRGRNSNNDLVREKLGWDYEQTLEEGIRKTYAW------------- 137767002 -----IFITGVAGFLGSHLADLMISNGHQVAGNDNMIGGYSDNIPEGEFHQIDCRDLDKMTEAMRGSDI--VYHCAATAYEGLSVFSPLLVTQNIFEASVSAVTAAIKNKVKRFVYCSSMARYGTNQ-VPFREEYEPKPQDPYGIAKEAGERVIKNLCDTHGIEWNIAVPHNIVGPRQ------KYDDPFRNVMSIMLNRMLQGKAPVIYGDGEQKRCFSYIDDCLYCLNELAFNEDVKGEIINIGPDEEF-------VTINQLSELCANETGCNLDPIHYEDRPKEVKLATCSADKARRLLNYRTTTN------------------------ 139830185 ----KVLITGGAGYIGANICTNLLDKSYDVVVVDDFSNGSRMEGLNIEIKKGDILNRDFLQK--AMVGVDAVIHLAAKKSVEESVSDPLKYFENNVFGTINVIAAMASQGVKKAIFSSTAVVYDSTAGLPLKEEDKKNPLSPYAQSKLLGEELFAKVCEATGISSISLRYFNVVGAGGPNL-------------------------------------------------------------------------------------------------------------------------------------------------------- 140928853 --KRRVVVTGGAGFIGSHLVDRLVKRDEEVVVLDNFSSGEIEF-LENSIENITLIDIDLLNEDFAGYGAKIVYHLAANPEVQLGITKPEVMQEQNVDVTKRVLDAMKLTGCENIVFTSTSTVYGDAEKIPTPENAELNPISAYGTSKLDAEKLIEKYCKENDFRGVSYRFANCVGPR------------------------------------------------------------------------------------------------------------------------------------------------------------ 144176295 -SRKRILVTGGAGFIGSHLIDRLLGQGHEVLCVDNLFTGNIDHLHEHPRFEFMRHDYVEVDEIYNLACPASPVHYQH---------DPVQTTKTSVHGAINMLGLAKRLKCRIFQ-ASTSEVYGDPAVHPQAEDYWIGPRSCYDEGKRCAETLFFDYHRQHGLDIKVVRIFNTYGPRM--------HHADGRVVSNFIVQALRGEPITIYGEGAQT------RSFCYVDDLVEGFLRMME--------------------------------------------------------------------------------------------- 139601598 ----NILVTGAAGFIGFHTVKILLNKNYSVVGIDCLNDYYDILLKKNRIKELDLCNFEKVNQIVKKKKINYIIHLAAQAGVRHSLKKPEDYIDNNIIATFNILKISQKNKIKHLLLASTSSVYGNQTKMPFKENNSTHPIQFYAATKKSSEVMAHAYSHMYKIPITILRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 138864446 MRNKKILITGGAGFIGSHLVRLFVNKYQDSHILDALTYAGNEAKENYTFIKGDIRDESFIELLFQKYNFEGVIHLAAESHVDRSITDPFAFAKTNILGTMVLLNSFKNWEGKLFYHVSTDEVFGLGNEGFFTETTSYKPNSPYSASKASSDHFVRAYGETYGMPYVISNCSNNYGANQFP----------EKLIPLFINNIINKKALPVYGDGEYTRDWLFVKDH------------------------------------------------------------------------------------------------------------ 141031705 -----ILITGSAGFIGFNLAKYLLEKNFRIIGIDSLNNYYSKRLKKDRFFHVNILNKKKVEKIFKIKKIDYVINLAAQAGVRYSLEKPNEFVDNNIQGFYNLIDVAKNFKIKKFIYASSSSIYGDTKKFPLKETQNVKPKNIYALSKKINEEMAEVFSKQYNIPFIGLRFFTVYG-------------EWGRPDMFMMKYLTSSFKKKINFYLNNFGKHT--RDFTYILDACR-IIEKLVYSKKNVKHEVFNICSNNPKKLTDIIKSINYLT------------------------------------------------------------- 136766280 ---KKALITGINGQDGSYLAEFLLEKGYEVWGTVKRNSEHLRSSGKINLEYADLTDMSSLVRVLKEVQPYEIYNLAAQSHVRVSFDQPIYTANVTGLGTLNLLESVRMVSPHSKIYQASSSEMNIDKDGYQRETTPLSPVSPYGCAKVFSYNICNNYRNSYGMKIWNGILFNHESPRRGTNFV------TNKVVKASVKIKLGLQNNLHLGNLDATRDWGHAKDYVEAMWLMLQTDTPDDYVCSTGVSHSVRELCDYVFNKLDLN------YQNYVVISGKHMRPEELRDLKGDSTKLRSKLDWVPKYTFETMLDEMIEWQ------------ 283832310 ----RVLILGVNGFIGNHLTERLLEDNYEVYGLDIGSDAIGRFLQHPRFHEGDISIHSEWIE-YHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIIRYCVKYR-KRIIFPSTSEVYGMCTDKVFDEDSVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGSPIKLIEGG--------KQKRCFTDIRDGIEALYRIIENEGGRCDGEIINIGNDNEASIQELAEMLLTCFEKHPLRNHFPPFAGDVEHRKPNIRNAKRCLNWEPTIEMQETVEETLDFFLR---------- 83814304 ----TVVVCGAGGFIGGHLVADLLRQGFQVRAVDKPPNQWFQKFPQADNRSLDLREKENCYRALENA--DHVYNLAADMGGMGFIENNKALCMLSVTINTHLLMAARDMDIDRYFYSSSACVYNTADVEPLSEEDAYPALDGYGWEKLFSERMCRHFREDFGVTTRVARYHNVYGPFGTYDGGREKAPAALTRKAIEAKLSGSDD-------IVIWGDGTQTRSFMYIDDCVKGTQKIMHSDI----TEPINLGSDELVTINELVDVIEQAVEVDLDREYDLTKPQGVDGRNSDNTKILEELGWEPPTGLRDGMEVTAEW------------- 142310547 MENMRILVLGGYGFIGSHICQQLKAEGHTIGIVDCYHQYYKEIAQTDKEYIGQIENLQFLEQAFEDFKPDRVIHVATYPNARMVKRNVLDATNNMVTATAYILDLCVKHKVDKIVYASSSMVYGEFNGGIPDENVVPKPNTLYGSYKRQGELMCKIWHREQDLNYIIMRPSALYGTR-----------------DTITRVISQLLKNVLTTGKMTVQGPDNKLDFSNVLDVAKYFSL---AATNEVTNETFNCTRGNGRKIIDAADIIQDKLGFG---EIVTKPHDDPNRDTLNSDKAKTMFNFNPTIDIEEGIPNYINWFLGQPFYFEN--- 110597142 ----TVLIVGSNSFSGATFADYALQQGAQVIGVDAFLPYKWHDHSNFSFYQLDLRHLDEITRLLRERRPAYVVNFAAQSMVGESWQNPGDWFMTNTVSTVKFHDELRKVDFLRRYVHVSTPEVYGSCSGFVSEDFPFNPTTPYAVSRAAADMSLRTFRAVYGFPVVTTRAANVYGPG----------QQLYRIIPRTILCILLGRKLQLHGGGVST------RSFIYMDDVCDATWRIMQ---NGRDGQTYHISTDEVISIRELVELLCRKLDVKFHVECVGERLGKDSAYHLDSSRVRRELGWQDHTRLDQGIDACIAWVRKNFEELK---- 260462968 ----KVLVTGHLGYIGSVLAPMLLQRGHEVTGLDSRACTFVGALANTLTIEKDIRDIESDD----VAGFDAIIHLAGLSNDPLGDYRPHLTEDINCNASVRLARIAKAAGVQRFLYASSCSNYGAAGDSFLAEDASFNPVTPYGVSKANVER-AVSPMADETFSPTFLRASTAYGLSPRIRFDLVVNNLTAWAYTT--------------GLVYLKSDGSPWRPIVHVEDIALAYIAVLEADRDLVHNEAFNVGLTTENYQIREIAALVQAIVPGSRVEFAPDAGPDKRCYRVDCSYIGRRLGFKPQWTARRGIEQLYD-------------- 138044506 ---KKIIVTGGLGFIGSNLIELLLKKNFFIINIDKVSYSNFEKSKNYQFIKLDINNK-NLKKIFFNFKPIGIFNLAAETHVDRSIDDPKNFIHSNILGVHNLLESFKIYNKSKLIHISTDEVFGDILKGRSNEMHPYKPSSPYAASKAASDHLVSSYIRTYGIPAIVTNCSNNYGP--------KQHPEKLIPKIIYNIFNNKNLP--------IYGKGLNSREWIYVQDHCEAL---IEVFLKGKVGEFYNIGSNQNMSNLEVTNEI----------------------------------------------------------------- 189220238 ---KKAVVAGAGGFIGHHLVSFLKGKQYWVRGVD-IKEPEYEKSQSDEFLLLDLRYWENC--LRATREVDEVYQLAAMGGIGYISTNHAEIAKNNILINTHMLEASYQNGVKRYFYSSSACIYPAADVVPLKEEDAIEPEEGYGWEKLFAEKLCQYYQEDKRLETRVARFHNVYGPLGTYEGGREKAPAAICRKVALAEDAA---------EIEVWGDGRQTRSFLYIEDCVEGIYLIAQ----SDYSKPLNLGSEELVTIDQLVEMVAKVAGKKVRIKHDLSKPQGVRGRNSDNTKLYSLLGWKPKYSLLEGLQRTYPW------------- 139170173 MKVPRSVVTGGAGFIGSNLVDHLVGIGHEVIVLDNFVSGKKANLSHHKIKRIDITKGKNLEKYFN--RVDYVFHLAGLAEIIPSIKNPKKYFNVNVLGTLKVLEAAKKAKVKKLVYAASSSCYGSPKNFPTSEKEKIDIKHPYGLTKFLGEQLVIKYATNFKMPNISFRFFNVYGPRLN---------------------------------------------------------------------------------------------------------------------------------------------------------- 135709808 MEKRKILVVGGTGFIGYHLCKYCLKKGWKVTSFSKNQPKEIRKLKAVNYIDGDLFFKKDLKKIKGSF--DYVVNLGGYVD----HFNKRRTHNSHYIGCKNLSIYFRKKKIKSFVQMGSSGEYGK-SNSPQKETMSCNPQAIYSKSKFLATKHLVNEYKQYHLPVTVLRLYQAYGEKQDANRLIPIVINSC-----------------IDGKNFDCSDGKQYRDFLHVSDVVSAIIKSLESKKTKG--QIFNLGSGVPINVKFIINYIKNKIGKKPKFGKLKLRKEESLKIYPDISKIKKTINWSPKVNFNKGIKRTIKFYMK---------- 135451034 ---------------------------------------------------------------------------AAESHVDRSITDPLEFVKTNVFGTMLLLNAFKNWCEKKFYHVSTDEVYGTGETGLFSEQTSYNPNSPYSASKASSDHFVRAYGETYGLPYVISNCSNNYGPN--------QFPEKLIPLFINNIINGKKLP--------VYGDGNYTRDWLYVEDHAVAIDLIFHKGHNH---ETYNIGGFNEWKNIDLVKLLCRQMDSEKLITFIKDRPGHDLRYAIDASKINQNLGWSPSVTFEEGLSKTIDWYFDNKEWLDN--- 143106989 ME--RILITGSSGFIGMHACKNLLDDGYKVFGIDNMNDYYDVSLKEARFQRVDITNLRKVEKIFENFRPQKVVNLAAQAGVRYSLENPHAYIQSNVVGFTNIIELCRNFEVEGLIYASSSSVYGGNEKIPFSVSDRVDPISIYAASKKSNELIAHTYSYLYNLNTTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 136567815 MKKKNAIITGITGQDGSYLAELLIKKNYIVHGLDLITKYESKGILNLHY--IDMVDTSSILSLVKRIKPDEFYNLAAMSHVGISFYTGESTLNINAIATYRILDAITKHHPKCKFYQASSSEMFGITPPPQNENSQFNPQSPYGISKVAAFYTTKYFRQAHKMFAANGILFNHESPRRGINFV------TRKITYTLARILAGEEKKLVLGNVKI------KRDWGHAEDYVVAIWKILQ----QKKPDDFVIATEMNHTILEFVKEVFSLVGLNWKKFVETNRPAEVPSLKGDSSKARKILKWKPKYKFKD--------------------- 140387870 -KKTKIYVAGHRGMVGSSLWRILQAKGYTNLV-------------GKTSKELNLIDQAAVNAFYNLEKPNVVINAAAKVGGILANNDFYEFLMENMQIQNNLIDCAFKNGIDKFIFLGSSCIYPKFAPQPLKEDDSLEPTNEWYAAKISGVKACESIRKQYGRDYVSLMPTNLYGYNDNFDLKSSHVLPAMIRKFHQAKQNNH-------SVVTLWGSGNPMREFLFVDDLAEAIVYALE---NKLEGHIYNVGSGKDVTIKKLAEIIQKITGHQGNIIWDTSKPDGTPRKLMDVSKMKAV-GWQYSTELEEGIEKT---------------- 182416049 ----KLYIAGHQGMVGSALTRRFAREGIE--------------LIHRTRAQLELTDQAAVDQFYATERPDAIIAAAKVGGIHANNTYPADFIYDNLMVAANAVHSAYRHGVSRLLFLGSSCIYPKLAPQPIPEDSALEPTNEAYAAKIAGLKLCQYYRKQHGVLFHSAMPTNLYGPGDNYHLQNSHVLPALIRKFHEAKEAGRP-------EVIAWGTGAPRREFLHVDDLADACAFLLRL---ENPPDWINVGSGTDVTIKELTETVAAVVGFTGEIVWDKSKPDGTPRKLMDGSRLAK-LGWQAHIDLREGVARTYASFLE---------- 152991191 --SKTILITGGAGFIGSNLALYFQNNNVKVVVFDRLSFGHFKNLQDGEIITGDINSKEDLEKL-SDFRFDYIFHQAAISDTT--VQNQKLVMQTNLEAFKDLLQKAKIDGA-KMIYASSGAVYGKLPGPHRV--GQEAPANVYGYSKLMMDHLAR---KQEDVVAVGLRYFNVYGPR------EYFKQKTASMVLQFGLQLLSGQKPRLF-----EGSQKIKRDFIFVEDVIQANIKACEAQ----KSGVYNVGTGIARSFKEIVDILCEELGIETEYEYIPNPF--VKQYQADIEPTRRELGYAPKFSLEEGIK------------------ 141670317 ----TYIVTGCAGFIGSHTVKLLVDSGHKVVGVDNINSAYDVRLKEWRFVRADITDRSSVNNTFEQVTSTAVIHLAAYAGVRYSVANPWVYYDTNVIGTLNLLDACVKNEVGKFVLASSSSLYGNSDAVPFVEENTDRPLSPYAGSKKAAEELCFSYHHLHNIDVTALRFFTVYGPAGRPDMSPFRFTRWIY--------------------------------------------------------------------------------------------------------------------------------------------- 141793061 ----------------------LVEAGHNVINIDRV----KKEIPGVTQYPFDL-DNHQMKGVIKLTQPDAIMHFAADHEVGRSVTEPGVFYENNVGNTISLLNSAVEAGVKHFIFSSSSSVYGDIQNFPTTEDTPTAPVSAYGITKKMVEDMLPDYDRAYGLKFVNLRYFNAAGADPELAHGYTQKPASHLVPIICRAVLN-DEEIQVFGNDYDTADGTCERDYTHVYDIAVAHLAALNYLEDKNTSNTFNLGQSTPNSVLQVIEAFERVTG------------------------------------------------------------ 45249998 ---KKALITGITGQDGSYLAELLLEKGYEVYGLIRRTSTPIMVNIEHLKDKGDLTDLSSLVAIIKKIQPDEVYNLGAQSFVATSWEQSILTGQVTALGVTNILEALRMEKPDKFYQASSSEMFGKVVEMPQKETTPFYPRSPYGVAKVYGHWITVNYRESFDMFACSGILFNHESPRRGIEFVTRKITDGVARIKHGLQKELR------------LGNLDAQRDWGFAKDYVECMWLMLQ--QEKADDYVIATGEMHSVREFCEIAFGHVGLNYEDYVVVDPKRAAEVDVLLGDASKAINKLGWNPKTSFEQLVTMMVD-------------- 135942338 MVKKKILITGVAGFIGSKIAKSLIKSNYKVFGVDDFSSGMEKNIKEVDFIKMDLSNKKKTESLPKNC--DVILHLAGQSSGERSFESPQADLNKNTMSTLNLISYGINNKAKRIVFASSMSVYGD-HNKKLKEEFRLNPKSCYGISKLTSENYLKLFSKK--IPFVSLRMFNVYGPGQNLSDLKQGMVSIYLAQAQKKNKI------------LVKGSLNRVRDFIYIDDVVEVWKKAIYKKNILNKS--FNLGTGIPTSVKKITSLILKNFNNCKIINTIGT-PGDQSYVCANNKFLKKYFNYKKFIGIEEGLKRFL--------------- 168032359 --TKKALITGITGQDGSYLTEFLLNKGYEVHGISNFNTQRLEHIYIDPHHYGDLSDASALRKWVDSICPDEVYNLGAQSHVGVSFENPDYTADVVGTGTLRLLEAIRIHIQAVKYYQAGSSEMYGATPPPQDETTVFHPRSPYAVAKVAGHFYTVNYREAYGMFACNGILFNHESPRRGENFVTRKITRAIGRIKVGLQKKLY------------LGNLKASRDWGFAGDYVEGMWLMLQQDKPDDYVLATEDSHTVEEFLEEAFGCVG--LNWKDYVEIDPRRPSEVDNLRGSAKKAKEVLGWEPKVQFKKLVAMMMD-------------- 133901790 -ARKVALITGISGQDGSYLAELLLSKGYKVHGISSFNTARIEHLYSNPIHYGDMTDSSCLIKLISTIEPTEVYHLAAQSHVKVSFDLPEYTAEVGTLRLLDAIHACRLTEKVRFYQASTSELYGKVQEIPQSEKTPFYPRSPYAVAKMYGYWIVVNYREAYNMFACNGILFNHESPR-----RGETFVTRKITRSVAKISLGQQESIEL-GNLSALRDWGHAREYVEAMWRILQHDSPDDFVIATGKQFSVREFCNIGEVLQWEGEGVEEVGKNKDGVIRVKYRPTEVETLLGNAEKAKKTLGWEAKVTVPELVKEMVA-------------- 136219743 ----KFLVTGGAGYIGSHMVKFLLSKNHEITVFDNLSSGKF-INNKINFIKVDLVNLNKLDQLMSKKKFDAVFHFAALSIVNESEKKPRKYYLNNVQGTKNLINSMIKYNINNLIFSSSASVYGAPKTKKILERHQLKPISEYGRNKKEIEKILVRIGKKKNFKSISFRYFNAAGADETAKI------------------------------------------------------------------------------------------------------------------------------------------------------- 135545495 ---KVALITGITGQDGSYLAELLLKKGYEVHGIVRRASLINTHRIDHIYEKGDLTDSTNVVRVIQKVKPNEIYNLGAQSHVKVSFEMPEYTGQVDGLGTLRILEAVRREKETRIYQASTSEMFGKVKEIPQTENTPFHPRSPYGFAKVYAYWATKNYREAYGMYACSGILFNHESPRRG------------ETFVTRKIVQALDKISRGEQNVLSLGNLNAKRDWGHAKDYVEAMWLMLQ----QDKAEDYVIALNEQHSVREFVEMAAPYFGYDIEWFGEGERPSEVDTLLGDSTKARKELGWTPKISFKDLVEDM---------------- 46015519 --TRSALVTGITGQDGAYLAKLLLEKGYRVHGLVARRSSDTRWRLRELGIEGDIADACSVQRAVIKAQPQEVYNLAAQSFVGASWNQPVTTGVVDGLGVTHLLEAIRQFSPTRFYQASTSEMFGLIQAERQDENTPFYPRSPYGVAKLYGHWITVNYRESFGLHASSGILFNHESP-----LRGIEFVTRKVTDAVARIKLGKQQELRL-------GNVDAKRDWGFAGDYVEAMWLMLQQDKADDYVVATGVTTTVRDMCQIAFEHVGLDYRDFLKIDPAFFRPAEVDVLLGNPAKAQRVLGWKPRTSLDELIRMMVE-------------- 254419121 --GKVALITGVTGQDGAYLSELLLEKGYTVHGVKRFNTGRIDHIYQDPHEQGDMTDSTNLIRIIQQVQPDEIYNLAAQSHVQVSFETPEYTSNADGTGTLRLLEAIRIEKKTRFYQASTSELYGKVQEVPQSETTPFYPRSPYAAAKLYAYWIVVNYREAYGVHASNGILFNHESP-----LRGETFVTRKITRAAAAIKLGYQNKL-FLGNIDARRDWGHAREYVRGMWLMLQQDEPDDYVLATGETTLIRDFVAEAFKQIDVTKGICVATGR-VYVEIDPRRPTEVELLIGDPSKAQRQLGWSHETKWQALCAEMVA-------------- 217072432 -EKLKISITGAGGFIASHLARRLEKEGHYIIASDWKKNEH--MTEDMFCDEFHLVDLRVMDNCLTVTKVDHVFNLAAMGGMGFIQSNHSVIMYNNTMISFNMIEAARINGIKRFFYASSACIYPEFTNVSLKESDAWEPQDAYGLEKLATEEICKHYNKDFGIECRIGRFHNIYGP-----FGTWKGGREKAPAAFCRKAITSTDKFEMWGDGLQTRSFTFIDECVEG----------VLRLTKSDFREPVNIGSDEMVSMNEMAEIVLGFEDKKTPIHHIPGPEG-VRGRNSDNTLIKEKLGWAPTMKLKDGLRITYVW------------- 144064323 ----NALVTGGCGFIASNFINIMHKRYRDIVNIDKLSNIHNVADESAVLFHGSLCNPEFVESVINFYKFDYVFHFAAQSHVDNSFLDPISFTMDNTYGTHVLIEMCRKYIPDAIIHFSTDEVYGESTDEPFTETGVLKPTNPYSASKAAAEMIVRSYIQSFGMDIKVIRCNNVYGPN--------QYPEKLIPKFKRLLKEGKKC------TIHGTRSAQVKRAFMHVDDVVDAVNIVWEKGKT---GEIYNIASDDEMSVMDMIKTIIGTDEYDKWITYIDDRPFNDTRYHICAKKLK-ELGW----------------------------- 222479501 ----TIAVTGAAGYIGSRVIVEFQEADWEIVAIDNQYRGQVDSVGEVEIQHVDIRNRDRLEDALAGA--DVVCHLAAISGVDDCEENADLAYEVNVTGT-NNVAWFCRKTGAALAFPFSMAVLGDPQSFPITADQPRDPLNWYGRTKLLGERAIETFADGA-FPAHLFLKSNLYGEH---VVDGTTVSKPTVINFFVNRALAGE-------TLTVYEPGTQARNFVHVKDVARVYVRSAERLLEQTGTETFEIASEEDMSVMEVAEIVREVAHEEREIDVDVELVENPRSFGVDISAAGERLGWAPSESVNESVRH----------------- 142452905 ----NVMVTGGCGFIASNFLNIMKKRYPETVNVDKLDYSNVENVNPGTFIKGNVGNKELVEHLIQKYSFDAVFHFAAQSHVDNSFENALSFTMDNTHATHVLIESCRRHIPNVFIHFSTDEVYGEKTDIPFTEEGVLRPTNPYSASKAAAEMIVRSYIESFGMNIKIIRCNNVYGPNQYP----------EKLIPKFKRLLKEGKKCTIHGKNCA----NIKRAFMHVEDVVDAVETVWKNGLC---GEIYNIASDDELTVMEIIETITGTTDYDKWITYVEDRPFNDKRYYICANKLKA-LGW----------------------------- 134790370 LNKNKILIVGGAGFVGSNLTKYLLEEKNKIYIIDNLISSEASSIPDNEKVIGSIADDFILNKIPK--DIEYVFHLSCYHGNQSSIFDPIADHDNNTITTLKLFNHLKEFKSLKIYAAAGCAVAKKTFANPVKEDASLSHDSPYSISKLVGEMYGNYFFKQNNLPIVKARFQNIYGPGLGAGIWRGTINTIWRNVIPTFIWKSLAKESLPLENGGI-----NSRDFIFVKDICRGLACCA---SNGKPGESYNLASGIEKTILEVANLINKYTGNEKPCEIKPIRDWDSGKRFGSTVKSREEIGFVAQQDFEEGLKLTIEWTKKN--------- 152993714 --GKKVLVTGHTGFKGSWLAMWLQTLGAEVVGYETEPSHFTLLDLDMVSIEGDIRDLEYLQQVYNDFQPDIVFHLAAQPLVRRSYREPVETFNTNVMGTVNVLEACRQTPSVRAIINVTSDKCYENREWVWRENDPMGGYDPYSASKGAAELVSAAYRRSYFNNAAYGKTHETATCRAGNVIGGGDWSEDRLIPDIVRAAAAG--------EAVAIRSPNATRPWQHVLDALNGYQKLLEGKREFADAWNFGPLDEDELSVLQMCDLFQEQWPDMQIKTEESEAPHEAGYLKLDCSKAHMLLKWQGKWTADKAITMTAQWYLHF--------- 140367384 -------VTGGAGFVGSHLVSALSEAGHSVIVIDNLKTGKKENIAKFIFIQSDIRKYDLLKEKFS--NIDGVFHEAALASVPDSFRIPDEYHDVNVNGTENVFKLAREYD-FKVVYASSSSVYGNPINIPIKEDHPKNPINPYAQTKLDDEKLAKKYSDQ-GAEIIGLRYFNIFGERQSKEY------------------------------------------------------------------------------------------------------------------------------------------------------- 289635823 ----HVLVTGANGFVGRALIRLLLDTGHTVAGLVRLERGVNEWIEPS-------ADFAAIEAWPAALAADCVVHLAARVHVMHSADPGAAFHATNVEGTLRVARAAWQHGVRRFVFVSSIAVAETDNGRPLTEDDAPAPEDPYGRSKLAAEQALARYARETGLDLVIVRPPLVYGPGVRANFLRLIQGIGKGVPLPLGAVRGRR--SLVYVENLADALMRCATDPRAAGQC--FHVADKEALTVAELCRALGRDLRKPARLLPIPEECLRLAGRLTGRSAQVDRL--VGALQVDTARIRSVLNWTPPYSTDEGLAATAHWYR----------- 136155690 ----NVLVTGGAGFVGTNLIKQLLRLGHHVVSYDNYNTGRSNHIAGATYVDIDIRTLGD-KSTHYLNKFDVIFHLAAIARIQPSFEQPQEYFDTNATATMKLAKICADANIP-LVYAGSSS------------HHSGKFKNPYTFSKDIGEEIIKLFQMHYGLQASIARFYNVYGPH------HLKQGGYCTLLGAWENCLENDKQIVIYGDGSK------RRDFTHVDDIVDAL---LRIWQQHAWGYEFELGRSKNYSVKD----IAALYGI-TNIKYMDDKPGEAQETLCTDMTAHQILGWEPRLNIEDYIKD----------------- 144039319 ----RVAIVGGSGFIGTNTCVELLKYGHDVTVIDLIPT----DVTTVKYIQADATNYEQIESALSR-GFDYVYMFAAISDSAENVRDPVRSIQCNVVSLTNVLEAVAAHGIGRIIFSSTVWVYSVTDKVQVTEATPLYITNSDHISKLTCEALIRNYHSMKKVNYTILRYGIAYGPGC--------HPDTVMSRFMTNAIHNK--------TLNITGCGSIYRNFLYVTDHARGNVKAL---SPRAENHIINLEGAEKITLTRVADRVKKLHG-PVHIQYTGAREGDYTGKTVVNLKAKELLDWEPLISFSTGTERMYE-------------- 257052194 -----VLVTGGMGYIGSALLPLLADAPDRIVVLDSLASGSPRHLLEARFRRGDVREYGDVESAMRGV--DTVIHLAAITGAASTHDRREETMAVNLEGTENVVTAARKLDVGNLVFASSCNNYGRAATTDIDETTEPDPLNPYAEAKVAAEDAVADFADETD--------ANATSLRMSTNYGFAPGVRFNLVVNHFVFRALTGRPLTVYGD------GSNWRPFIHVRDSARAFAHAARNPDSWPKAVYNVGSEAGNYRISEIADIVSEEVAPVDVTYLEDEHPG--PSYHVNFDRL-EETGFEPEWTLRNGV------------------- 301310694 -KDSKIFVAGHRGLVGSAILNNLRSKGYTNFLL-------------RTHAELDLTDQAAVNEFFAAERPDYVFLAAHVGGIMANSLYRADFIYNNLMIQNNVIHASWKNDVKKLLFLGSTCIYPREAPQPMPEDCLLYSNEPYAIAKIAGIKMCESYNLQYGTNYIAVMPTNLYGPNDNFNLETSHVLPAMIRKIHLAKCLHTGKQGICPGEVELWGTGKPLREFLWSEEMADASVYIMEHVDFEDRNTHINIGTGIELSIREVAELIRREIGFEGELRFNSSKPDGTLRKLTDVSKLHA-LGWRHTIEIEEGVKRLYEWYL----------- 94970840 ---KKALITGVTGQDGSYLAALLLSKGYEVHGIKRFNTQRIDHLYQDPHEQGDMTDTSSLVRVIQRVQPDEIYNLAAQSHVAVSFEEPEYTANADAIGTLRILEAIRIAGKTRFYQASTSELFGKVQETPQKESTPFYPRSPYAVAKLYAYWITVNYREAYGMYAC------------NGVLFNHESPVRGETFVTRKITRGLSRIKVGLQKTLFLGNLHSRRDWGHARDYAEMQWLMLQ----QQNPEDFVIATGEQHSVREFVDLAASKIDMTIEWKVDPRRPTEVDTLLGDAEKARKQLGWQPRIGFDQLVTEMV--------------- 142639405 ---KKILITGGAGFIAHHVIDKILSTDWEIITLDRLSSYPESEQKRVRVIHHDLKAELNPELCATIGKVDFISHLAAGSHVDRSITYPLEFVMDNVVGTANILDARKIDGLERFAYFSTDEVFGAPPGIYYKENDRYNSTNPYSATKAGAEELVVAFENTYGLPAIITHTMNVFGERQNA------------EKYIPMVIKKVRDNELVTVHANAEKTVAGSRHYIHAEDVSNALLFLLNYDISNAKCQKFNIVGKDEIDNLELAQFIAKVQNKDEMVDFHSQRPGHDLRYSLDGNK-MAEMGWTPKSAYEQ-LETTINWTLKNDRWLS---- 225849008 ---KKALITGITGQDGSYLAEFLLSKGYEVHGIIRFNTHRIDHIYIDPHHYGDLSDSGQLTHLIYNIQPDEIYHLGAQSHVRVSFDIPEYTGDITGLGTTRILEAVRRSGIKTKFYQASSSEMFGASPPPQNEKTLFYPRSPYAAAKVYSYWMTVNYREAYNLFACNGILFNHESPRRGETFV------TRKITRAIAHILAGKQDKLYLGNLNAKRDWGFAPEYVEMMWLMLQAEEPDDYVVGTGESHSVWHGKGVEVSVDERITTILKPGYVLIEIDPKYFRPTEVEHLQADITKAKEKLGWQPRTTFEELIMIMVDYDLK---------- 136528872 ---QTILVTGGCGYIGAHTIVDLIENGFNVISVDNLSRASDQSIKKIKNYKVDLTDKVATENIFIENGITGIIHFAAYKAVGESVELPLDYYENNLFSLVHLLQMSVKYHAKHFIFSSSCTVYGNPDTTRVTEATPLQTASPYGATKQMGEVIVKDAAHTYPISAILLRYFNPVGAHPSTAIGELPIGRPQN--------------------------------------------------------------------------------------------------------------------------------------------- 139061608 ---KKILITGGAGFIGSHVVRRFVDNNYEIYNLDVLTYAGNEKKDNYYFIKGDINDKDFIENIFDKYIFDIVIHLAAESHVDRSISDPLIFAKTNIIGTINLLESFNRIHKNVFYHVSTDEVYGLGDTGFFVESTPYSPNSPYSASKASSDHFVRAYGETYNLPFIISNCSNNYGPNQ----------------------------------------------------------------------------------------------------------------------------------------------------------- 146339220 ------LITGVTGQDGAYLSEYLLGLGYTVHGIDHLYEDRHEGNTPFLLHYGDMTDSTNLIRLVQQIRPTEIYNLAAQSHVGVSFESPEYTANSDALGVLRLLEAIRMERETRFYQASTSELYGLVQETPQRESTPFYPRSPYGCAKLYGYWITVNYREAYGMFAANGILFNHESP------VRGETFVTRKITRAVARIEMGLESTLYLGNLEAKRDWGHARDYVEGMHQILQMDEPDDFVLATGETRSVREFVEVGRQIEWSGREIEEVGSGQTVVRIDPRRPTEVDLLVGDASKARDKLGWRPKRSLSELIREMVA-------------- 137421953 -----------------------------------------------------------------------------------------------------------------FHHISTDEVFGSLSNDLFTEETPYDPRSPYSASKASSDHLVRAWHATYGLPVVLTNCSNNYGP--------YHFPEKLVPVIILNALAVKPLP--------IYGDGSNIRDWLYVEDHADALLLVLEKGTI---GRSYNIGGENERTNLEVVQALCKILDRAELIKFVQDRPGHDARYAIDPSRIKDELGWRPSVTFEEGLEKTVQWYLDNEDWWKS--- 300728927 LKGERAVVCGAGGFIGGHLVKHLIANGVEVVRAVDIKPLDEWYQTTADVENVDLKDKDSCLKAVEGVN--TVFQLAADMGGMGFIENNKALCMLSVLTNTHMLMAARDKGVGRFFFSSSACVYNNPDVVALKEEDAYPPEDGYGWEKLFSERMCRHFREDYGLETRVARYHNVYGPEGTWTGGREKAPAAICRKVLQAKMDGTH-------EIEIWGDGKQTRSFMYVDDCTKGSQMILESDI----LEPINLGSDELVTINQLVDLAEDIAGVKLTRKYNLSAPKGVNGRNSDNTMILDQLKWEPSTKLRDGLAKTYAW------------- 143539003 MKMKTALVLGAGGFIGSHMVKRLRADGYWVRGVD-IKYPEFSNTEANEFICRDLTDVDNMRRVIRYYQFAADMGGAGFIFTGENDADNSATINLNLLEEQRKWNEDKGTNHTKIFYSSSACMYPDPDNPDCRESSAYNPDSEYGWEKLFSERLYLSYPRNYNMPVRIARYHNIYGPESTWEGGREKAPAAICRKVANACDED---------SIEVWGDGNQTRSFLYIDECIEATCRLMDSEC----TEPLNIGSEEMVTINQLVDIAAEIAGKKITKDHVDGPLG-VRGRNSNNDLIREKLGWDYEMTLEEGMKKTYEW------------- 91069842 ------LISGITGQDGSYLAEFLLEKGYEVHGIKRFNTSRIDHLYQDPHHFGDLTDSSSIYRIIELVKPDEIYNLGAQSHVAVSFESPEYTANSDAVGTLRILEAVKMINKTKIYQASTSELYGLVQASPQNENTPFHPRSPYAVSKLYGYWIVKNYREAYGMFACNGILFNHESPRRGETFV------TRKITRGFARINEGLEKFIYLGNIDSLRDWGHAKDYVEMQWKMLQQDHPEDFVIATGRQESVRIGWGGIEWEGEKSNEVGKRKDNGQIVVKIDPRPAEVETLLGDPTKAKNKLGWQAKCTLEELVADMIK-------------- 140591080 ---KSILVTGGLGFIGSNFIRLLMKDGDTIINFDKQTYAGNPENLRDVEEKADICDGEKVSEALEEFQIDAVVNFAAESHVDRSIDGPEAFVQTNVVGTLRLLEAFKAYYNSRFLHVSTDEVYGTMDDPAFCETTPYAPNSPYSASKASADHLVRACHHTFNLPTLTTNCSNNYGP--------YQFPEKLIPLMILNACEGKSLP--------IYGDGSNIRDWLHVEDH------------------------------------------------------------------------------------------------------ 135952708 -KGKKVFITGHTGFKGSWLCLLLKHLGAKVTGYSNLFTEAKIKKLIDKSIIADIRDKKKLFSELKKSKSNIVFHLAAQALVRESYLNPINTFETNIIGTVNILDCINKIKFIKSSVIITSKVYDVKKNKIFKETDLLGGNDPYSASKVCCEHVFQAYVNSF---FKTNRKKTIATARAGNVIGGGDYSKDRLIPDIIKSIKLKKKILI--------RNPKSIRPWQHVLDPVSGYIKLAEKLFKKKFSQTHSWNFGPDIQNCKTVKYIRIAFKTKSKIKIINEKTDFYKKLRLDNKKAKKMLKWYPKWSLDYSIQKILEWNFKNKK------- 136049009 ----TILVTGAAGFIGSALVLRLLDRKEKVLGIDNHNDYYDPLLKEARSRHLDHPNYTHIKDLFKQYKVDRVVNLAAQAGVRYSIENPNAYINSNIVGFGNILEACRHNDIAHLVYASSSSVYGSNRKMPFSHDNVDHPLSLYAATKKANELMAHTYSHLYKLPSTGLRFFTVYGP--------WGRPDMALFKFTRAILKGEKIQVFNFGKH--------RRDFTYIDDIVNWDGEDPDSGTSQAPWRVYNIGNNSPVELMEYMK------------------------------------------------------------------- 258516009 --KKVALVTGVTGQDGAYLAEFLLNKGYQVHGLDHLYQDLHEENVNFYLHYGDLTDSTNLIRIIWEIQPHEIYNLAAQSHVKVSFETPEYTANADALGTLRLLEAIRIMGKTKFYQASTSELYGKVQEIPQTEKTQFYPRSPYAVAKLYSYWITVNYREAYNIFGCNGILFNHESP-----LRGETFVTRKITRAVTRIKLGLQEKIYL-GNLDAKRDWGHAADYVEAMWLILQQENPDDYVIATGETYSVREFVEVGIEIKWQGERLEETGRILVEIDPKYFRPTEVDVLLGDAAKARERLGWKPKVSFRELVKMMVE-------------- 260886791 --------------------------------------------------------------------------------------------------------------------------------------------------------MMKWVSRADGVRYVSLRYFNAAGALSDGSIGEDHKTETHLIPLILQVPNGKRAHITIYGDDYKTPDGTCLLNYIHVVDLADAHVLALEYLRKGGESDIFNLGDGQGFSVKEMIAAAEKATGKKIAAEIGARRAGDPAQLIASSDKARRILGWQPHTDVEEIIAMAWKWHEKHPAGYGE--- 141180046 --SMRVLVTGGCGFIGSAVVRHLIQETHEVINIDLMTYAATENDERYRHLAIDIRDPEAVDAAFLDHQPEAVMHLAAESHVDRSIDGPEEFVFTNIVGTMQLLQASRRLMAFRFLHVSTDEVFGDHDTEPFDEATPYNPRSPYSASKAAADHLVRAWGETFGVPVLITNCSNNYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 310644325 -KGKKVLITGHTGFKGSWISIWLQKLGAEVMGFSNLFS-QAEVGSGMQTTYGDIRDFNQLKQLICSFEPEIIFHMAAQSLVRKSYLDPLETFSTNIIGTANLFEAARSVDSLRVIINVTSDKCYENKEWIWRENEPLGGSDPYSASKGCAELITTSYR-----SSFFSDGPSVASVRAGNVIGGGDWAEDRLVPDIIRSI--------VSNKIIFIRNPCSVRPWQHVLEPLYGYLLLAERMWEYGDSWNIGPGDQEAVSVETLLRLFENAWGESLNIQYDDKHPHEANYLRLDCSKAKLKLGWSSLLSVDTSIDWVVDWTRAYIQG------ 136807231 ----KILITGGAGFIGSHVVKHLKDKPYHVFNLDKLTYAGNLNNLSGTFIHGDITDADFVQHLFEQDKFKHVIHLAAESHVDRSISDPLVFAKTNILGTLNLLHSFKHQKGGIFYHISTDEVYGTLEETLFTESSPYQPSSPYAASKASSDHFVRAFAETYKLPVLISNCSNNYGPNQ----------------------------------------------------------------------------------------------------------------------------------------------------------- 256680677 --SKTALITGITGQDGSYLAELLLAKGYEVHGLDHIYQGPQENDRRLVLHHADLGDGVALVNLLREIGPDEVYNLGAQSHVRVSFDAPLYTGDVTGLGALRLLEAIRASGVDTRIYQASSSEMFGSTPPPQNEGTPFHPRSPYGAAKVFAYWATVNYREAYDMFAVNGILFNHESPRRG------------ETFVTRKITRAVARIKAGLQEHLYLGNLDAVRDWGYAPEYVEAMWRMLQHD----EPTDYVVATGVPATVRQFTETAFAHAGLDWHVRYDAKRPSEVDALIGDASKARELLGWKPSVLVEELARIMVD-------------- 307766699 ---KIALITGISGQDGSYLAELLLSKGYEVHGISSFNTSRIEHLYSNPVHYGDMTDSSCLIKLVSQIQPTEVYHLAAQSHVKVSFDLPEYTAEVGTLRLLDSIHACGLTNKVRFYQASTSELYGKVQEVPQKETTPFYPRSPYAAAKLYSYWIVVNYREAYNMFACNGILFNHESPRRGETFV------TRKITRAVAKIALGQQEILELGNLSSSRDWGHAKEYVEAMWKILQYDQPDDFVIATGKSYTVRRFAETIIWEGEGVHEVGKEKDTGIIRVRVSPRPTEVDLLIGDPTKAKQKLNWEAKITLEELVKEMVA-------------- 135590739 ---KKALITGVTGQDGSYLAEFLLNKDYEVHGIDHLYQEPFENHRKFILHHADLTDSTSLTRIIQEVQPDEIYNLAAQSHVAVSFEQPEYTANSDALGTLRILEAIRIEKKTKFYQASTSELYGLVKEIPQNENTPFHPRSPYGVAKLYAYWITVNYRESYDIYACNGILFN------------HESPVRGETFVTRKITRGLARIKLGLQKNLFLGNLNALRDWGHAKDYVEAQWLMLQ----QKKPEDFVIATGKQYSVKDFINLAAKLLDGKEIVKVDSRRPAEVDNLLGDPTRAKEKLNWLPKISFENLVQEM---------------- 136205698 ---KKILVTGAAGFIGFHLCKALMKQGNNVFGIDNINDYYDTKLKKKRLEKIDLCNLNELSDFFDEKNFECVINLAAQAGVRHSIKNPHAYVQSNLVGFTNILEACRYSDIQHLIYASTSSVYGASTNMPFKENEASNPLQFYAATKKANELMAHSYSNLYKLPTTGLRFFTV---------------------------------------------------------------------------------------------------------------------------------------------------------------- 126696782 MTKKVALITGITGQDGSYLGEFLLSKGYEVHGIKRRSSSRIDHLYQDPHHYGDLTDSTNILKLIEKIQPDEIYNLGAQSHVAVSFESPEYTANSDALGTLRILEAVKIINKTKVYQASTSELFGKVQEIPQTESTPFYPASPYGVAKLYAYWITVNYRESYGMFASNGILFNHESPRRGETFV------TRKITRGLARIDQGLDEILYLGNLDAKRDWGHAKDYVEVQWKILQHSKPDDFVIATGRQESVRRGWGGIIWEGEGENEIGKRKDSSKIVIKVDKRPSEVQELLGNNFKAKKILGWESKITLEKLIKEMIQ-------------- 139362161 ----KILLTGGAGYIGSHVLLSIIENKHEVIVIDDLSTGNKKLIPENIKINTNINNSEKISNLLVEENFDLLLHFAGFVKVEESVQKPDKYFKNNTDNAIELFETCYKHNLQNIIFSSTAAAYGNPNNESIKEDEPLTPLNPYGESKVKTEEYLL--NNKDKFNSIILRYFNVAGADPKLRSGLISNTPTHLFKILSEVAVGKREKISIYGNDYNTEDGTAIRD------------------------------------------------------------------------------------------------------------- 142586728 MKKKVALIFGVTGQDGSYLAEFLLKKNYIVHGIKRRSTSRVDHIYQDPHEKGDITDSTSVSKIIYSTKPDEIYNLAAQSHVAVSFEVPEYTANADGLGALRILEAIKFHKKTKFYQAGTSEMYGKVQTIPQNEKTPFYPLSPYGVAKLYAHWITKNYREAYNIFGCNGILFNHESPRRGETFV------TKKIVSALCKIKLGKQKKLILGNINSRRDWGHARDYCHAMWKILQQKKPDDYVIATGAQYSIMKIFWRGKGLKEKAYNEKGIPIIECNKNY--FRPLDVNTLIGDAKKARLKLKWKPTVNIDSLIKEMIEFEYKF--------- 310003127 --KKTALVLGAGGFIGSHMVKRLRAEGYWVRGVD-LKYPEFSSTQAHEFVQGDLRDVEFVRRVIQFYQFAADMGGAGFVFTGENDAEIMKFNETFDGDRKEWTEANRPALEQPTKIFYSGSACMYPENPGLRENDAYPPDSEYGWEKLFSERLYLAYNRNHGIPVRIARYHNIFGPEGTWEGGREKAPAAICRKVAYLPTEG--------GAIEVWGDGEQTRSFLYIDECIEATRRLMDSDFM----GPVNIGSEEMVTINELVETAARVGGKEVQKIHIDGPLG-VRGRNSNNDLIREELGWDYSQTLEEGIRITYNW------------- 135000859 -------------------------------GIDNLNNYYDVKIKLFHFNKIDISKYNNLKNYFKNKKISVIVNLAAQAGVRYSINNPKDYFNSNIIGFFNILQIAKKNKIKHLLYASTSSVYGDSKKMPFEENSMCKPIQFYAATKISNESMAISYSNIYGIKTTGFRFFTVYGP--------WGRPDMALYKFSKNILENKPINVYNFGNH--------TRDLTYIDDIVSGIYNSIVLKTDY-KFEVFNLGNTRSISLKKIIQILKKHYQKKIKIKYLKLQKGDIKDTKSNISKAKKIIKFSPKTNAETGLKNFCNWFKNYHEN------ 135180848 ----KLFVTGGAGFIGSNFVRMALNEDASITVFDALTYSSVEDNPHFSFIQGDIRDGEAVEDALPGH--DAIVHFAAESHVDRSVSDAGIFVETNVLGTQKLLEAAKTHGISRFVHISTDEVYGSIDEGEWDEEEPLLPNSPYAASKAGSDLMVRAYHRTHGLDTVTTRCSNNYGTH------------------------------------------------------------------------------------------------------------------------------------------------------------ 139594604 MSRVKILVTGSKGFIGSHLVDMLQ----TVGGTDHLDSIQRYDIIEWDREQGD------LKQMHDFPNVDVVIHLAAYNSTKEFYTKGFDVIKDNILSTINLVEHYRKQSPQPLFIYTGTPESYTDEACPLVVPDVKNIRWSYAGSKALGEQAIIA----SGMPYQIIVPNNIYGPRQQNHFVDEFINRARVV---------GKENVELFG-------WDNTRSWLYIEDFCEAMVKLIEC--KEAINEKINIGSNDEVDVLKLAEIILQKMGLDIPIIKHDAPEGSVKRRMPDITKLKSLIEWQPFTTLEDGLEKTVKY------------- 135473554 ------LVTGAAGFIGSNLVEALLDLNQNVIGLDNFSTGFQENINNFKFINGDIASLDICKKAVKGVDF--VLHQAALGSIPRSIDDPITSNQSNVTGFLNMLTASKDEGVKRFVYAGSSSTYGDHKELPKREERIGSPLSPYSITKYINELYAETYSRHYNFKTIGLRYFNVFGRRQR---------------------------------------------------------------------------------------------------------------------------------------------------------- 253989357 ---KRVLILGVNGFIGNHLTERLLRDGNYDIYGMDIGSSAIERFIGNPHFHFIEGDVNIHTEWIEYHKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIVRYCVKYN-KRIIFPSTSEVYGMCDDKEFDEDDIVGPINKQRWSKQLLDRVIWAYGEKEGLKFTLFRPFNWMGPRLDNLNSARIGSSRAITQLILNLVEGSSIKLVDGGE--------QKRCFTDINDGIEALFRIIENRDGLCDGQIINIGNPNEASIRQLAEMLLDSFENHELRGYFPPFAGDVEHRKPSIKNAERLLGWKPTIDMKQTIDETLDFFLR---------- 141971290 ----TIVVAGHSGLVGSAIFDLLQNRGAHVVGV--------------NSKVVNLLDRDATFQFIADVKPDLIIDAAAIVGGIGSNNNYVDFLSKNLQIQNNLMDAAHAADIERFVFLGSSCIYPRECRQPIKEEYLLTTNSAYAIAKIAGIELIKSYRKQFGRRWISLMPTNMYGPRDNFDLETSHVLPALINRFVSARKLGS-------SQVTLWGTGSPKREFLHSKDLATAVLLAAEKYDSD---LHLNVGVGEDLSIKELAMCVSRIAGFEGEIFWDSSKPDGTPRKVLDVTRLKS-LGWSPTITLDQGIRET---------------- 290462469 ---KVALITGITGQDGSYLAELLIQKGYEVHGISSFNTGRIQHLYDSPSRHGDLIDGPCLIKIISKVQPKEIYNLAAQSHVKVSFELAEYTAEVVAVGTLRLLDAIKTCKSVKFYQASTSELYGKVQEVPQKETTPFYPRSPYGVAKLYAYWSVINFREAYGMFACNGILFNHESPRRGENFVTR-----KVTRSVAKIQLGILDSFEL-------GNLDSQRDWGHAKDYVEGMWLMVQ----QEKPEDFVLATGEMRSVREFVEASFKFVGKEIIWEGKGERPTEVEQLLGDSTKARTKLGWTPKVTFEELVKDMME-------------- 134957495 MKRKVALITGVTGQDGAYLSELLLEKNYEVHGIDHLYQDKHKENVNFFLHYGDLTDATNLIRIIQEVQPDEIYNLGAQSHVKVSFEIPEYTANSDALGTLRILEAIRIEKKIKFYQASTSELYGKSQEVPQNEKTPFYPRSPYGVAKLYAFWIVKNYREAYGIFACNGILFNHESP-----IRGETFVTRKITRAAVKIKLGVQEKLYL-GNLDAKRDWGHAKDYVDGMWRMLQYEKAEDFVLATGKTNTIREFCNQELGIKINWEGLDASTGKEIIIDSNYYRPTEVELLVGDANKAKKLLNWEPKYNLNSLVKEMIN-------------- 137977477 ---------------------------------------------------------------------------------DESVKEPEKYNEFNFEKAKIFLDVCFENGLKKIIFSSTASVYGNPNKNNVSENDALNPLNPYAETKLKLENFLINKSKSEDISYIILRYFNVAGADEKLRSGLISKYSTHLIKIASEVAVGKRNEVIINGNDYDTTDGTPIRDYIHVSDLADIHLVSARYLLEKKESNIFNCGYGIGYSVKEVIDTYNQILTKKIKTKIGPRRMGDSKMIVANPNKFNKTLNWKPKFDLDYILKTAYEWEKK---------- 139837881 -----ILITGGLGFIGSALILRLLKKTNSRINIDKLSFNNLFSIYGKSRYQFDLKDNDCLRKIFKEFEPDIVFHLAAESHVDRSIESPRNFLESNIIGTFNILENSLIYFNFKFLHISTDEVFGLGKSGLFNENSNYKPMSPYSATKAASDHLVDSWFNTFGLPSLISNCSNNYGPR--------QLPDKLIPKIIINAINSKPIP--------IYGNGLNIRDWLFVEDHINAL---LLMALKGKPGSHYCIGGGEEKSNINICKKICNLLDDLKPIEFVDDRPGHDFRY------------------------------------------ 136834078 -KNKKVVVTGGSGFVGTNMVLELLNRGANVR---TSTHKRPMQIQDDRIELLENIDLTKFDDAMTLIDADIVIHCAGDILHPSTVATDFQVGLSHINIITNILEASYKHEVKHFLEINSSTVYP-HRDYPVSEDEFWLEEPFLSYYGYGWMRRYREKVIEHTSHLSDMHIGIARGTAPFGPYDNFDLKTCHVVPALIKRCLSGEDPFVIWGSPDVT------RDFMYVKDMIKGCLLVLEKGESMKP---YNVGSGTGVEIGELVFAVLNASERNPLIKWDNSKPTTIPYKVSSIERLENELGFKPDYTFEQGIKETMEWY------------ 136368247 -QNQKIYVAGHTGMAGKAICQSLRNKGYS-----NLTTSLRK--------DLDLRNINQVENFFVKNKPEIVIISAAVGGISANTTYPVNFLLDNMKIQNNLIELSQKYKVKRLLFLGSSCIYPKECHQPIRENGPLEPTNEWYAAKISGIKLCQALRKQFNFDAISLMPTNMYGPG-----DNYHPENSHVVAALIKRFYEAKKNQLPFVTCWGSG--SPIREFLHSSDLGDACVYALEKWDPDSENAPVNVGTGEGITIRELVYSIARNFDYKGEINWDESKPDGMKKKVLDVTKLKSI-GWKAKINLNDGLKSVID-------------- 304393759 -EGRRVLLTGHTGFKGAWLAQWLKSMGAVVTGLDGVSWGKLGDDVIDHSLTVDIRDAQATKAAVHDANPQVILHLAAQSLVRASFDAPLDTFATNVMGTANLLDAARGLTDCRAIVSVTSDKAYENREQIWREDDPMGGHDPYSASKGAAELITASMRRSF----FDGTQCGVASARAGNVIGGGDWAVDRLVPDCIRAFEA--------GEKVEIRNPAATRPWQHVLEPLAGYLVLAESLAAHPDEFAEGWNFGPRDEDVLPVEYIADTLGDAAWFLTEGAHPHEAMALNVDATKAKHRLGWQPRLDLNTALRWTVQWQRD---------- 141122778 -----------------------------------------------HFIRMYLEDRKSLTKIFKKFKFDVVCNLAAYAGVRYSLENPEVYINSNITGFLNVLECCRHYDVKRIVYGSSSSIYGDSHKMPFNESANVDPISLYAATKKSNELMAHTYSHLYGIETIGLRFFTVYGP----------WGRPDMAIFLFTDAIINNRPIKVFNNG------NLFRDFTYIDDVVAGIVSTLEDSKKSSLYKLYNIGNGATVQLMDFIKTIENHLGVVSEKEMLPMQAGDVHQTWADVSSFQMDYDYKCNTSIENGTKAFVNWYKTYYK------- 254373160 ---KKVLVTGGAGFIGSHLCQRLIEKTNEVYSLDNYFTGSANHIKNVTYIRGSTKDIDKL----IGFKPDIIYHLGEYSRVEQSFDDIEKVIDFNKLGTFSVLEFVRKNN-SKLIYAGSSTKFGD--------DGNNSNASPYAWSKSSNTLLVENYAKWFDINYAITYFYNVYGPR------------EISSGKYATLIALFKEKMRKCEPLTVVSPGTQKRNFTHITDIIDALLLVGEL----GYGDEYGIGSDESFSVLE----VAKMFGS--HIDILPERLGNRMSAKVISDKTKS-LGWQPKRKLRDYIEE----------------- 219871702 -----IIVTGGSGFIGSNIVKALNAIGRTDIVVDNLKNGEKFVNL----VDLDIADYCDKEDFIAFGEIDAIFHEGACSATTE--WDGKYLMQNNYEYSKELLHFCLDRQIP-FFYASSAATYGGRSDNFIEERKFEQPLNVYGYSKFLFDEYVRKILPEAESPVCGFKYFNVYGPR------EQHKGSMASVAFHLNTQILKGENPKLF-----EGSETFLRDFVYVEDVAQVNIWAWQNRI----SGIFNLGTGNAESFRAVADAVLAFHQKGQIETI--PFPDHLKSRYADLTKLRAA-GYKPFKTVAEGTKAYMEW------------- 135259413 ----NLLVTGGAGFIGSHFVLRHVEKNDTVVVIDKLTYAADKSFLDPVAEEGDIADQPLVTKLVDDHSIDAIVDFAAETHVDNSIEDARPFIHTNILGMHSLIEVCKAHPKLLLLHVSTDEVYGEDDEPPCKVDCPLRPGNPYSASKAAGDLMLLAAIRTYGIRARITRCTNNYGPHQ----------------------------------------------------------------------------------------------------------------------------------------------------------- 142337436 --DMKVLVTGTAGLLGANYSRHLLESGHTVIGMDNFSGGYKAFLPKNDFYKVNLENKKKVTEVFDKENPDVAYHFAAYAAEGLSPFIRNYNYRNNVICSANIINECINHN-TKMVFTSSMAVYG-GQEPPFTEDLRPQPIDPYGVAKYAVECDLKQAAEQFGMRYNIVRPHNVLG--KYQNIWDRYRNVIGIFIRKAINN----QPILVYGDGEQTRAFS----------DIQYYMKPFDKLLDGYDGEIFNIGADKYFTINESAETVKRVYGYDATIEHAEAR-HEVKHAYCNHNKAKTLLEFQDGTQLEPLIDSMFGWAVKQPN------- 46487620 MDARKVLITGGAGFIGKALITEMVERQIPLVSFDDKPDSLPELSEYFNWYKFSYLEIKELHEIVSRHNIKTVIHLATTMFPHESKKNIDKDCLENVYANVCFFKNLYENGCEKIIFASSGGTVYGKSDTPFSEDDALLPEISYGLSKVMTETYLRFIAKELNGKSISLRISNPYGEGQRIDGKQGVIPIFLNKISNDI-------------PIDIIGSIESKRDYIYISDLVQAFMCSLEY---EGHEDIFNIGSGESITLKKLIETIEFKLNKKAVIGFQDPIHTNANGIILDIKRAMAELGWRPTVVLDDGIDKLIK-------------- 226311333 -RDKKVLITGHTGFKGAWLCLWLHDLGAKVTGY-ALSPPTNPSIFECDSIIDDVRSKESVQKAINQANPDIIIHMAAQPLVRLSYQYPAETFEINVMGTVNVLEAARVMKIKAIINVTTDKVYENREWVWYREQDVLGGYDPYSNSKACSEQVTASYNAFFHPDQYHQHGVAVATARAGNVIGGGDWAMDRLIPDCLSALIK--------GETIVIRNPKAIRPWQHVLEPLKGYLMLAEKMWANGESWNFGPNEEDARSVEWIVRQLCERWGAGARFEAEEPQWHEAKYLKLDCSKAKGVIGWKPNWSLEQTLDSIISWQKAYQR------- 253701745 ---KVALITGVTGQDGAYLAEFLLKKGYVVHGIKRFNTDRIDHLYQDPHIEGDLTDSTNLIRIIQQVQPDEIYNLAAMSHVAVSFETPEYTANADGLGTLRILEAIRIEKKTKFYQASTSELYGLVQEVPQSERTPFYPRSPYAVAKLYAYWITVNYREAFGMYACNGILFNHESP-----IRGETFVTRKITRAIARISLGLQN-CLYLGNLSALRDWGHARDYVEMQWLMLQQEEPDDFVIATGKQFSVRQMKGVGIGMRWEGEGVNEIGIVASIVKVDPRRPTEVETLLGDPSKARQKLGWVPKTTFEELVAEMVH-------------- 134903849 MMSHNILITGGAGFIGSHLVRLLVNKKYNIYNMDKLTYSGNDNHKNYKFIKGDICDFKLVNYIFNKYKINNVIHLAAESHVDRSIKDPLSFAKTNIIGTLNLLHAAKNYWENNFYHISTDEVYGLGKDGFFTEKSSYKPNSPYSASKASSDHFVRAFANTYSLPVIISACSNNYGPNQ----------------------------------------------------------------------------------------------------------------------------------------------------------- 142109695 ----HIIVTGANGFVGRAVCRLALAAGHTVTALVRRPDGCVAGVRVWVH---DTPDFYGLEAWPEDLEADCVIHLAARVHRDESPDPDAAFNGTNVTGTLRVAEAARAHGVRRIVFASSIKAVGERDGAPLAEDVTPDPQDAYGRSKLRAERELAQFGETVGLDVVIVRPPLVYGPDVRANFLRMLDAVSRGTPLPLGTIAARRSLVYVDNLADALRCATDPR-----AASGCFHVADDDAPSVAGLLRMVGDALGKPARLFPVPAGALRALGKLTGRSAAIDRLTG--SLQLDTGRLRRVLGWHPPYTTRQGLEATAAWYR----------- 143851316 IKNKKILIVGAGGFIGGHLVNRLLKDGNSIVACDIKPYWFQDFEDSENHYSMDMKDITNCKKVTKGV--DYVFNMACNMGGMGFIENNKAECMQSVLINTNLLIACKEDKVSKYFFSSSACAYNKTKDGLKEEDAYPDPEDGYGWEKLFSERMCRHFMEDYGIQVRVARYHNIYGPYGTFDGGREKAPAALCRKVFNAKKNKDTK-------IEVWGDGKQTRTFLYIDDCVEGTLRLFESDF----SDPVNIGSDEQVSINQMIEIIEDISGIKLEKIYQLDKPKGVRGRSSNNDLVKKVLNWSYDIKLKDGLKKTYDW------------- 280964740 ----RVLVTGAGGFIGAVLTDLLAARGDQVTALVHTAAGRPPARRLAPGVEVDLLDPRSLLAARLDRGFDAVCHLAALTRVRQSGAEPLRYFETNVTGTVNLLAAAAGGPAPAVVFGSTGTVYGDPGGGPIPESRRPEPSHPYGASKLAAELAITHQAATGRIGAIVLRSFNVAGGAAGHIDRDV----SRIIPAALRVAAGEAESFRINGDGEALREYV-------HVADVAAAYALAVDAARPGDFRVYNVG-GAGVTVNEVLAAVERVTRRPVRRVTGPPVI-EPRTLVVDSSKLRKELGWEPHRSIDQIIADAWAW------------- 262276781 MKKKIAIVTGSSGQDGSYLCELLIKKSYKVIAADRRSSRDNKWRHKFIYEDFDLGDLNSIVALFRKYKIDEFYNLAAQSFVAASFKTPLSTSEITGIGVLRIIDCIKNYQPKKFYQASSSEMYGNSKLKFQDEYTKFNPRSPYAVAKLFGHHITKNYREAYNLFLCSGILFNHESP------LRGDEFVTKKIVKHLVEVKKKERSHLELGNLYAKRDWGYAKDYVQAMWLMLQKKIPEDYVIATNKSHSVKFFSDNYKWVGKGIKAIDKLTRKPIKINKKFYRPAEVNFLKGSYKKAKNQLKWRPKTSIKELVKIMVDFELKN--------- 282165385 ---KKALITGITGQDGSYLAELLLSKGYEVHGIIRFNTSRIDHIYVDPHHYGDISDSEQINGIIYNVKPDEIYHLGAQSHVRVSFDIPEYTGNATGLSATRLLEAIRRSGNGIKYYQASSSEMFGASPPPQSEATPFRPRSPYACAKLYAYWMVANYREGYNMFASNGILFNHESPRRG------------ETFVTRKVTRGISKILAKKDQYLYMGNLDAKRDWGFAPEYVECMWKILQNDL----PGDFVIGTGESHSVREFVEKAFSYAGLDWHVRIDPKRPTEVEDLIADASRSKRVLGWEPRVKFDDLVKIMMD-------------- 56783463 -QNSKIYIAGHSGLVGSAILNELKQQGYRNLVF-------------KTHFELDLTNQKAVADFFEREKPEYVILAAAKAGILANNTYRADFIYQNLMIECNVIHNAYLHKVKKLLFIASTTVYPKNATLPTSEDQMLSTNKPYAIAKISGLMLCESYNLQYNTNFIAITPTNLYGNNDKFDLEKSHVLPGILRKMHLAKLLNEKRYEDLLNNVEIWGSGKPTREFLHSQDLANFIMNNIDFKDLKGGNTEIINGPHKNITIKELAELIKNIVGFKGNLVFNLNRPDGAIQKFTDCSKIH-CLGWKHKIDLEKGIQMMYEWYKNN--------- 237755683 ---KKILITGITGQDGSYLAEFLLSKGYEIHGIIRFNTQRIDHIYVDPHHYGDLSDPGVLTEIIWKIKPDEIYHLGAQSHVKVSFDMPEYTGDITGLGTTRLLEAIRRSGVKAKFYQASSSEMFGAASPPQNENTIFYPRSPYAAAKVYAYWMTVNYREAYNLFACNGILFNHESPRRGETFV------TRKITRALANIIAGKQKILYLGNLYAKRDWGYAPEYVKMMWLMLQQDNPDDYVVGTGESHTVKEFVNFGIELEWRGEGIEEVKPGDIVVEIDPRRPTEVEFLQADITKAKQKLGWEPVVKFEHLVKIMVDY------------- 134519997 ------LVTGGAGFIGSNLVDYLIQQGHEVICVDNESAECNDKFYWNCNIIGDITDYSFIKNCFK--DVDYVFHLAAESRLQPAIKNPIEAVYKNCVGTTTILQCAREAGVKRFVYSSTSSGYGFNPS-PNVETQPDDCLNPYSASKVAAEKFCKMYSDLYGLETVVLRYFNVFGER------------------------------------------------------------------------------------------------------------------------------------------------------------ 143969553 ---KKLFVLGSNSFSGSNFIDLMLKKDFEIIGCSRLPYKKNNNLKKFKFFQLDINDLEEIICMINKFKPEYIVNFLAQGMVAESWKSPTDWYETNLMAQVKFHDSIRNFAFIKKYLHFTTPEVYGSTSGWTKENYIFAPNSPYAVSRAACDLHLMSFYNNYNFPVVFTRAANVFG---------EHQQLYRIVPQTIMRILRGEKLDLHGGGL-------SERSFIHIDDVSAATSKAL---LKGRPGETYHISMESKLSIKELVIKICQILNVDDSVKISDERLGKDFSYALDSSKIRSEFNWEEKISLDKGIKKTISWVKENFESLNNE-- 142182476 ----RIFVAGHRGMAGSAICRALLRAGYG-----NTSEGGG-ALLTANRQELDLCDPLAVQGWFEQQRPSVVVLAAAVGGIAANHSLPADFLLENLKIQTNVIEAAWRTGVRRLLFLGSSCIYPKFSEQPIREESLLATNQWYAIAKISGIKLCEALRRQYGFDAICLMPTNLYGPGDNYHPTNSHVLPALIRRFQEAVDAGA-PSVTCWGSGAPLREFLHVTDLAEACVFALEHWNPSSACDQNGEPLSFNVGTGVDLSIAELASVVAAATGFQGEIHWDTSKPDGTPRKLLDVSRL-TALGWRYRISLIAGLVETV--------------- 13541718 IENKEILVTGGAGFIGSNLVARLSEKNH-VYVLDSLQTGSLHNIDGLSNVEF-IKDYAK-NVSNYCINPDYIFHIGVYSSSPMYRSNPFLVSEAIHDMIAILELAKKSKTPVVF---ASTSSIYNGVKPPHRENVTPLVSDYYTEARISMERISELYSKLYDINISAMRFFSIYG------YREMKKRMYANLVSQFLWDMHSKKSPIIYGDGEQRRDFVF---------VDDVVDALILAAEKSDGFQVYNVGTGVNYSLNELVQKLNKYLGSNIEPKYVPMAKTYVHETLADTNKAEKMIGFRAKISLDEGIKRLISYY------------ 310765987 -----IIVTGGAGFIGSNIIKALNDKGITDIVVDNLKDG----TKFANLADLNIADYMDKEDFLDFGDVEAIFHEGACSSTTE--WDGKYMMDNNYQYSKELLHWCLEHQVP-FLYASSAATYGGRNADFIEERQYEQPLNVYGYSKMLFDHYVRDILPEAQSQVCGFRYFNVYGPR------EGHKGSMASVAFHLNTQLNQGENPKLFAGSDGFK-----RDFIYVEDVAAVNLWFWE----NAVSGIFNCGTGRAESFQEVADAALKYHQSGE-IEYIPFPERYQEFTLADLTKLRAA-GYKPFKTVAEGVADYMAW------------- 123965996 -KDKKVIITGHTGFKGSWLLIWLITLGAEVYGISNLFNSIKRNISNKFDHKIDIRDLGKVSNAISQIKPDIIVHMAAQALVQESYKDPITTWSTNLMGTINLLESVSKIKNHCALVCVTTDKVYENKNWCYRENDNLGGADPYSASKAAAEIAIKSWRKSYGENNFEISNLGIATARAGNVIGGGDYAENRLIPDCYRAYVKE--------NIIKVRNPNSIRPWQFVLEPLYGYLLLAEYLDPKNYSSEYNFGPNNNKTVLEVVKHISSCWKVSYAVQQENDFFQEANILNLDVSKSKQVLQWEPIWDFEQSLNKTVNWYKN---------- 167648873 MAG-RILVTGATGFLGRHMTPVLSEA------------YPDREIVGVSSADGDLTSFDVTRALLERVRPDAVIHLAAYSGIGANRSWPADFYWRNITLVSNMYEAAAQTGVKRIVYTMGGCSYPGTATSPISEDQMWEGYPQYSAAKKMGIVAAKAYEAQHGISSTVLVPGNLFG-----EFDNYRNGESHVIPAFLRRFHEAKLNGVTEVTCWGRGI--AQRDFVYAEDVAKAIPQFLDRTDVPGP---VNLSHGATTTIRELAETVADVVGLKAEIKWDHDKPEGQLIKIFDVKRMR-EMGIDCPTGLREGLVKTYAWFQENY-------- 141258744 --NKKFLISGGAGFIGSAVIRYIINNTHSVVNVDNLTYAGNENDPRYEFEKVDICNSKDIGLVLNKHKPDIIMHLAAESHVDRSIDSPRKFIETNILGTYVLLEQSKEYWKFKFHHVSTDEVYGDQKDILFTEETPYAPSSPYAASKASSDHLVRAWHRTFNLPTLITNCSNNYGP--------YQFPEKLIPLMILNAIEGKPLP--------VYGNGRQVRDWLYVDDHA----------------------------------------------------------------------------------------------------- 136119606 ----NILITGGAGFIGSALAKRFIEKGHRVVSLDDYSIGRDNHIERVKYINADI---EQIKELKNEYDI--IFHLAALSRIQPSYDNPSETFRVNSIGTQAVCEFARLND-SKVIYAGSSSKWHNPYQ------------SPYASCKHIGEEICKMYKKSFDTNIEIARFYNVYGPG------EIMYGDWAAVIGKWRGLVQQNKPITVVGD------GEQKRDFTHIDDIIDGLYRIAIGSKKHDDA--WELGTGKNYSINEIASLFQIKFGCE--IVYLHDQYGNYRETLQENKDAQTILGWEPEDRLQEYISE----------------- 34499347 -RGRKVFLTGHTGFKGGWLSLWLADMGAEVHGY-SLPPEQSPALFEAAGVGGDIRDAAALADAMRSCRPSIVFHLAAQPLVRHSYADPLGTFTTNVMGTANLLDAARHCPGVEAVVAVTTDKCYENHEWPWRESDALGGHDPYSSSKACAELVCAAYRRSY------PGMAPIATARAGNVIGGGDWSANRLVPDLLQAFSENR--------SLAIRSPDAVRPWQHVLESLAGYLRLAERLAQGQDDAASAWNFGPADDSNRPVSWIADTLGDARWHRDGGDHPHEAQTLRLDSAKSRQRLGWSPRWSLEQALSATLDWHRAWLRG------ 304321039 MSGKTALITGVTGQDGAYLARLLLEKGYTVHGVKRFNTARVDDIYVDPHDEGDLTDSTNLIRLVQETQPDELYNLGAQSHVQVSFETPEYTANSDALGTLRLLEAIRIEEKTRFYQASTSELYGLVQETPQRETTPFYPRSPYGAAKLYAYWITVNYRESYRLHASNGILFNHESPLRGETFVTRKITRAVAAIHHGVQDTLY------LGNLDAQRDWGHAKDYVEGMWLMLQQDEPDDYVLGTGEMHSVREFVEKAFAHVEKSIEWRDEIGVDRAVKVDPRRPAEVDLLLSDPAKAREKLGWQSTTSFDRLVQEMMD-------------- 300175160 -KRKVALITGITGQDGSYLAELLLSKGYEVHGISSFNTGRINHLYKDPHNQGDLTDSSNLCGLMAKIQPDEIYNLGAQSHVKVSFDLSEYSAEVDGIGTLRLLNAIRTCKKTRLYQASTSELYGKVQAIPQNEKTPFYPRSPYSVAKQFSYWIVVNYREAYGMFLSNGILFNHESPRRGPTFVTRKITRAVVRIKLGVQDCLY------LGNLNAIRDWGHARDYVYGMWLILQQDHPDDFVLSTGEGHTVREFCGIEVEWRGEKDTVDKANPEHVIVRIDPKRPTEVDLLIGDCTKAKTVLGWKKEVSFDQLVEEMVD-------------- 139824375 ---------------------------------------------------------------IEKANPDFIMHLAAETHVDRSIDAPSEFLTSNVIGTFNLLQVAKEYWTFRFHHISTDEVYGLGEKGSFDEQTPYDPRSPYSASKASSDHLVRAWFHTYNFPILITNCSNNFGP--------WQFPEKLIPLVIAKALDGKSIP--------IYGDGLNVRDWLFVEDHVDGL---LLVIKKGKVGESYCIGGYGERTNKYIVEFICSILDKRIPIEYVRDRPGHDRRYSIDSTKIQNELGWKSELSLEKSLEETIDWYLANSQWTDK--- 139463399 ----KVFITGTAGFIGFHLAKLLLKEGFRVHGYDSINDYYDVNLKLARQNKGLLEDHDKLNEVADKFEPDIIIHLAAQAGVRYSLENPRAYINSNIIGTFNVMEIARKLKVKHLLMSSSSSVYGANTKMPFTETEKADTLTIYAATKKSNESMAHSYAHLWKIPTTMFRFFTVYGP--------WGRPDMVYFKFVSSILKNKAIDIYNNGEMY--------RDFTYVDDLVSGIKLLVDCIPNNKISEN----------------------------------------------------------------------------------- 139943714 MKKKTILVTGGLGFIGSNLIEFLVNKNFKIINIDKASYSSNKNKKNYKFYKADIGDKKKIFKILIKERPHLIFNLAAETHVDRSIDNPLVFIENNIVSLFNFLEVFKTFQKTKMLHVSTDEVYGDILKGRSNESSPYRPSSPYAASKASSDHIINSYIRTYKLPIIITNCSNNYGP--------KQHPEKLIPKLIYNILNNLPLP--------IYGDGKNSREWIYVKDHCEALYKII---KNGKLGCCYNIGSGKNLTNINICKSILKISNK----------------------------------------------------------- 134940392 MPKKIALITGISGQDGAYLAKFLIKKNYQVIGLERRSARSTNWRLEKIIEDVDIKEINNLIRLFSKYKISEIYNLAAQSFVQSSFYNPIETAQVNAIGVLNILEIIRNQRKIKLYQASTSEMFGKHDKLYQNEKTLFHPRSPYASAKAFAHYTVQNYRESFGLHAVSGILFNHESP-----LRGEEFITRKITLGLAKIFLNKKKTLRV-GNIYARRDWGFAEDYVEAMWMMLQAKKPDDYVIATGKSYSVKQFINECVRSLNLNEKLINVKSKKILISIDKRRPSEVDNLKGDYSKAKRILKWKPKTSFSKLVKMMID-------------- 309791921 --KKRALITGITGQDGSYLAEFLLSQGYEVIGVRRTSTVNFERITHIQPHTGDLLDEVSLINMLREHRPTEVYNLAAQSFVQTSWPQPVFTGETTALGVTRMLDAVRVDPDIRFYQASSSEMFGKVVEVPQKETTPFYPRSPYGVAKVYGHWITVNYRESYNMFACSGILFNHESPRRGLEF------------VTRKISHGVARIKLGLDQELRLGNLDAQRDWGFAGDYVEAMWLMLQ----QDHPDDYVIATGETHSVREFCELAFGHVGLNYQEYVVQMRPAEVDLLIGDPSKAHTSLGWQPKTSFPTLVQMMVD-------------- 141008508 ------IVTGAAGFIGSHVCDYLLEQGHEVIGIDN-QSANNEKFHWNKKVKADICDYETIRPLFEGV--DYVFHLAAESRLQPAIENPIEAVTKNCVGTTTVLQCAREAGVRRVVYSSTSSGYGNNP-LPNVETQPDDSLNPYSASKVAAEKFCSMYHSLYGLETVVLRYFNVFGER------------------------------------------------------------------------------------------------------------------------------------------------------------ 143420536 ---KVALITGITGQDGSYLTELLLEKGYEVHGIVRRASLINTHRIDHIFEKGDLTDSTNLVRVIKKVKPDEIYNLGAQSHVKVSFEMPEYTGQVDGLGTLRILEAVRMEQDVRIYQASTSEMFGKVVEIPQTEKTPFHPRSPYGFAKVYAYWATKNYREAYEMYACSGILFNHESPRRG------------ETFVTRKVVQALDKIAKGEQNVLTLGNLNAKRDWGHAKDYVEAMWLMLQQDKAEDYVIALDEQHSVREFVEEAAPHIEWFGEGEDEIGMDKNRPSEVDTLLGDSTKARKELGWTPKISFKELVEDM---------------- 142374683 -DRRSVLVTGGAGFIGGAVVRRLLRETVTVFNLDKMGYASDEEVLSGLGEQVDLTDAAAVEAAVREADPDLVMHLAAESHVDRSISGPGVFIESNVNGTYNLLQAVRGHYAFRMHHISTDEVFGLGAEGRFSETTPYDPRSPYSASKAASDHLVQAWHHTFGLPVVLTNCSNNYGP--------WQFPEKLIPVVTLKAAGGESIPL--------YGDGLNVRDWLYVEDHVDAL---LLAACNGASGRSYCVGGHGERTNKEVVHSICQQLD------------------------------------------------------------ 136320150 ------LIIGSSGLIGKALIENLNIKGLKPICYDFIKTKKKMNI---DFIKGSIENLDNLYQKTIGKKIDVVVHLAAFLGVRNTERDKLKCLNTNILGTINVLNFCKKKKVGKIIFSSSSEVYGEGGKTYLKEDFFLKPKSVYGITKVVNEQYIKAHCKKFNLNYNICRFFNVYGEFQRNEFVIPTFVNRVKKNQYINI----------------YGNGNQVRSFCYVSDAADALT---ELILTKKKNKIYNIGNNQEIKIIDLARLICKLANKKNKIKKIDFKKSDIYERKPDISKAIKELNYKPKIKLIDGIKKILN-------------- 138370526 MKNK-IFITGVAGFIGYHLCKRLLSEGNNIIGLDNLNSFYDVNLKKARLDNLDLENINIIEKIFKENKPTIVFNLAAEAGVRNSIENPNAFTRTNIVGFNNLLSVCSKNNIKHIIYASSSSVYGGNTSLPYSEDSVDHPVSIYAATKKANELYAHCYSHLYSMPMTGLRFFTVYGP----------WGRPDMAYFLFTKSILEDKKINIFNYGEMYRDFTYIDDIVESLTRVMGKPPELNNNFDKKNPSSDSSWAPHRIFNI----------------------------------------------------------------------- 140850585 -------VTGCLGFIGSYVTRLCLQRGWYVKGVDKITYAANKDVLKFSFVHCDINDLKFL------YDCDYVINTAAETHVGNSIANSDDFVKSNIDGVHNLLELIRNYRMPTLLHFSTDEVYGDIAEGAHTETDLLKPSNPYSATKAAADMLILAWARTYGIPYVIVRPTNNYG--------IGQYVEKLIPKTCKYLNLGRKIPLHNNG--------TPVRNWLHAEDTARAVLTIID---EEVKNEIYNICGGFEQSNLQTVNAIFKIYGIPEYVDFSCNRRGQDVRYALDDSKLRTI-GWSPIKLFDEMI-DIVNYYRK---------- 260888442 MHEKRIIVTGGAGFIGSNIVKELNRKGNDILIVDDLKDGENYKNLRGLHF-IDYRHKDDFDDEFGGSDIDAVFHEGACSDTMEYDVNY--MMKVNYEYSKTLLHFCLLHRVP-FLYASSASTYGAGRHGFTENDAAEDALNPYAYSKLAFDRYVRQVMRESRSPIVGLRYFNVFGP------QEQHKGKMASIFYQLYRQLKETGEARLFRGTDGFDDGEQRRDFIYVKDVVKVNFWFWE---NKGPSGIYNCGTGKAHTYNEAADAVIAALGKGY--------------------------------------------------------- 229136781 ---KKALITGVTGQDGSYLAEFLLEKGYEVHGIIRRSSSYNNNQENFHLHYGDITDTSNVIRLISEIRPDEIYNLGAQSHVKVSFDVPEYTADVDGVGTLRILEAVRITETTRIYQASTSELYGKVQEVPQKETTPFYPRSPYGVAKIYGFWITKNYRESYNMFAVNGILFNHESERRGETFV------TRKITLAAARIAQEKQETLYLGNLDSLRDWGYAKDYVECMWLILQHDKPEDFVIATGEMHTVREFKHVGIELEWVGEEVEETGKVLVAVDPKFFRPAEVEQLLGDPTKAKTLLGWNPKTSFEELVRIMVE-------------- 143451382 --KKKILITGGAGFIGSNLIDYLI--GDDNIVIDNLSNGSKSNIKKFEFIEGDIRNYDFCLKSIK--NIDLVSHQAALGSVPRSIEDPFTSFEVNIMGTLNILRASKENNVERVVMAFSSSSYGNSEILPKKEDLIGDPLSPYAITKLNNEHQALVFNKIYGLNFIGLRYFNVFGP------YQKPNSEYAAVIPLFIDNVINNKEIKIFGDGQT------SRDFTFVENVCDINKIALFTNNKKSLNQIYNVACGSQI-------------------------------------------------------------------------- 158338836 ---KVALITGITGQDGAYLAELLLAKGYHVHGIKRFNTDRIDHLYQDPHEKGDLTDSTNLIRIVQQVQPDEIYNLAAQSHVAVSFETPEYTANVDGLGTLRFLEAIRIEKKTKFYQASTSELYGLVQEVPQTEKTPFYPRSPYAVAKLYAYWITVNYREAYGMYACNGILFNHESP------LRGETFVTRKITRAIARIYLKKQKCLFLGNMDSLRDWGHARDYVEMQWLMLQQDQPEDFVIATGQQYSVRHCKEVGIDIRWEGEGLDEKGEGNCIVAVDPRRPTEVDTLLGSPEKAKEKLKWVPKVSFPELVQEMMA-------------- 139998127 -KQKNILVTGGAGFIGSHLCKTLINQGHYVICLDNLFTGTKDNISDIDNTNFEFVDHDIIEPYFRS-NVDEIFNLACPASPIHYQFNPIKTIKTCTIGVINMLGLAKKNNAKILQ-ASTSEVYGDPEIHPQKEDNTLGFRSCYDEGKRCAETLFMDYKREHNLNIRIVRIFNTYGPNMTKNDGR--------VVSNFILQALNNESITIYGDGLQTRSFQYIDDLI----------------------------------------------------------------------------------------------------------- 134294930 ----RIVITGANGFVGHAVCRLALEAGHTVTALVRRPGGCIEGVREWVH---DAPDFEGVASAWEDLQADCVIHLAARVHRDKSPDPDAAFDATNVAGTLRVADAARMHGVRRFVFASSIKVVGEGDGVPLAEDVVPDPQDAYGRSKLRAEQQLARLGEA-GLEVVVVRPPLVYGPGVRANFLRMMDAVFRGAPLPLAAIPARR--SVVYVDNLADALLHCAMDPRAAGEC--FHVADDDAPSVAGLLRMVGDALGRPARLFPVPAGALRMLGRLTGRSAVVDRLTG--SLQLDTGRLKRVLNWQPPYTTRQGLEATAAWYR----------- 141611833 ------IVTGGAGFIGSHIVEKLKALDHMVVVIDNEYSDNDHWRKDTLNVNIDITDYKALKKAFTGA--DYIFHLAAEARIGPAIKNPLNALNINTMGTCNVLQCAREVGAKKVLYSSTSSGYGLNE-APNIETQPDDCLNPYSVSKIAGEKLCKMYTDLYGLNTIIFRYFNVFGERA------PRKGQYAPVTGIFLRQKAAGEPLTIVGD------GEQRRDYIYVKDVANANVMAAISNPNDDAYGQVYN-------------------------------------------------------------------------------- 142113908 ------FITGGAGFIGSNFAHYISDLWDDVIILDKLTYAGDMDNLYYPVKGVDISYEIRLEELFKQYKPKNIFHFAAETHVDNSIKNVAPFVDTNVIGTLNLLNLSVKYDVEMFHHISTDEVYGNLTDPPFTEESPYDPQNPYAASKASSDHFVMSYHNTYGLPVLITNCSNNYGPRQ----------------------------------------------------------------------------------------------------------------------------------------------------------- 135884982 ----KILVTGGAGFVGTNLIKRLVTNGHEVVSLDDYSTGEDNHVNGCHYVEGRIEHINFYFGINPSF--DLVYHLAGLSRIQPSFENPSETFNVNTMGTQRVLEFARKND-LKVIYAGSSSKHHDPYQ------------SPYAACKYLGEELCKLYKKTYNMNIEICRFYNVYGPH------EVLEGDWAAVIGIWRRQVQNGEPITIVGD------GEQKRDFTHVHDIVDALLELQSVNSGLSLLDAWELGTGMNYSINEVADMFVKYGGA--TKTYIPDQYGNYRETLRESDEAVNLLDWSPSDRLEDYIKSLYK-------------- 170031434 --TKTVLVTGGTGLVGRAIEAVIKEE-----------NPQNEKWLFVGSKEADLTDLQSTRSMFERHQPTHVIHLAAMVGGFHNMNNNLDFLRKNLQINDNVLLLSHEFKVQKVVSCLSTCIFPDKTTYPIDETMIHNGPPHYSYAKRMIDITNRAYNQQHGDMFTSVVPCNVFGPFDNFTPGVSHVIPGMIHRLHETIYVKDPEKPQEEKTFSVYGTGKPLRQFIYSIDLAKLFVWVLRHY-ESVDPIILSVDEAAEVSIAQLAQSLVKAFDFKGKLEFDTSKADGQHKKTASNGKLRRLL---PDFKFTEAIQETVKWYLENYE------- 296440434 ---KRALITGINGQDGSYLAELLLEKGYEVYGIMRRDYGNVEHIKDKKFIYADMTDAISLINAMRISQADEVYNLAAQSFVATSWEQPIATAEIDGVGVTNMLEAIRTVKPERFYQASTSEMFGLVQEIPQKETTPFYPRSPYGVAKLYGHWITKNYRESYNLYACSGILFNHESERRGKEFVTRKITDAVARIKHGIQDHLE------LGNMDAKRDWGHSKDYVEAMWLMLQQEQADDYVIATNETRTVREFVEIAFKTVDIIGIDKATGKTIVKINPVFFRPAEVEILLGDATKAEKALGWERKISFAELVERMVK-------------- 134963474 MMNYKILITGGAGYIGSVLTPMLLEKGYYVVLVDKLIFGQKPYLKNFKFINGDVRDFIFVKKIIDEYDFDIVIPLAALVGAPICSKYITEAEQINYQSCNFLFHNLKKTTKIILPVSNSGYGIAE-HNKPCTEDSPLNPISVYGVTKVKSENSLIERGNYISLRLATIF---GMSPRMRLDLLVNNFVYDAVTKKYLEIFEGKFK-----------------RNYVHIKDVARVFIFCIENFERLKNNVFNFGLENVNLTKIELAENIKKHVDGFNYVLNEFGHDPDKRDYIVSNQKILK-TGFKFKYSLDEGIIELID-------------- 92118288 MQARRALITGVTGQDGAYLSKFLLEKGYDVHGLLRRSASADVVDARLKWHDGNLTDLSGLLRVLQDVQPAEVYNLGAQSFVKSSWQQPLLTSTVTGLGAAHMLEAVRIACPKRFYQASSSEMFGLIQEPRQSETTPFYPRSPYAAAKLYAHWMTVNYRESFGLHAS------------SGILFNHESPLRGIEFVTRKITDGVAQIKLGLETTLALGNLDAKRDWGHARDYVKAMWLMLQ----QDTPDDYVVATGRTVSVRDFCKLAFNHVGLEDHVVTDPRRPAEVDVLLGNADKARDRLGWVAETRLEDLVAEMIE-------------- 122692409 ---KVALITGITGQDGSYLAEFLLEKGYEVHGIVRFNTGRIEHLYKNPQAHGDLTDSTCLVKIINEVKPTEIYNLGAQSHVKISFDLAEYTADVDGVGTLRLLDAVKTCGLIRFYQASTSELYGKVQEIPQKETTPFYPRSPYGAAKLYAYWIVVNFREAYNLFAVNGILFNHESPRRGANFV------TRKISRSVAKIHLGQLECFSLGNLDAKRDWGHAKDYVEAMWLMLQKDEPEDFVIATGEVHSVREFVEKSFKNENEVGRCKETGKIHVTVDLKYYRPTEVDFLQGDCSKARQKLSWKPRVAFDELVREMVE-------------- 167841152 -------------------------DGHEVLCVDNFYTGNVAHLLANPYFEIMRHDYVEVDEIYNLACPASPIHYQ---------FDPVQTTKTSVHGAINMLGLAKRVKAKIFQ-ASTSEVYGDPEVHPQREEYWIGFRSCYDEGKRCAETLFFDYHRQHNLRIKVARIFNTYGPRM--------HPSDGRVVSNFIVQALKNEPITLYGDG------TQTRSFCYVSDLIEAFTRFMQCPDQ--VTGPMNMGNPEEFTIRTLAETVIELTGSRSRMTFLPLPPDDPKQRRPDITLAREFLHWTPTTPLEHGLKLTIDYF------------ 141097963 -----------------------IKDGHFVTVIDNLSTGRFNNISHHKFINLDISKSKKLRKIIKGN--SYVYHLAGLADIVPSIENPEAYFNTNVKGTFNILNACRGLKIKKLIYAASASCYGIPKKYPTDENSKIDPKYPYALTKFLGEKMVMHWAKVYNMPNLSFRFFNAYGLRSRT------TGAYGAVFGVFLAQRCANKPLTIVGNGKQT------RDFIHVNDLVNAIVLAAKKKNLKG--QIFNIGSGVETSVNEIAKII---GGKKIHI---PKRPGEPDRSQASIRKIKKELN------------------------------ 139157587 ------LVTGGAGFLGSHLIDKLLKNGEEVICLDNYFTGKKDNLKHAENPKLELIRHDVVEPI--NLEVDRIWHLACPASPIHYQFNPIKTSKTSFLGTYNMLGLARRVGA-RILLASTSEIYGDPEVHPQPEVNTIGPRSCYDEGKRIAETLCFDYHRMHNTDIRIMRIFNTYGPRM--------MPNDGRVISNFIVQALKGEQLTLYGN------GSQTRSFCYVDDLIEGMVLLM----NSQEIGPFNIGNPNEFSIMELAKIIRDKINPELRFINKPLPLDDPIQRKP---------------------------------------- 302340731 ---KKALITGITGQDGSYLAEFLLDKGYEVHGVDHLYKDLHEHEVRFILHYGDLTDTGNLIRIVQEIQPDEIYNLGAMSHVKVSFESPEYTADADGIGTLRLLEAIRIEKKTRFYQASTSELYGLVQEVPQKETTPFYPRSPYAAAKLYSYWITVNYREAYGIYACNGILFNHESPRRG------------ETFVTRKITRAAARISQGLQQKLYLGNLDAKRDWGYAGDYVELMWLMLQ----QEEPDDFVMATGVTTAVRDFVKMAFAEAGIKVIVEVDPRRPTEVELLIGDPGKAKEKLGWIPKVDLQELI------------------- 39937109 -RGKRVFLTGHTGFKGSWLSIWFAELGADVVGF-ALPPPTEPSLFRMNSIIGDVRNADALCSAMQEARPEIVIHMAAQPLVRLSYHQPVETYATNVMGLVHVFEAVRKCQSVRAVVNVTSDKCYENKEWVWRESEPMGGYDPYSSSKGCAELVTTAYRNFFNPENYQTHGVAIASARAGNVIGGGDWAPDRLIPNIMRAIET--------GIPVQIRNPAAIRPWQHVLEPLGGYLCLAKKLYEAGPAFVGGWNFGDAKPVQWIVDRMTRMWGKGASWQEVTDRPHEAHYLKLDCSKAQSLLGWKPAWNLERALEKIIDWH------------ 237653538 -RGRRVFVTGHTGFKGGWLSLWLQSMGADVCGFALPPAAAPALFHVADVERGDVRDYERLRQALAAARPEIVLHLAAQPLVPYSYAEPVETFSTNAMGTVNLLEACRHQPDLKAVVVVSSDKCYENREQLWRETDPMGGYDPYSASKGCTELVVASYRRSF----LAARGVALASARAGNVIGGGDWTPSRLVPDVLAAFAR--------NEAVVLRNPDAIRPWQHVLEPLAGYLLLAQHLVEHGEAFAEGWNFGPDETDARTVAWIVEMLAAGWWQPSGEPRIHEAHTLKLDCTKARVRLGWRPRWQAEDAVTRSLAWYQAW-RAGADMHR 143106697 ----KYVVVGGAGFIGSNLVDKLINEGHEVSIIDNFSTGKKDNINSNANVELDMSDISKKQKIISMENTDTVFLLAAKARVQPSIKNPIEYEMNNTIGVLNILKCAADAKVRRVVYSASSSAYGDSKVMPLVESMPVNPLSPYGAQKYYGEVMCNVFSHIYNIQTVCLRYFNVYGERQNL------DGAYALVIGIFASQLLSGKPMTIRGD------GEQRRDFTYVGDVVSAN-ILASTSKNVGNGEVINIGNGD---------------------------------------------------------------------------- 283840597 ----KILVTGACGYVGTTLVPKLLARGDEVVAFDIMWFGNDPPHPALTVVRGDVRDTSSID----LSGIDAIVHLASVANDPCGDLDPKLTWEISALATMQLADRAARAGIQRFVYASSGSVYGIKDEEQVTEDLTLEPISEYNKTKMVAERVMLSY--AGDMAVQIVRPATVCGPSPR--------MRLDVSVNMLTMQALTNGEITVFGG-------NQVRPNIHIDDITDLYLMLLDRPELRG----IYNAGFENISILD----IARMVDAQVPTKITVTASNDPRSYRINSDKLLA-TGFKPKKTVNDAIREII---VKFQRGLKNE-- 302757653 ----RICITGAGGFIASHIARRLKAEGHYIIASDWKKNEH--MSEDMFCHEFHLVDLRVMDNCMVVTKVDHVFNLAAMGGMGFIQSNHSVILFNNTMISFNMLEASRINGVKRFFYASSACIYPLETNVSLKEGDAWEPQDAYGLEKLCTEELCKHYTKDFGIECRIGRFHNIYGP-----FGTWKGGREKAPAAFCRKALTSTDKFEMWGDGMQTRSFTFIDECVEG----------VLRLTKSDFREPLNIGSDEMVSMNEMAEMILGFENKQIPIQHIPGPEG-VRGRNSDNSLIKEKLGWAPSMRLRDGLRITYMW------------- 136344605 ------LVTGAAGFIGSNLVEKLIGLNQKVIGLDNFSTGKKENIEEALDEDGDINDENLCQNV--CQGIDFVLHQAALGSVPRSIKNPIITNQTNIGGFLKILVAAKDNKVKRFVYAASSSTYGDHPDLPKREEIIGEPLSPYAITKYTNELYAKVFNKIYGLDSIGLRYFNVFGKRQS--------PEGAYAAVIPKWIINIMRQDEVF----INGDGTTTRDFCY---------------------------------------------------------------------------------------------------------- 301111820 ------LITGITGQDGSYLSELLLAKGYTVHGLVNFNTARLEHLYRDPHDHGDLTDSSNLCQIVARVRPDEVYNLGAMSHVKVSFELAEYTADVDAIGALRLLTSLRTCHSTRFYQASTSELYGKVLATPQDESTPFHPRSPYGVAKQFAYWSVINHREAYGMYAVNGILFNHESPRRGPTFV------TRKITRAVVRIRAGIESCLFVGNLDAKRDWGHARDYVECMWRMLQHDTPEDFVVATGECHSVREFIELAFTHVGLTIAWKGPRGSKDEVGVVANRPAEVELLCGNASKAQRKLGWKPTIRFRELVAEMVA-------------- 300730919 -RGRRVFLTGHTGFKGGWLALWLSALGANVRGLDPEGSPNLFDTLRIEDIRGDIRNPATLEPALRDFAPEVVFHLAAQPLVRASYADPIGTYETNVLGTARVLDAVRRTPSVRAIVSVTTDKVYENRETPYRESDPLGGYDPYSSSKACAEIVTAAYRQSYGVPVVTARAGNVIGGG----------------DWSSDRLLPDLVRGFLSGLPVPIRHPHAIRPWQHVLDPLHGYLLLVEQLLA-GEPKSFAYNFGPSETDARPVSWIADHM-TASWGAGASWRLDGAPSLKLDASKAHEELNWKPRLGLPTALDWLISWYRAH--------- 139156858 ----KILLTGGAGYIGSHASLALLDQGHKVTIIDNLSTGNSELIPAASFIKCDIEDVSKVSKLIKKNSFDVLIHFAGFVQVEESVKNPKKYFLNNTENSKILFNTCLQNNLKNIIFSSTAAVYGNPPNDPIKENILLNPLNPYGESKIQTENYL---QNNLDFNYIILRYFNVAGADP----------------------------------------------------------------------------------------------------------------------------------------------------------- 139656006 MANKYIIITGSAGFIGFHLAKLLLSEGHNIIGIDSMSEYYDVNLKIERNKFLDISNIDNLKSLYKNKNIEYIIHLAAQAGVRYSIDHPKTYVDSNLVGTFNVLELSKKLKIKHLLIASTSSVYGSNKKYPFHENDSTDRMSFYAATKKSNEMMAHSYSHINKIPTTVMRFFTVYGP----------WGRPDMALFKFVNLISKDQEIDVFNHGKMKRDFTYVDD------------------------------------------------------------------------------------------------------------- 140393290 -----------------------LSKGYKIIGIDNYSNSNSKFNQQYQFYEIDLASFIELNKVFKLHKPQLVMHLAALKSVQESMNNPNLYKNNNIKSTVNILNSMASNNCKKIIYSSSAAVYGNQKEQPISENAVLRPTSIYAETKLSCEKLIEDASKKQSIDGLSLRYFNPIGSHSSKLYREEGLNTGSIMQEIIKVALKRNNILNIFGSDYETEDGTCERDFIHIDDVLDAHIKSIKYLESYIGYNVFNIGTGKSISILKLVNAFIEQNKISIKYKFVNKKSEDIQTSYANVAKIKDTIRWKAKKNL----------------------- 299140493 MNRKVALITGITGQDGSYLAEFLLEKGYEVHGTIRRSSAHLEGRDNFHLHYADLGDSMSILGVIGKVQPTEIYNLAAQSHVQVSFDSPEFTADVDAVGVLRVLEAVRQTDSCRIYQASTSELYGKVEEVPQNENTPFHPYSPYAVAKQYGFWIVREYRDAYNMFCCSGILFNHESERRGETFV------TRKITLAAARIKQGKQEKLYLGNLSSLRDWGYAKDYVECMWLILQNDKPEDFVIATGVQHSVRDFCYYAFKRMDEKGIDKATGKVLIEVSPDFYRPTDVVNLWGDPTKAKAELGWNPKTSFEELVNLMVD-------------- 254385135 -AGRTVLVTGALGFIGSHFVRQLDARGAEVLALYRTERPEVQALSRVRLVRTELRDESDVRGAFKYLAPDTVVHCAAMDGNAQFKLERAEILDSNQRTISNLLNCVRDFGVEVVVMSSSELYSASPTVAAREEDDFRRSMNGYVLSKTYGEILARLHREQFGTNVFLVRPGNVYGPGDGFDRSRGRVIPSMLAKAD------------AGEEIEIWGDGSQTRSFVHVADLVRASLRLLET----GKYPEMNVAGAEQVSILELARMVMAVLGRPERIRLDPSRPVGAPSRLLDLSRMSEVIDFQP---LRAGLEETARWYRLHKR------- 134475567 ----TILITGGCGFIGSALIRHIMAHTHKVINLDNLTYAVNKDNQRYRFIKGDICDGKLVETVFAQHQPDVVMHLAAESHVDRSIASPDSFIQTNIVGTFNLLEASRMFTRFRFHHISTDEVFGDPKTGYFNEKSAYSPSSPYSASKASSDHLVKAWHRTYGLPTIITYCSNNYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 142318003 ---KRVLITGGAGFIAHHLIQILQTTDWEIISLDRLDYSGNLNRLHDIMLTFDPSVRKRVKIVHHDGHVDYILHLAAGSHVDRSIDYPMEFVMDNVVGTCNILQARLQPNLERFVYFSTDEVFGPAPNGIYEENDRYNSTNPYSATKAGGEELAVAFQNTYNIPIYITHTMNVFGERQ------------HPEKFIPMCIKKARDSEYITIHSDSTKTIPGSRHYIHAEDVASNYEGEFETTWGNAKCPKFNIVGSEELNNLELAKIIADAQGKEEMVDFHSSRPGHDLRYALSGDKMKN-LGWVPSKSVRERINQVTKWTLDNERWIK---- 135077811 MNQRKVLITGTAGFIGFHLAKLLLAEGIRVHGYDGMTDYYDVNLKHRRHAEGMLEDQVKFDKLADEFQPDVIVHLAAQAGVRYSLENPRAYLDSNVIGTFNVMEAARRLEVDHLLMASTSSVYGANTEMPFTETEKADTLTIYAATKKANESMAHSYAHLWNLPTTMFRFFTVYGT------------------------------------------------------------------------------------------------------------------------------------------------------------- 139497705 -------------------------------------------------IKCDLKNQKKLTRILKKYNPSGIFNLAAETHVDRSIDSPENFIKSNIVGVFHLLEAIRKYNKIKLIHISTDEVYGDVLKGRSREKDPYEPSSPYAASKASSDHLVSSYIRTFNLPAIVTNCSNNYGPG--------QHPEKLIPKIIYNILNNKPLP--------VYGSGKNTREWIFVKDHCEALIKIF---LNGKIGNFYNIGSNFNLTNIEIIKRLLFIIGKRVKIKFVKDRPGHDLRYALDSSKIRKELKWIPKTNIKDGLIRTLIWYVNNKK------- 254777045 ----RVLVTGAAGFIGSRVAAALRAAGHDVVAVDALLAAAPNPLPPNGCHRVDVRDADALAPLLAGV--DVVCHQAAMVGAGVDAADAPAYGGHNDLATTVLLAQMFAAGVRRLVLASSMVVYGQGRWQLVDEDACLRPRSLYAASKTAQEHYALAWSEATGGSVVALRYHNVYGPGM------PRDTPYSGVAAIFRSALEKGEPPRVF------EDGGQMRDFVHVDDVAAANLAALAC---RDGFTAVNVCSGQPISILQVATALCDARGGAVPVVTGQYRSGDVRHIVADPSRAARLLGFRAAVQPGDGLREF---------------- 254420926 LNGKVALITGVTGQDGAYLSELLLEKGYIVHGVKRFNTGRIEHLYQDPHEEGDLTDSTNLIRIIQETQPDEVYNLAAMSHVQVSFETPEYTANADGIGTLRILEAIRIKKKTKFYQASTSELYGLVQEVPQSETTPFYPRSPYAVAKMYAYWIVVNYREAYGMHASNGILFNHESPLRGETFVTRKITRAVAAIKHGFQDTLY------LGNIDAKRDWGHAREYVRGMWLMLQQDKPDDYVLATGETTVIRDFVNHAFGQIDVTKGICTETGR-VYVEIDPRRPTEVDLLIGNPAKAKAKLGWTHETKWEALCAEMVA-------------- 140449375 ---KNILITGGAGFIGSNFIPYFLEKNKNIINLDALTYASDESSSRYTFIEGDIRDNNLIKSIFTKFDISGVIHFAAESHVDNSISKPDIFIETNINGTFNLIHNAYTHWMNKFLHVSTDEVYGLGDKGLFTETTPYAPNSPYSASKASSDMLVRSYRETYGMNTLITNCSNNYGP--------KQHREKLIPKIIHNAVMGDKIP--------IYGDGKNVRDWLFVLDHCKVSIWFF---------------------------------------------------------------------------------------------- 140465362 --------------------------------------GRGAEIVTRSHAELDLTDQAAVRTFLEAERPDIVILAAAVGGIHANNTYPADFIYQNLMIECNVIHEAYAAGVRKLLQLGSSCIYPRNADQPMREEATLEPTNPYAIAKIAGIKLCESYNRQYGVDYRSVMPTNLYGPGDNFHPENSHVLPALIRRFHDAVQEGHE-------EVVIWGTGTPRREFLHVDDMADASLFVMDLDRATYDENHINVGTGTDVSILELAKMIAEVTGFHGAILCDPTKPDGTPRKLLDVSRLQR-MGWTANVSLSDGIMSTYQWYLENAN------- 303237354 MSKKIALITGITGQDGSYLAEFLIEKGYEVHGLSSFNTGRIEHLYLDEWVRADMTDSSSLIRIIGEVKPTEIYNLAAQSHVKVSFDVPEYTADTDAVGVLRLLEAVRICKSCKIYQASTSELFGKVQEVPQKETTPFYPRSPYSVAKLYGFWIMKNYRESYGMYCCNGILFNHESERRGENFVTRKITLAACRIAQGLQDKLY------LGNLGAQRDWGYAKDYVECMWLILQQEEPDDFVIATGEMYTVRAFCEVGIELEWRGEGIEKGYNKDTGAVLVEFRPAEVEQLLGDPTKAKTKLGWNPKTSFEQLVKIMVE-------------- 300722790 -RRKRVLILGVNGFIGNHLTERLLEDGNYDIYGMDIGSSAIERFIGNPHFHFIEGDVSIHTEWIEYHKCDVILPLVAIATPIEYTRNPLRVFELDFEENLKIVRYCVKYN-KRIIFPSTSEVYGMCDDKEFDEDTIVGPINKQRWSKQLLDRVIWAYGAKEGLKFTLFRPFNWMGPRLDNLDSARIGSSRAITQLILNLVEGSPIKLVDGGE--------QKRCFTDIHDGIEALFRIIENRDGLCDSQIINIGNPNEASIRQLAEMLLESFEKHELRGYFPLFSGDVEHRKPSIKNAERLLGWKPTIDMKQTIDETLDFFLR---------- 262199765 ----KFLITGAGGQIGRELVAAFAAEGHELIAAD-LRPFEQRGVAGERWVALDVRDREQVFELLARERPGAIFHLAAVLSAR-GESDPQLTYDVNQTGTYNLLEGARIHGVSRFIFPSTIAVYGPGLPDPTPDDVPLHPTTMYGVTKVAGELLCEYYRRRYEMDIR--------GLRFPGLISASLPGGGSSDYALFMYVDGVRRGRYEAFCRPDTRIPLMYMD-----DALRALRELFDAPRERLRRCVYNIAAFSPRADEVAASVARALGDVHFSFAPNPVKQGDSWPSALDDACARADWDWRPRFDLDQMTEDLI--------------- 136654523 MKTMKCVVTGGAGFIGSNLVDKLIAEGNEVSVIDNFLSGKKECNKNAIYFNLDLSDTEKKSIITDILQAEVVFHCAALARVQSSIVDPVKYEVNNTLGTVNTLKSAVDAGVKRVVYSASSSVYGPTEKLPSKEEDSPNPISPYANQKYYGELCCKMFSSIYPIETVSLRYFNVFGERQN------IGGAYATVVGIFIDQLLKNQQMTINGN------GKQRRDFTYVQDVVNAN-ILASKSTKIGKGEVINIGSGTNISIN----------------------------------------------------------------------- 143721968 MKVAKSVITGGAGFIGSNLTDRLLDMGHKVTVLDNFVSGKKSNLAHHKIVNIDISKSENLDKYFK--KVDYIFHLAALAEIIPSFKNPKKYFINNVIGTLNVLKAAKKNKIKKMIYAASSSCYGAPKKFPTSEKDKIDLKHPYAVTKFIGEELVMRYALMFNMPNISCRFFNVYGPRLN---------------------------------------------------------------------------------------------------------------------------------------------------------- 138277831 ------------------------------------------------YEKKDICNREDVDDVFHRYQPELVFHLAAESHVDNSIESPIDFINTNILGTYNMLNSSRNYQNFIFHHISTDEVYGDTDEPPFTESSNYDPSSPYSATKAASDHLVRSWARTYKLPYFITNCSNNYGP---------YQNKEKLIPKTIFNALNHKK-------IPIYGDGHQIRDWLHVDDHVSAIKRVA---FSGTQSDTYNIGGGNQITNLRLVNELCNLLDKKDLITFVEDRPGHDIRYAIDSSKIYSTLEWKPRMSFE---------------------- 134816737 --KMHIFITGVAGFLGSHLADYYLSKNFKVSGNDNLIGGYRDNVPNVNFYNFDCEDFLRMDKVLKNV--DVVIHAAAYAHEGLSVFSPHLICKNIISGSTSVFSAAANNKVKRIIFCSSMARYG-NLKPPFKEEDIPNPIDPYGISKLAAEKILINICQVHNIEYNIAIPHNIIG------NKQRYDDPFRNVASIMINLMLQNKQPIIYGDGEQKRSFSDISDCIFCIDKLVTDKNLKSEIVNIGPDDNY-------ISVNELYKIISNKLKFNKEPRYFPDRTNEVKYANCSAEKSKKILGYK-KISLDDIIQ------------------ 294624018 -------------------------------------------------------------------------------------------------------------------HVSTDEVYGLGENGKFTETTPYAPNSPYSASKAASDHLVRAFHHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLVIAKALASEPLP--------IYGDGKQVRDWLFVSDHCDAIRTVLEKGR---VGETYNVGGNAERQNIEVVHTICTLLDRASQITHVADRPGHDRRYAIDASKLRNELGWQPAYRFEQGIALTVQWYLDNQDWVK---- 135895270 ------------GMDGSYLADLLLEKGYEVYGMERRSSSKNRTNTGHTFVNGDLTDQNSLVRCLRESDPDEVYNLGAQSFVGESWNTPEQTSDVTGMGCLRMLEAIREYKDIKFYQASTSEMFGKMVENPANENTPFYPRSPYGVAKLYAHWMTKNYRESYGM-------FNV-----SGILFNHESERRGIEFVTRKITDGVAKVHLGYEDHIKLGNLDSRRDWGYAPDYVECIWMMLQ----QDKPEDFVIATGKSHSIRDFLDVAFSCVGIGDWSNYVYMRPAEIDVLCGDSTKAREILDWYPKTAFQEMVSRMVK-------------- 142262389 MKKKRILVLGASGFIGRNCVEFFLKKNYVVRGVYNKN--KPTFSKKIKLFKHDLKKEKGLNKIFRN---TDILIQAAATTSGAKDIVSKPFIHVNNNAIINSVVTSVAFETKIPRIINSCTVMYKSSKKPLKETQFYPNKILSNWMKIYVEKLCEFYSKFGVNKFTLIRHSNIYG-----KYDKFDLKKSHVFGATVAKIMNKKNKF-----IEVWGNGSEQRNFLHIDDLMNFIYLAIKKQ--KKNYGLYNLGNNKSISIKELVNKIKTISGTKIPIIFNLKKPTLKININIDSTKAKKELGWKQEISLEKGIKKTINWYKENY-------- 138067857 ---KKAFITGIGGQDGSYLSEHLINKNYKVYGIIRRNSNRLENLNINKNIEGDVEDRTSLDNLLKKIKPDEIYNLAAQSHVRISFDVPEYTIKTNALGVFNMLESLRFNSPKKFYQASSSEMFGVDKNGYQRETTKFEPTSPYGCAKVFAFNLVKHYRHAYKLFCANGILFNHESPRRGSNFV------TNKVIKSAVEIKLGIKKKLYLGNLDSFRDWGHAKDYIRAMHLILKHNKPDDFVIATGHTRSIRQLCEVVFNNLSLDYKKYVKQQKKF------LRPEELKYLKGDSSKARKVLKWKPEISFEQMIHEMTDFWMKYY-------- 256394716 MTQKKVLVSGSAGFIGGYVVEELLGRGYKVVGIDNYSTKSYDQHPDYEFHEGDVRDTELMVELLS--DCDHFIAGAALIGGISYFHTYAYDLATNERIMASSCDAAIKAHAKKVTYMSSSMVFESATEWPSFEGQERQPLSSYGFQKLAVEYFAKAAWDQYKLPYTIVRPFNCVGVGESALGDEEILSGNVKLAMSHVVPDLVQKIVKGQDPLHILGTGEQIRHYTYGGDLARGIVTAMEHPDAVNDDFNLSTPHGHSVELAQAIWGKIKGADVPMTLISDDPFEYDVQRRVPATDKAARVLGFSADTTLDTMLDEVIPW------------- 300021638 -KKKTALISGVTGQDGAYLARLLLDKGYIVHGIKRFNTQRVDEIYQDPHEDGDLTDATNVIRLVQEIQPDEIYNLAAQSHVQVSFETPEYTANSDAVGVLRFLEAIRIANSVRFYQASTSELYGKVQETPQKESTPFYPRSPYGVAKLYAYWIAVNYREAYGMHASNGILFNHESP------MRGETFVTRKITRAVARIGAGLQSTLYLGNLDAKRDWGHAKDYVEGMWKIVQSDQPGDYVLATGETHAVREFVELAFKTIDWTGAGVDEVGLDTKIRIDPRRPTEVDVLLGDPTKARNELGWHHKTTFSELVKEMVA-------------- 137996628 ------------------------------------------------------------------FKPDIVMHLAAETHVDRSIDNPTNFINTNIMGTLTMLECAREFYNSQFHHISTDEVYGLNQKNLFTEQSNYDPSSPYSASKASSDHLVRAWNRTYGLPVVITNCSNNYGP------------YQFTEKLIPLTILNALEKKAL----PIYGNGKNIRDWLYVEDHVRALYKVV---CEGKVGETYNIGGNTEKTNLEVVNNICEILDHKDLITFVKDRPGHDFRYAIDSTKIKKMLKWTCQESFKTGLKKTVQWYLNN--------- 142146802 ----HYLVTGHTGFKGTWLTMLLTQAGHEVLALDALFERTDGASLVQADHRIDVRDATAVQATITQLQPDVVVHLAAQPLVRESYIDPRGTFDTNVWGTVNVLEAIKTDSVQATLIVTTDKVYNDGRSVGYVESDPLGGHDPYSASKAMADLATQSWRASY-------PGSPVAIARAGNVIGGGDVSPDRLMPDLLAGFAS--------GRSVGIRSPHAIRPWQHVLDCLQGYLLLIDQMLQTGIEDEWNFGPSPDAFLDVANAAVERWGEGASWHIDDADQPREASTLTLDATKARESLGWRDRLSTQDAIQWTVDWEKAVRKG------ 137612462 --SMKILVTGAGGYVGSVVCHKLRDQNYEVVAVD------RNVCKHGWFDEAHEGNYNDIQHLL--LDVDCVVHCAATSLVGPSVKNPGLYYKNNVHGTQQLLDACIAQGVKRFVFISSAACYGNPEGGTCKIDDNNTPINPYGWSKRMTEIMLADYHKAYGLNSVSLRLFNVAGAH----NKLGQEKQATHIIARIMESAMAGETFTLFGDDFNTPDGTCVRDYVHVYDVAGAVHNAMKRTSRSSTCDIF---------------------------------------------------------------------------------- 142548570 LNKKEVLVTGGCGFIGSEVTKQLSEIGANVTIIDNLSSGKEEYIKKFPNVKLDLADIESIRQVIK--DKEYVIDLAALPFIPDSYYIPKKFFEVNVNATIDALEISKNKKIKRFVHISSSEIYGSAKYVPMDENHPTTPQSTYAVSKLAAERVVFTMHKEHNIPAVVIRPFNSFGPNITQPY------------------------------------------------------------------------------------------------------------------------------------------------------- 143146600 ---KKIIVTGGSGFIGSNLVNFLIKKKFFVINLDKLTYSSNKTKKNYKLIKIDIINKNKLIRIIKKYKPEVIFNLAAETHVDRSIDGPSNFIKTNINGTFNLLEALRFLQKPKLVHISTDEVYGDKKNIRSKENDKYEPSSPYSASKASADHLIKSYIRTYKLNAVISNCCNNYGP--------YQFPEKLIPKIISNIFNNKELP--------VYSRGENSREWIHVEDHCE---------------------------------------------------------------------------------------------------- 140729332 ----KCIITGGAGFIGSNLADKLVQLGHKVTIIDNLSTGVKKNLNKIKFINYDIS-KESLKFKKIFNGADWVFHLAGLADIVPSIRNPKKYFKANVEGTLNVINASKDSKVKKLVYAGSASYYGIPKKFPIKEDAPIETNYPYALTKYLGEKLVLDWAKIYKAKNVSLRFFNVYGPRSRTTVHM----------------------------------------------------------------------------------------------------------------------------------------------------- 139951191 ---------------------------------------------------------------------------------PRSLKDPIKSNNVNVSGFLNMLVASRDNGVKRFTFASSSSVYGDSESIPKVENIIGRPLSPYAITKYVNELYAEIFSKNYGLETIGLRYFNVYGRKQD------PNGAYAAVIPKFVSKLMKGESPIINGD------GSYSRDFTYINDVIQANILSLVTTDKKAINSIYNIAYGERNTLNDLVGYIKDYLSKKINVIHGPYRAGDIPHSHASVKKAKENLNYKPQFNLKKGLKEAVKWYWKN--------- 142076949 ISKKQILITGGAGFIGSNLALEL-SKSCEVYCLDNFYKPESRNNIKASIIDCDLRDNNKVFDIIQQHNFDSIFHFGAQVAMTKSIEDPILDFETNVLGTLNILNSMIENKKSKLINISSNKVYGDLSWDNLEEQDFRDGYNPYGCSKGSAEQYVLDYNKTFGLDTISLRLSTVFGMNQFFTFDQGWIGWFVNEYVKFSNNE--------INEINILGDGKQVRDILFIEDLVNLFKKMVNKDFSSLTQNYFNVGGGNSISIIELLHFLGDYFQSSRKLKIRDWRVGDQKFYVSKLDNINKNFDWLPKVEMKAGIIKYLNW------------- 141217671 -----------------------------------------------------------------------------------------HFIESNILGTFNLLESCRKFFKFIFHHISTDEVFGLGEKGRFSESTPYDPRSPYSASKASSDHLVRSWYHTYGLPVIITNCSNNFGP--------WQFPEKLIPLVTLKAIQKEPIPL--------YGDGSNIRDWLFVEDHVDAL---LLAALKGKVGETYCIGGYGEKSNKEIVLKICEILDNEIPIKYVLDRPGHDKRYAIDPSKIENELGWEPKYGLEKGLRKTVLWYLKNYDWCQN--- 140921189 ---KTI-VTGGAGFIGSALVKKLINLEYEVLALDSLTYASQIDSLEAVQDETDIRDENALEDIFNEFKPDFIFNLAAETHVDRSIDSPELFLETNIFGTYRLLKVSLKYDKFRFLHISTDEVFGDANDPPFRETNNYDPSSPYSASKASSDHLVRAWYRTYKLPVLLSNCSNNYGP----------FQFYEKLIPLMIIKALRGEKLPIYGTGL------QVRDWLYVEDHAD---------------------------------------------------------------------------------------------------- 134831260 ---KKILVTGGLGFIGSNLIDLLLEKNYFVINIDKISYSSNFYNKNYKFIKCNIGDK-KIKNILYKFKPVCVFNLAAETHVDRSIDSPADFIKSNIVDVYNLLENFKNFFNSKLIHISTDEVYGDVLKGRSSETYPYKPSSPYAASKAASDHLVNSYIRTYRIPAIVTNCSNNYGP--------KQHPEKLIPKLIYNILNNKPLP--------IYGKGTNSREWIYVKDHCEAL---LKVFQRGKIGEFYNIGSNKNLNNLEVCSDLLNVSKK----------------------------------------------------------- 136540747 MLSKKVFITGITGQDGSYLTEFLLEKGYEVHGLSSFNTGRIDHIYQDPHHYGDLTDGVNMTNLIHQIQPTEVYNLGAQSHVQVSFTMPQYTAQVDAVGAVALLESIRASGIKCRYYQASTSELYGSTPPPQNEDSVFRPRSPYAAAKLMAYWSTVNYREAYGVHATNGILFNHESPRRGETF-------VTRKITRAVAKIATGSKEKLY-----LGNLDAVRDWGYAKEYVESMWLMLQ----QDKPGDYVVATGVGATVKDFAQAAFEHVGYKDHVEVDKRRPTEVDALIGDPSKAEKVLGWKAKTHWKELAKLMVD-------------- 300710894 MKG--ALITGVTGQDGSYLAEMLLEKGYDVHGIVRWNTAHTERRVEEIEKRGDLTDGSSIRRVIREVEPDEIYNLGAQSHVAVSYDQPDYTAEVNALGTLRVLEAIRETGVDRFYQASTSELFGNATETPQDESTRFDPESPYASAKLYAHHITKNYRDAYDIFACNGILFNHESPR------RVKAAVTRKISRTVARMDAGMEDRLYLGNLDAKRDWGYAPEYVEAMWEILNNDTPEDLVIATGETHTVREFAGYEIEWQEERGIDADSGETLVEVTEEFFRPTDVHLLKGDASRAKQEIGWEPRTTFEGLVEIMVE-------------- 168034916 ----RIVVTGGAGFVGSHLVDRLIERGDSVIVVDNFFTGRKENVQHHELIRHDVVEPLEVDQIYHLACPASPVHYKFNPIKTIISFQRMLGFTVNDIRRAGSSDHIAMPWVVSYSKVPKVTAVVLPQRLVFCDNLCEPSLTYHHKTNVVGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGNVNPIGVRSCYDEGKRTAETLAMDYHVYGDGKQTRSFQFVSDLVEGLMRLME----GEHVGPFNLGNPGEFTILELAQVVKDVIDPTATIEYKENTSDDPHKRKPDISKAKELLGWEPKISLEKGLPLMVEDFRK---------- 302038187 -RGQRILVTGGSGFLGSHLCRRLLDLGAEVHAT---SRVERRSEPGGPWWQVDLTAIDRVRKLLTDLNPMVVYHLAGSAGAKPDLALVLPTLEGLVVSAVNILVAGTEAGSGRIVMTGSLTEPAWGEHAPI-------PSSPYAAAKWTSSAYARMFHLLYQTPTVVLTPFMTFGPGQD-----------------RSKIIPSVILSLLRGESPRLSSCLWEVDWIFIDDLVEAFLAAAAVPDIEGN--CFDVGTGTNRTVRSVVERIFLLMGERTQPLFGPDRPSEPVRS-ADTMQTNQLLKWRAQITLDEGLRRTIAWYTTH--------- 142968870 MRDK-ILVTGTAGFIGYHLSKSLLDDGFNVLGIDNLNDYYDTKLKKSRFQKIDISNRVEIENYFKNNKIKKVINLAAQPGVRYSIENPHAYMNSNLVGFLNLIECCRNNNIEGFIYASSSSVYGGNKKIPFSIDDKTNPVSFYAATKSANELIAKSYSHLYKLHTTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 141826610 ----KILVTGGSGFIGGELVNSLVKKGHQVISFDIL---HSNKSSDFQQFNGSILNPYDLDKAVKNC--DMIVHLAAMVGVELTEKKRLECLEINIQGTKFILDAAVKQKVKKVFFSSSSEVYGDQEIIPIKEDAQLKPKSNYGITKMVGEEYLKAYSNFYGFEYNIFRFFNLYGGNQRPEFVI----------PKFKKAIDNNEKIKIYGD------GKQIRSYCNILDAIDGINRIIEN--GKKNTTYNIGNNNDPISVIDLANKMVNLSKKNIKIEKIAFEKSDIYKRQPDISKI----------------------------------- 225165869 ----KLYISGHHGMVGGALVRRF-QRDANV------------ELLLRTRRELDLTRQDAVEKFLATEKPDAVIVAAAVGGIHANNTYPAEFLFQNLAIAANAIHGAYQAGVKRLLFLGSSCIYPKHAPQPMPEDSALEPTNEAYAAKIAGLKLCQYYRKQYGVTFHSAMPTNLYGPG-----DNYHPQNSHVLPALIRRFHEAKTTAAPEVTAWGTG--SPMREFLHVDDLADACAYLLAL---QNPPDWINVGTGTDVTIRELTETVATVVGYTGKITWDPTKPDGTPRKLMDVSRL-AALGWRAATDLRTGIEKTYA-------------- 134647097 MKMKKIIVTGGLGFIGSNLIDLLIKKKYYVINIDKVSYSSNFYNKKYKFIKCDINNRLKVRKIFKYYNPVGIFNLAAETHVDRSIDNPGNFIKSNILGVFNLLEFFKKNKKTKLIHISTDEVYGDILKGRSNENYPYKPSSPYAASKASSDHLVMSYIKTFNIPAIVTNCSNNFGP--------KQHPEKLIPKLIYNIIKNKKLP--------IYGKGKNSREWIYVSD----HCEALLKVFTKGKGEFYNIGSNINLNNIQISKMLLRV-------------------------------------------------------------- 135929856 ----KFLVIGSNSFSGSHFVKELLLNEYDVIGLDNKKDIYPKRKNSFKFYQIDLNDLKKLLEIINYSKPEYIVNFASQGMVAESWLNPSHWFKTNLLSQVEFHNQIRRMSFIKKYIHISTPEVYGNNDDWIKENNNFNPTTPYSVSRAACDMHLLSFYKAYDFPVIFTRAANVYGPGQ---------QLYRIIPKTILSLLSGKKLNLDGGGYSQ-------RSFIYITDA---MKATLELALKAETGSSWHISTKEAISIRDLVKKICKISNFDETVKEVGERLGKDKNYLLDSSKIRNFLNWKDQVKLDNGIKNTIKWIEDNYEELSK--- 288800118 MNRKVALITGITGQDGSHLAEFLIDKGYEVHGLSSFNTARIEHLYLDEWVRADMTDSSSLIRIIGEIRPTEIYNLAAQSHVKVSFDVPEYTADTDAIGVLRLLEAVRICQTCRIYQASTSELYGKVQEIPQRETTPFYPRSPYAVAKLYGYWIIKNYRESYGMYCCNGILFNHEGERRG------------ETFVTRKITLAASRIAKGYQDKLYLGNLDARRDWGYAKDYVECMWLMLQ----QPEADDFVIATGEMHTVREFCDLAFKEAGIELRWEVEERRPCEVEQLLGDPSKAKEKLGWNPKTSFKELVQLMVQHDLEY--------- 138463828 ------------------------------------------------MHRMSIEDREAMAALFAEEKPDRVIHLAAQAGVRYSIENPHAYIDANLQGFMNILEGCRHNKVEHLVYASSSSVYGGNQQMPFSEHHVDHPVSLYAATKKANELMAHTYSHLYELPTTGLRFFTVYGP----------WGRPDMALFKFTRAMLDGAPIDVFNSGRMIRDFTYIDDIVEAMPNPDFDPLSPDPQSSRAPFRVFNIGNGNPTPLMDYIAALEEALGITAEKNMMPMQPGDVPATSADTTELR---------------------------------- 137778729 MHDMKVLVTGTAGLLGANYSRHLLENGHTVIGIDNFSGGYKSFLPKNDFYKVNLENRKKVKNIFEVETPDVVYHFAAYAAEGLSPFIRNYNYRNNVICSANIINECINTN-SKLIFTSSMAVYGE-QHPPFTEDLRPMPVDPYGVAKYSVEVDIKLAGEQFGLPYNIIRPHNVLG-------------KYQNIWDRYRNVIGIFIRKAITGQPLVYGDGEQTRAFSD----IKYYMEPFDKLLTDYNGELFNIGADKYFTINEAAETVKKVYGYNATIEHGEPR-HEVKHAYCDHTKA----------------------------------- 135922608 ---KRALITGGAGFIAHHLISQILKHDWEVVTLDRLDFSGNLNRLQDVLQEFSPEDRARVRVVFHDGRVDYILHLAAGSHVDRSIEYPMEFVMDNVVATCNILDYARGDHIERFVYFSTDEVFGPAPNGIYGEYDRYNSTNPYSAAKAGGEELAVAFENTYGLPVYITHTMNVFGQR--------QHPEKFIPMCIKRVRDGEVITI----HSDESKTIPGSRHYIHAEDVSDALLFLLAQNYGGAKCPKFNIVGAEELNNLQLAQIIADVQGKEEMVDFHSTRPGHDLRYALSGEKMRK-MGWEPE-NIRDRIREVVEWTIANERWIK---- 143338757 ---KRIFVTGMTGQDGPYLARFLLERDYRVYGLKRYSNPNLDNLRWLGIEDGDITDDGCMNHLMRSLRPTEVYNLAAQSFVGVSWDLNKLTTEVNCMGPLNILNAVRQHSATRFYQASTSEMFGNSTGGRQNESTPFHPRSPYGVSKLYSHWMTRNFRESYGLYAC------------SGILFNHESPLRGREFVTRKITDAVARIKLGRADGISLGNLDAQRDWGFAGDFVEAMWMMLQ----QPQADDFVIATGTQHSIRELLDVAFHHVGLPHWSQYVTKRPAELHSLCGDYSRANTVLGWAPRVTFEQMICDMVD-------------- 134670762 ------LITGGAGFIGSHVVRLFVQKDYHIINLDALTYAGNEQRPNYTFVKGSITDPLLLDRLFTQQDIKGVIHLAAESHVDRSIKDPMAFIDTNIKGTAALLNACKAHWKRRFYQISTDEVFGLGPTGYFTEESPYAPNSPYSASKASADHLVRAYGETYGLPYVISNCSNNYGPN------------------------------------------------------------------------------------------------------------------------------------------------------------ 142940733 ------LITGVAGFIGSNLLEKLLILNQKVVGLDNFDTGYEYNIEEAIRVKGDIKNLSDCREACK--DIDYVLHQAALGSVPRSIENPINTNESNINGFLNMLVASKDEGVKRFVYAASSSSYGDNTDLPKIENMTGNPLSPYAVTKLVNEFYAGVFAKNYDFKCIGLRYFNIFGKRQD--------PNGAYAAVIPKWVAAILNNDEVF----INGDGETSRDFCYVDNTVQMNILAATTENDDALDQVYNVALNDRTSLNDLYNMIGDKLLKKKKPTYRDFRVGDVRH------------------------------------------- 136304961 -EKMKVLVTGGLGQIGSHITEMLIARGDSVLVIDNLATGRREHLISNKNLKITISNKKKLSELFQDFKPEVVIHTAASYKDPNDWYNDTLT---NCVGGSNIVALSLENNVKRFIYFQTSLCYGLPIEQPITLNHPKFPASSYSITKTANE----DFIEISGLDYVTFRLANVIGPRNVAGPLPIFYQRLKDNKKCFIT--------------------KSRRDFVFVKDLAKIVLKACDGVGNGA----YHFSSGTDVSIKKLYDSVVKAMNIKNYPEVKELSPDDVFSILLDPERTFKDFGFTP---IETTVDEAIKYFEQH--------- 134325510 ---KKILITGAGGFIGSHLTQILVKKGYKVCALFRYNSSNSKGWLDIDKDECDLGDKDFLNEALK--KKDIVFHLGALIGIPYSYKAVESYIKSNIIGTHNVLEGCIKNNISHLIHTSTSEVYGTPIKLPINENNILQPQSPYAATKLAADQLAMSYHKSFDLPLTIIRPFNNFGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 135466526 ------LITGITGQDGSYLAELLLSKGYKVHGIVRRVALEDETHRLWRIRKIDITSYARIFNIVLKVKPDEIYHLGAQSYVGYSFEDEFSTFSMNINGTHYMLSAVKECKKVKFYFAASSEMFGKVKETLQNEKTPFHPRSAYGISKVTGYHLVKNYREAYNLHASNGILFNHESPRRG------------FEFVTRKISHGAARIKKGLQKKIKLGNLNSKRDWGHAKDYVGAMWSILQQDSPDDYVIGTGKQHSVEDFAKKAFSHLGLNYKDHIIIDKNLMRPAEVDTLLADYTKAKKILKWEPKITFDELVINMVDEDLKN--------- 141308344 ---------------------------------------------------------------------------------------------------ISFLKSSIESNIKNLIFSSTAAVYEIPKSQKIKESHNKNPKSPYGYSKLVLENIIKNASQKYKFNSIILRYFNVAGADIKLRTGQTKEPATHLIKIACEVATNKKNSISIFGNDYNTKDGTCIRDYIHIADLADIHKLAIEYLSKGGSSQILNCGYGKGYSVLQVINKVKEIANNNFKVLKKPRREGDPDILVADSNLCKKILNWTPKNSIDQIIKDSLEWEKNNY-------- 137105621 --------------------------GHEVICVDNYFTGSKSNVKSL----IDDSKFELIRHDITYLEVDQIYNLACPASPTHYQYDPVQTIKTSVHGAINMLGLAKRIGAKIFQ-ASTSEVYGDPEIHPQHEVNPIGPRSCYDEGKRCAETLFFDYHRQHNVNIKIARIFNTYGPMM--------HPDDGRVVSNFIVQSLLDKDITIYGDG------TQTRSFCYIDDLISAIQLFMD--SDNDFTGPINIGNPDEFSILELAQKVIDLIGSKSKLVFKELPEDDPKQRQPDITLAKEKLHWEPKIKLNDGLRHTIEYFKK---------- 83744452 ---KRALITGITGQDGSYLADLLLDKGYEVHGIVRFNTRRLDHIYRDPHHYGDVSDASRLVTLLARVRPDEVYHLAAQSHVRVSFDEPENTGDITGMGTTRLLEAIRMSAMDIRYYQASSSEMFGAAPPPQNERTTFHPRSPYGAAKVYSYWMTRNYREAYGMFTVNGILFNHESPRRG------------ETFVTRKIARAAARIKAGFEKHVFLGNLDAVRDWGYAPEYVEGMWRMMQLDQPDDYVLATGIGSTVREFAEHCFSHVGLDWREHVRYDDVYLRPAEVDTLVGDAGKAREAFGWTARTSV----------------------- 294084550 -EGKKILLTGHTGFKGAWLSFWLKQLGADLLGFSQLESRFYTDVTRGKIENGDIRSRESLLKVINEFQPDIVFHLAAQPLVLASYEDPLTTFDTNIMGTLNVLNAVCESTKKIALINVTSDKCYENDETSFTESDKLGGKDPYSNSKACADLVSSCYMHLVEA-ANKSDHIGIANVRAGNVLGGGDISCDRIVPDI----LSAADSQKV----IEIRNPNAVRPWQHVLDPLRGYIILAQNLYNQPRSQSWNFGPSNNAHVGTLVEHLKARLDFSCHMSKGTSRALESNFLSIDSTKSKNELGWSTSIPFEEIVRLTVEWHLA---------- 143330348 -----------------------------------------------------------------------------------SMVAPDLYDSVNVGGLRSLTQAMQEVGVHKIIFSGSANVYGQPLALPITEDAPVNPQSPYGKTKLESELILRDAEKNPEWSTVVLRYFNPVGAHHSGLIGENPKGPNNLMPILCQVASGERSALDIYGHDYATPDGTAIRDYVHIEDLSEAHLGALNLIINTQGFNLFNIGSSKGVSVWEMVQCFKKINAIEIPYRFVARRSGDIEALYTSNKHAKNKLQWNPCQDIEAMCSSAWKFYLR---------- 136791286 ------------------------------------------------FINGDITNSETCHTACK--DIDIILHQAALGSVPRSIDNPIKTNEININGFLNILWAAKKNNIKRVVYAASSSTYGDSKKLPKVENEIGLPLSPYAVTKYVNELYAGVFSSLYGLELIGLRYFNVFGRKQD------PDGAYAAAIPKFIKALVNHQSPTIHGD------GSQSRDFTYIDNVIQANELAATSINKKAINQVYNVACGDQSFLKDLVANLIELLAKNIDINFGPERIGDIKHSLASIEKAQNLLNYKPSHNVEKGLEAAISWYWDYFN------- 143229586 MNNKNILLFGSNGLVGSS-VKKLFETDENV------------NLIAATRNDADLFDFESTKNIIKNNNPEIIINSAAVGGIYANNKYRTDFLLNNLKININILEACIGFPDIKIINLGSSCIYPLNAPNPIKETSFLETNSPYAIAKITAIELGKSLNLQFGNKVINLMPTNLYGPRDNFSDLNSHVIPGLLQRIHNA-------KSKNEDSVDIWGSGSPLREFMYVDDLADAIKYVI---SNNIEHELLNVGSGEEISIKSLAELISEIVGFNGNLIFDSSMPDGNPRKLLDSTLINN-LGWSAKTSLEKGLKLTYDWYVKN--------- 289665404 ---KKLLVTGAGGFVGKHLLDA--------VGRGQFGNFEALPLPAGT----DLRDRAAIESALGDVCPDAVVHLAAQSFVPQSFDDPEETLQINLIGTLHLLQAARKGFSGRFLYVSSGDIYGPESDLPVDETLLPEPRSPYAVSKCAAEQLCMQWHRSEKLDVVIARPFNHVGAGQG-----DRFVLSSLARQVVAIAEGRQAAVIEAGDIDTT------RDFSDVRDIVSAYAALLTRGRS---GETYIVASGVERRVRDLLIEMCRLTGVEAEVRQDSMRPAEQRRMVASPAKLQRDTGWMQAFDIQSTLSEI----LEHARKI----- 227871927 -QGKKVFLTGHTGFKGAWLSLILQMMGAECTGYALKEEPGALFSLLNHSIEGDVRDYDHLRKAMQEAEPELVFHLAAQPLVRESYLFPRETYETNVMGTVNLLEAVRHCPVRSVLNVTTDKVYENPEGHAFMEEEKLDGHDPYSNSKSCSELVTHSYQKSF------FSEDSAYGARAGNVIGGGDFAKDRIIPDCVRKSIK--------GEPIGIRNPYSVRPYEHVLEPLFVYLEIVARQAEEGKQFAGYYNVGPELSDAITTGALAELFNASWENQAEPNAPHEAGFLQLSTEKLKKVFGWQPRYTVKEAVEKTVDWYKAWHKG------ 255074877 -EKKKICVTGAGGFIASHLAKRLKEEGHYVVGCDWKRNEHPEEMFCDEFILADLRLFENCQKVLKGC--DHCFNLAAMGGMGFIQSNHSVIFYNNVMISFNVMEACRVEGVTRVFYASSACIYPEGAQLTLKESDAWQPQDAYGLEKLASEEVYKHYQSDFGIQTRIARFHNIYGPFGTWKGGREKAPAAFC-----------RKAATATTEVEMWGDGKQTRSFTYIDDCVEGII----RLTKSDFAEPVNLGSDEMVSMNEMQALALGFAGKQDMPIKHIPGPEGVRGRNSNNDLIKEKLGYAPSVKLADGLKVTYEW------------- 308270861 ----RYLITGSTGFIGPYLVRRLISSGNTLRCIRKGSNIDALKEFDVEYVTGDITDPASLYYIAK--DVDCLIHMATLGHMSNFTVSEFMFDEVNVRGTLNIMKAALSAGVNKIIHCSSVAAMGICPDIPATEKSICYPHHPYGRSKLRAEKEVLNMVKQEGLPAVIIRFSMVYGPG-------------------DTRDMLKLTRMAKKGLFPKIGNKAKLTPLIHVEDAVEGILAAVEK-GKPGEIYLITNRQSEEFDNIRKI--IQEALGIRKTSLYIPEWIANIESTLADIEKAQKELGFNPKINPYDGIKETVDWYKE---------- 297567322 ----KVLVTGHNGYIGTVLVPFLQNAGHEVVGLDNLYAGCHLYAEPAPVRELHL----DVREVTPDHGFDAVIHLAGISNDPIGDLKPEATYDINHLATLRLAHAAKRAGATRFLFASSCSTYGAAGDAPLDETAPFNPVTAYGFSKVYSERDL-ALLADADFSPTYLRNATAFGPRLRADI-------------VVNNLVGYAYTT---GEVLIKSDGTPWRPLVHVEDISRAFLALLEAPRDLVHNEAFNIGMNSENYRVREIADMVAAVVPGSKVIYAPGASPDIRNYRVNFDKIHHMIAFQPRWTVGMGIEELYEAYR----------- 163737071 FSGKTILLAGGAGFLGKHFLKVFQKLNHEVISVDNYITGTKNNDPQIMQVWADVTHPLPVRE-----DIDFIIHGAGIASPVYYMRYPLETIESAVHGTRNLLNATSNKELEGFLFFSSSEIYGDPDPVPIKEDYYVGPRACYDESKRLGETLCTIYNEHHGVPTKIVRPFNVFGPGMG-------HNDRRVVPMFTYQALNGR-TIPVHGTGLQTRTFCYITDAIYG--------FLMTLLQGQPGEAYNIGNPDNEISMNQLAAMYPRLVPGATFTTIDTYPAGEPNRRCPDITKARDTFGYTSEVDVEDGLHRFIDWAR-HERSY----- 170587907 ---RKILITGISGQDGSYLAELLLSKGYEVHGISSFNTARIEHLYSNPIHYGDMTDSSCLIKIVSQIQPTEVYHLAAQSHVKVSFDLPEYTAEVGTLRLLDSIHACGLTNKVKFYQASTSELYGKVQEVPQKETTPFYPRSPYAAAKLYSYWIVVNYREAYSMFACNGILFNHESPRRGETFV------TRKITRAVAKIALGQQEVLELGNLSSSRDWGHAKEYVEAMWRILQYDKPDDFVIATGKSYTVRRFAEKIIWEGEGVHEVGKEEDTGIVRVRVSYRPTEVDLLIGDSTKAKQKLNWEAKTTLEELVKEMVA-------------- 137223161 ---------------------------------------------------------------------------------GESMNNPGKYAINNLTGTINLLNAAEEAKIKYFVFSSSAAVYGEPRYLPLDELHPTEPLNFYGYTKLEIENLLVWYSKLKDIRFASLRYFNAAGYDIDGEINGLEKEPNNLIPVVLETIMGKREEVIVFGSNYQTDDGSCIRDYIHVNDLAEAHLSALNYLVSKNKDLVVNLGTSKGLSVLEIIRFAREVSGTDFKYTLGQRRPGDPAVIVAKANLAEKMLKWTPKNSLETSLRA----YRK---------- 137327318 -------VTGGAGFVGSNLVKLLVKKGHDVSVIDNLHTGKLENLEEIRFKNIDIQDYHLMEQELK--NIDGVFHQAALTVVQDSFKMPDKYHNVNVKGTENIFK-IAEKNNFKVVYASSSSVYGHKEIVPILESFDKNPINPYGKTKLDDEFLAEKYSKLG---------VNIIGLRYFNIFGKGQTLEYAGVITKFLNRLRDRKSPIIFGT------GSQIRDFIHVEDIANANFL---AMTSKVSNSHVNIGTGTSISILELANMMIEESGLD---------------------------------------------------------- 138379054 -KNKTILITGGAGAIGSNLSYSLAKAGAKVIILDDLSSSYEWNIPNFSFVKGSITNDTDLKRVFR-ERPEYIFHLAAFFANQNSVDYPEKDLLVSQLGTIKILEAVLSRGIKRFVYAGSCSIYGGQAPLPLKEEFVSMHLSPYQISKMAGELYCNYYWHHYGLPIVKTRFFNSYGPG------EVPGQYRNVIPNFIYWSMKGQP-------LPITGKGEMTRDFTFVLDIVEAL--MLAGCSKKAIGEELNIASAREIEIVKLAKKINKITGNKSGLYNTDRREWDTKSRL----------------------------------------- 301308983 ---KVALITGITGQDGSFLAEFLLEKGYDVHGTIRRSSAHLEGHPAFHLHYADLGDSTSLSQVVKRVKPTEIYNLAAQSHVQVSFDSPEFTADVDATGVLRILEAVRQNDTCRIYQASTSELYGKVEEVPQNEKTPFHPYSPYAVAKLYGYWIVKEYREAYHMFCCSGILFNHESERRGETFV------TRKITLAAARIAQGKQDRLLLGNLSSLRDWGYAKDYVECMWLILQNEKPEDFVIATGEQHSVREFCGFELYWQENEKGIDRKTGKVVEVSPDFYRPTDVVNLWGDPSKARRELGWNPKTSFEQLVKIMVD-------------- 139187477 ----TILVTGAAGFIGFHLCKRLIKNEENVIGVDNLNDYYDPTLKESRIYKINIENNKDLEEIFIKHKPRVVINLAAQAGVRYSIENPQIYLSTNIIGFGNILENCKIHKVNHLLFASSSSVYGGNIMYPYSEDSVDHPLSIYAATKRSNELMAHTYSHLFQLKCTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 139999502 --------------------------------------------------------------------------LAALIGIPYSFTAARSYIDTNITGTFNLLEVAKKKKIRKFIQTSTSEVYGSAKFVPMTEEHPVNPQSPYAASKSSCDSLVLSYYYSFNIPVTILRPFNIFGPRQSPRAVIPTIISQALKKNVKTIKLGELKS---------------KRDFTYVLDTAIAYEKALFNKKCIGE--IINIGSNFEISIKDIASNVFKILNKKVKILIDNKRLSEVYRLYASNKKAKKILNWKPKYSFRKGLQHTISWYIKNSKYFKN--- 143738899 ---KKILVTGGAGFIGSHLCEKLHSLNYDVYSLDNYFTGKKSNHISGVTY---IEGSTKEISKLINFKPSIVYHLGEYSRVEQSFEDIEELWNYNKDGIFS---------VLQFCIKNNSKIIYAGSSTKFGDKGLGRSQSPYAWCKASNSELVKNYGEWFNLKFAIVYFYNVYGPR------------EISKGKYATLIGLFKEKVRKNQKLTVVSPGTQKRNFTHVEDIIEGLIMVGE----KGAGDNYGIGSPEAYTVLEIANIF------NHPIVMIPERAGNRMDAEIDTSK-TEALGWKPKWSVKEYL------------------- 136030965 ------------------------------------------------MFKVDINQRNKVLRILMKYKPVGIFNLAAETHVDRSIDDASNFIKSNLFGVFNLLEAIKSYQNIRLLHISTDEVYGDILGGRRSENFPYKPSSPYSATKAGGDHLINAYCRTYGLDITISNCCNNYGPG--------QYPEKLIPKLIFCIKNNK--------PLEIYGKGKNSREWIYVDDHCEALLKVFNR-GKKGESYNIGTNFNLSKKILKIIKMKNILINKKSLIKFVKDRPGHDFRYALDSKKIRKKLKWVPKTNFNKGILLTINWYLKN--------- 156541932 MADQKILVTGGSGLVGKAI--------QSVVQTENPSN---EKWIFVSSKDADLCDKKSTEKLFEKHKPTHVIHLAAMVGGFHNMAHNLDFLRNNLHMNDNVLQTAHEYGVVKVVSCLSTCIFPDKTTYPINETMVHNPPHPSNYAKRLIDVQNRAYHDQHGRLYTSVIPCNVFGPHDNFNPSASHVIPGLMRKLYDLIKDGN-TEDKVF---TVLGTGKPLRQFIYSIDLAKLFIWVL-REYNSVNPIILSVDESQEVTIAQAAEALTKAFDFKGKIVFDTSAADGQYKKTASNTKLRKFLDFR-FTPFEDAIKETVEWYKKNYDKARN--- 141130972 -KNKKIVVTGGAGFIGSHMVDFLLKKNFIVNVIDDLSGGRFQNIKYFNFEKIDISKLESNHKFFW--KCDYIFHFAGKGDIVPSIENPINYMNTNVMGTIKVLEAARKAKVKKFVYAASSSCYGLAK-TPTSEIHKIDPLYPYAMSKHQGENLCFHWSKLYKMPVNSIRIFNAYGPRVR---------------------------------------------------------------------------------------------------------------------------------------------------------- 135976059 ---KKIIVTGSSGFIGFHLSKFLLEEGHEVFGIDAMTEYYDVKLKLNRQEEFNIENKNLVSKTINKVKPNIIIHLAAQAGVRYSLENPQAYIDTNILGTFNILDCLRNFNLDHLLMASTSSVYGANIDMPFSESHHTDPLTIYAATKKANEVMAHSYSFLYRIPTTMFRFFTVYGP----------WGRPDMALFKFTKGILGGENIDIYNNGDMYRDFTY---------------------------------------------------------------------------------------------------------------- 141712361 -KNMNILVTGGCGFIGSHTVRHLVKKKYNIFNLDKLTYGNLENLHNYHFIKGDICDYKLVEQLFKINKFDRVINLAAESHVDRSIISSKDFINTNIIGTVNLLDLFKISCDENFEHISTDEVFGLDKVGYFSEESSYNPTSPYSASKAGSDHFVRAYGNTFGIPYIISNCSNNYGP--------FQFPEKLIPLIINNIINNDLLP--------IYGDGNQVRDWLF---------------------------------------------------------------------------------------------------------- 136678895 MKGKRALVLGAGGFIGGHLVKRLKSEGFWVRGVD-LKRNEYSHGSADDFIQGDLRNQEFINRVFDCY-FEEVYQLAADMGGAGYIFNDADIMYNSALINLNILNACIVRSIFNVFYSSSACMYPDPDNPNCAEDSAYNPDSEYGWEKLFSERLYLAFNKNHSMNCKVARYHNIFGPEGTWDGGKEKAPAAICRKVAIASE---------GSQIEIWGDGQQTRSFLYIDECIEGTLRLM----RSDNSGPYNIGSDEMISINDLAEMVIAISNKKLSINNIDGPQG-VRGRNSDNKLVERDLNWKPTMKLRDGIAQTYGW------------- 142720782 ---KKILVTGGLGFIGSNLIKILLKKKYYVVNLDKISYASNQNSKKYKFIKCDINDQKKIFSTLKKYKPSCIFNLAAETHVDRSIDGPRAFIKNNINGTFSILEAVRKYSKIKLIHISTDEVYGDVLKGRSKETDSYKPSSPYAASKASSDHLVLSYVRTYGLNAIVTNCSNNYGPR------------------------------------------------------------------------------------------------------------------------------------------------------------ 140169316 -SSKSV-ITGGAGFIGSNLTDHLVKHGHKVIVLDNFISGKKSNLSHHNKKDVDISKSKNLNRHFKGA--DYVFHLAALAQIIPSIKHPKKYFENNVLGTLKVVEAAKKAKVKKLIYAASSSCYGAPKKFPTSEKDKIDLKNPYAATKFIGEEIVMRYSSIFKMPNISFRFFNVYGPRLD---------------------------------------------------------------------------------------------------------------------------------------------------------- 140676058 ---------GAAGFIGAHTAKALLARGDDVIGIDNFNPYYDENNSRFEFHPVDLTKKADLIDLYKRAKPDRIIHLAAQPGVRYSLENPQAYIDANITGFLNVLECARDHGTEHLVYASSSSVYGAHANMPYSVHHNDHAVSLYAATKKSNELMAHTYAHLFRIPCTGLRFFTVYGP----------WGRPDMAPFIFTRKIIAGEEIQLFNHGQHSRDFTYVDDIVEGVIRVEWDPASPDPATSNAPYRVYNIGSNNPTPLLDFIEVLE---------------------------------------------------------------- 143344163 MSSKVALVTGITGQDGSYLAELLLSKGYEVHGLIRFNTTRIDHIYEDPHHYGDLTDGVGLTNLVRDIQPSEIYNLAAQSHVMVSFTMPQYTAQVDAVGTVAILEAIRASKRDIRFYQASTSELYGSTPPPQNEESKFRPRSPYAAAKLMAYWATVNYREAFGIHATNGILFNHESPRRGETFV------TRKITRAVAAIKAGKQKKFYLGNLDAIRDWGFAKEYVESMW----------LMLQKSESSDYVVATGVGASVRDFSRAAFAHAGLEWEKYVEIDRPTEVDALIGDPSKAKRELNWSAKTHWEDLAKLMVD-------------- 142501325 ----RVLVTGGAGFIGSHLVERLLDQGLSILVVDDLSTGRKEQVPVHPKVELVCSNVEQWLGSGTNEEFGEAYHLAAAVGVRKVVEDPFGTIINNVMETAGLLRYLAKSSTPTL-LASTSEVYGVGHGRPFQETDDYGPTTVARWSKAVDEHLAFALEQE--LPSVVVRFFNTVGPRQRG--------DWGMVLPRFVQAALANAPIEVHGD------GNQKRCFADVRDIVDSLPRLLRSPDARG--LPVNLGHDESITILQLAELVRDVLNSESSIQVLPRGFQDLQERIPDLTRARNLVGLPPSRPLTETIQDLAD-------------- 119492188 -ERKRALITGITGQDGSYLSELLLAKDYEVHGIIRFNTDRIDHIYKDPHNEGDLTDGTTLRRILEEVKPVEIYNLGAQSHVRVSFDSPEYTVDAVGMGTLRILEAIRDYQHRRFYQAGSSEMFGKVQEVPQKETTPFYPRSPYACAKVYAHWQTVNYRESYDLFACNGILFNHESPRRG------------ETFVTRKITRALARIAKGMQKEVYLGNLDSKRDWGYAKDYVRAMWLMLQ----QDAPDDYVVATNETHSIREFLDVAFNYVNLDWHVKFDERRPAEVELLIGDPSKAKQKMGWEPSVTFDQLVKLMVD-------------- 141536719 ----KALVTGADGFIGSHLVEKLLQNDIEVRALSQYNSFNSWCLDEIEVVSGDIRDLSFCNTL--TNGVDVVFHLAALIGIPYSYVAPDSYIDTNIFGSVNMCNAAINNNISKFIHTSTSEVYGTAKYIPIDEDHPLQPQSPYSASKISADAMVMSFHNSFDAPITIARPFNTYGPRQS---------ARAVIPNIISQIQSGEKLIKL-------GSTSPTRDFNYVEDTCD---------------------------------------------------------------------------------------------------- 141077569 ---KNVLITGGVGFIGTNLAKELAKQKYSVTSLDNYSFGSKENVTGVKYFNYDIEDIDKI-----TESVDVCFHLCAMARVQPSFENPMEYFRVNVQGTTKVMEWAKTNNV-KVVYAGSSSKHFDPSD------------SPYAMYKYLGEEVCKLYKKSYNVNVEIARFYNVYGPG------EVMHEKFGNVIGIWKSKINKGEPLPIVGD------GNQRRDFTHVFDIVDGLIKIATSNNQHDDA--WELGTGNNYSINELFEMFNKKYNVKSVNI--PDQPGNYKKTLRENDDLLELLDWQPQDRLRDYIKS----------------- 137255574 ----KILLTGGAGYIGSHAAIALLEDGHKVTVIDNLSTGNKKLIPSANFVNCNINDVTTISEILQKEKFDALMHFAGYIEVEESVSNPKKYFDNNTKNSKILFDTCIKNNLKNIIFSSTAAAYGNPVNEPIKENTDLKPINPYGESKIQSELHLK---ENSQCNFIILRYFNVAGADP----------------------------------------------------------------------------------------------------------------------------------------------------------- 138067736 ---KTIFVTGSEGFIGSHLVEKLLRLNFKVKAVGWLSNLKKKDFEKLEIFFGDVRDFDSVSHAMK--KSDYVFHLAALIAIPYSYRSPSSYIDTNITGTLNVMQAAKVNNIKKVIHTSTSEVYGSAQFVPITEHHPLVGQSPYSASKIGADQIAISINKSFGIPLIIIRPFNTFGPRQSL---------RAVIPTIINQFIRKTNKIYL-------GNINTSRDFNYVEDICEGFVSALKSKLHRGET--FNLATGKDIKIKEVIKKLEKITKHKPEI------------------------------------------------------- 85813795 ----RCLVTGGSGFIGRHVARRFHEGGHEVLVLDTRWTPGDPF----PGEATSVTDERRLRELFADWRPDVVAHLAGMADARAVLAEPVAAMDANVTGTAAVLAAAAASGVSRVVIAGSCWVYNAMPVNAVDEDEPFLPSHFYTTTMIAKELLARDFARLHGLESTVLRYSPVYGPGM----------------WPGLVVSAFLRAAAAGGPLTVFGDGEERRAFLHVHDLAEAFYR---ATAPVAAGQVYNLEGPEIITTGELARKVSELFGVPVEHREEPTRRGEYSQRFVSTYKVRRDLGWTPGIGIDEGLRT----------------- 141651342 ----------------------------------------------------------------------------------------------------NILEGCRKNPVKNLIYASSSSVYGGNVRMPFCETNVDHPVSLYAATKKSNELMAHSYSHLYDIPSIGLRFFTVYGP----------WGRPDMALFLFARSMLESKPIQVFNNGKMLRDFTYIDDIVESIYLLIKKPFDYDRGFDKAPFKVFNIGNSNPVPLMEYVHALEEVLGKKAIIEYLPMQPGDVEATFADTSLLESYIGFKPKTNIKDGIEKFIEWYKNYYQ------- 136501206 ----RILVVGGCGFIGSHIVQSLQRLGHEVWTIDNINTYHAERTQGFKKDNINKSITDNLSEEFEMIKPQIVINLAAYPSVKLVEQYKQDALQVMGAGLINLLELCKKYQVEKYIYFSSSMVYGNWTKSKMSEWDATNPINLYGNLKLFGEKL----NTLYDMHVYNIRPCAVYGPGDYSN------------RVLNQFCLNAQQDKPLIIHGKNTRI-----DFTYVADVVSAVEKMIDNTRFGWDNTFNITG-GCARLLTDVAEKIEELSGKKLDIRILEKDKSQPKRGVFTLDKAYNFLHWQPLTTFNHGLKSLYDWTYKFYQ------- 288560715 METQRIMVTGGSGFIGTNLVNELRSRGHEVLSVDLLHHEDEADLYYSDYVRGDIRNYRQMERIFDDNDFDYVYNLAAEYGRWNGEGYYENLWETNVIGLKNMIRLQEKLGFRMISFS-SAEVYGDYEGIMSEDVMENRPINDYAISKWAGELMCMNSATMFGTETVRVRPVNCYGPH------EAYSPYKGFIPIFIYKALHGLPYSVHKG---------HKRIIDYVEDTANTFANIVDNFI-PGEVYNVGSKQEWEMTIEEYSDLVLEAVGIDDSVTYTPAEDFTTKVKTIDFSKAIRDLKHDPKVSPKEGIKRTVEWMKWYYR------- 170746622 MTAKRALITGVTGQDGAYLAQLLLQKGYAVTGIVRRSSHAGVFDHRLKWVDGNLLDQSALLRIVQAAKPDEIYNLAAQSFVTSSWQQPLLTGQVTGLGAVHMLECLRSAAPQRYYQASTSEMFGLIQEAKQSESTPFYPRSPYGVAKLYAHWMTINYRESFGLHASNGILFNHESP-----LRGVEFVTRKVTDAVARIKLGRQKELRL-GNIDAKRDWGHARDYVKAMWLMLQQDRPDDYVIATGRTTTVRDMC--EIAFKHVGLKVDDHLIVDPAL----FRPAEVEVLLGNPAKAKATFGWEAETSLEALITEMVD-------------- 150864748 --DKYVLVTGGAGFIGSNFLEYFVRKNYHFTCIDKLNYASQKGYPNFTFIKLDLSERQLLVEDFPINQITDIVNFAAESSVDKSFSDPLYFTRNNILVTQNLLECMRSQISVRLLHISTDEVYGD-SDILNDEQGRLLPTNPYAATKASIDLIIHSYQCSYDLSVTIIRPNNIYGPH--------QYPDKIVPVTIERLTKGIKIPIHGIG--------SNKRRYLHVQDFLDAL--ELIWQNDESINEIYNVGSDEEISNLSLVKLICHLYDRKDKVQFIPDRNYNDMKYSTTCGKIKS-LGWKPRIKLVDGL------------------- 140966137 MNNKNIIVTGGAGFIGSHTCLSLLERGYRVFVIDSLKNKNKNVKDELIIFKCDLKDKSLLKNIFSEIKIDGVIHFAGLKSVAESISFPLIYWQANVFGTINLIEIMQKYKCNTLVFSSSATIYANSENPSLSENDQLMPSNPYGNTKLSIEVLLNDIFNKMNLKFASLRYFNPIGAHISGLIGENPKGKPNNIFPLIIN-------------------------------------------------------------------------------------------------------------------------------------- 143396423 ---------------------------------------------------------------------------------DRSIDGPGEFIDTNIIGTFNMLEAARNYWDFRFHHVSTDEVFGPDSAAQFNEHTAYDPRSPYSASKAASDHLVRAWHETYGLPVLLSNCSNNYGP---------HQFPEKLIPVAILNALAGRP-------IPIYGDGSNIRDWLYVEDHADAL---LLVATKGTLGRSYNVGGENEWTNLQLVQTICTILDRVRPITFVTDRPGHDLRYAIDPSRIRAELGWRPSVTLENGLERTVQWYLDN--------- 17507723 -ERKVALITGITGQDGSYLAELLLSKGYKVHGISSFNTARIEHLYGNPVHYGDMTDSSCLIKLISTIEPTEIYHLAAQSHVKVSFDLPEYTAEVGTLRLLDAIHACRLTEKVRFYQASTSELYGKVQEIPQSELTPFYPRSPYAVAKMYGYWIVVNYREAYKMFACNGILFNHESPRRGETFV------TRKITRSVAKISLRQQEHIELGNLSALRDWGHAKEYVEAMWRILQQDTPDDFVIATGKQFSVREFCNQLVWEGEGVDEVGKNQDGVVRVKVSPKRPTEVETLLGNPAKARKTLGWEPKITVPELVKEMVA-------------- 142783997 ----RVLILGVNGFIGNHLTERLLQDGYEVYGLDIGSSAVDRFIPNFHFVEGDISIHTEWIE-YHIKKCDVILPLVAIATPIEYTRNPLRVFELDFEENLKIVRYCVKYH-KRIIFPSTSEVYGMCDDHSFDEDSIVGPINKQRWSKQLLDRVIWAYGKKEGLNFTLFRPFNWMGPRLDSLDSARIGSSRAITQLILNLVDGTPIQLVDGGA--------QKRCFTDIEDGIEALFRIIENKENRCDGQIINIGNDNEASIQQMAEILLAKFEAHPLRDQFPPFAGDVSHRRPSIANARRLLGWEPTIEMEETIGNTLDFFLQGAVTTGVEHD 145352946 MTKKVVLVTGGSGLVGSAIREVI--AGERP---------ENEEWIFASSKDADLCDPASTAAMFEKYKPTHVIHLAAQVGGFANMKYKVEFWRNNIAMNDNIFQECHKRGVEKLVSCLSTCIFPDKTTFPIDETMIHDGPPHYAYAKRMVDVQNRMYKAQHGCNFTAVIPTNIFGKHDNFHLDNSHVIPGLIHRGYLCKQKGE--------PFTIWGSGTPLRQFIYSNDLARLMIWTM-REYQEADPIILSVGEEDEISIADVARSVAKALDFQGEVNFDTTKADGQFKKTANNAKLRKYLDFK-FTPFDEAMASTVKWFMENYE------- 137303397 ---------------------------------------------------------------FRRSKIETIVNLAAGTGVRKSTLFPNSYYQSNLIGTLNLIKLSRKYAVKSFVQASTSSVYGDSKEKSFKIDSETKPDSIYASSKKAAEVLLHSYHSLYGQNICILRFFTVYGP----------CGRPDMAPFIFTQAAINNKTINVFGTG------RQKRDFTYIDDIVDGIY----KSTKLKGLNYLNLGNNRPVSINNLISIISNLSCKKIKRINKPSTPEDVFYTSADISKTKKIINWKPKTNLEEGIRKTYNWHIDNIKWLKK--- 143975685 IKDKKILIVGAGGFIGGHLVKKLLNDGNSIVASDIKPKEYWFQDLDENHYAMDMKDISNCRKVTK--DVDYVFNMACNMGGMGFIENNKAECMQSVLINTNLLIACKEDKVKKYFFSSSACAYNKTKQQDVEEDAYPEPEDGYGWEKLFSERMCRHFMEDYGIEVRIARYHNIYGPFGTYDGGREKAPAALCRKVITAKKNNENK-------IQVWGDGKQTRTFLYIDDCVEGTLRLFE----SNYSEPVNIGSDEQVSINQMIEIIEKISGVKLEREHQLDKPKGVRGRSSNNDLVKKILNWSYDIKLKDGLSKTYDW------------- 143330008 MTNKVALITGITGQDGSYLAELLLSKGYEVHGLIRFNTERIDHIYQDPHEYGDLLDGVGLTNLVREIEPTEIYNLAAQSHVMVSFTMPQYTAQVDAVGTVAILEAIRATKRDIRFYQASTSELYGSTPPPQNEESKFRPRSPYAAAKLMAYWATVNYREAFGIHASNGILFNHESPRRGETF-------VTRKITRAVAAIKAGKQEKLY-----VGNLDAVRDWGYAKEYVESMWLMLQ----KPESSDYVVATGVGATVRDFARVSFAHAGLDWQIDYKYERPTEVDALIGDPSKAKKELDWMAKTHWEEIAKIMVD-------------- 32265672 -KDSKIYVAGHRGLVGSAIYRELQNQGYT-------------NLLIKTHKELDLTQSECVSAFFAKEQPEYVFLCAAVGGILANNTYRAEFIYENLAIQNNIIHNAYISGVKKMLFLGSTCIYPKNAPQPISEDSLLTSNEPYAIAKIAGLKMCESYNLQYGTNFICAMPTNLYGENDNFDLEKSHVLPALLRKFHIMQNLGFNDETTTLARIEIWGSGNPKREFLYVQDLANACVFIMQKDSTQIRNTQINIGTGEDISIKDLAYLIKEIVGFKGEICFDTSKPDGTMRKLSDVSKIQT-LGWKHTYSLKEGILKTYQ-------------- 138207513 -----------------------------------------------------IVDKAFVNELFEENNFDGVIHLAAESHVDRSIANPLEFIETNILGTMNLLSAAKNVWSSFFYHVSTDEVYGLSETGFFTETTAYDPRSPYSASKASSDHLVRAYWHTYGLPIVISNCSNNYGS--------YQFPEKLIPLCINNIKLNNPIP--------VYGKGENIRDWLFVEDHVDAIDLIFHKCKI---GETYNIGGNNEWTNIDLIKLLCSIMDRAKLITFVKDRAGHDMRYAIDSEKLQKELNWHPSLQFQVG-------------------- 137600066 ----RVFVTGTAGFIGFHLSKLLLAEGHIVCGLDAMTDYYDVSLKRRRHHEAYLEDEDAVMRLVGDFEPTAIVHLAAQAGVRYSLENPRAYLNSNLVGTFNVMEAARANSVGHLLMASTSSVYGANTEMPFDELEKVDPLTFYAATKKANEAMSHSYAHLWNLPTTMFRFFTVYGP----------WGRPDMALFKFTKGILEDTPIDIYNHGEMFRDFTYVEDLVR---------------------------------------------------------------------------------------------------------- 156351520 -QRKVALITGITGQDGSYLAEFLLNKGYQVHGIARFNTGRIEHLYENCYHYGDLTDSTNLVKIISEVQPTEIYNLGAMSHVKVSFELAEYTADVDAVGVLRLLDAIKTCNKVKFYQASTSELYGLVQEVPQTEKTPFYPRSPYGAAKLYGYWIVVNYREAYNMFACNGILFNHESPR-----RGETFVTRKITRAVAKIHLGLQKELRL-GNLDSKRDWGHAKDYVEAMWMMLQQDKPEDFVIATGETHSVFAHVGTEIVWQKEIGVDKATNKVLVRVDPKYYRPAEVELLLGCAKKAEEKLGWKPKIKFEALVKEMVE-------------- 142158390 ----HVLVTGHTGFKGAWATLLLGQRGYRVLALDPLVGSLFECAELDHDLRVDIRDGAAVLEAVRTVEPDVVLHMAAQPLVRASYEQPRWTMETNVLGTLSVLEAISATASVRVMVTTDKVYRNTGQSQGYLEHDALGGRDPYSASKAMADILISSWEESF-------PGSPVAIARAGNVIGGGDVSTDRLLPDLLRAFGT--------GMPAAIRNPDAVRPWQHVLDCLNGYLLLVDALVRDEGTGAWNFGPESSRTVRDVADRAAHRWGEASWVAEGGDHPHEEAVLTLDASRARRELGWRDVLDFRESIEWTVDWAKR---------- 139242129 -KDNRVLVTGGAGFIGSHLCKRLVAEGVDVLCVDNFFTGSRANVADLPKFNFELLRHDVTFPL--HVEVDHIYNLACPASPVHYQFDPVQTIKTNVNGAINMLGLAKRVGAKILQ-ASTSEIYGDPEEHPQTEKYWIGIRSCYDEGKRCAETLSFDYHRQHKVKIKVVRIFNTYGPNM--------HPNDGRVVSNFIVQALKGQSITIYGG------GNQTRSFCYVDDLIEGMVKTMD--LNDGVVGPINLGNPNEITILELAEKI----------------------------------------------------------------- 89094875 FQGKRVLVTGGGGFIGKHLCAALMQEGAEVKAL--------------RSSECDLLCYEQIEVSLQSESFDLIFHLAAVGGIGYMQAHGAEVFENNLLMNTQLLHAARRNGVGKLVNIASINCYPAEAEAPYLESSLFDPVLGYAYAKRAMLVHSELARQQFGFNSINLILDSVYGPGESFSLDTARVLPANVARFVDAAHSGVEE-----VTCWGTG--EPVRDFLHVDDAVSAIIQSASSIESSAP---VNIGSGNPLSLRKLIVKIADQAGYRGSINWDHNKPDGQAVRYMTVDRLKSYIDWRPRIDLDDGIKAMIHHYR----------- 138703507 ----TILITGSAGFIGSMLSIKLLERGDEIVGIDNHNDYYDPKIKDDRHYKIDLNNNKKLSDLFKIHKFQKVVNLAAQAGVRYSIENPLAYINSNVVGFANILENCRYNKVKHLVYASTSSVYGANTKMPFSEHDSVHPLSVYAATKKSNELMAHTYSYLYKLPTTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 138352140 ----KILVTGAAGFIGFNFCELLMQSTYKIVGIDNLNDYYDVNLKKKRFSKIDINDNSSLERIFKKNKFDFVLNLAAQAGVRYSIQEPRKYVESNISGFFNIIDNSKKYKIKRLFYASSSSVYGENSNFPLKENEKISPKNIYGLSKKINEEISDIYNNYYDLKVTGLRFFTVYG--------EWGRPDMMMLKFIGNYFNKKTFTLYNYGNHV--------RDFTYVGD------------------------------------------------------------------------------------------------------- 282878543 MTSSKILITGITGQDGSYLAEFLIDKGYEVHGLSSFNTGRIEHLYLDEWVRADMTDSSSLIRIIGEIHPTEIYNLAAQSHVKVSFDVPEYTADTDAIGVLRLLEAVRICKSCRIYQASTSELFGKVQEVPQKETTPFYPRSPYAVAKLYGFWIMKNYRESYGMYCCNGILFNHESERRGENFVTRKITLAAARIAHGLQDKLY------LGNLDARRDWGYAPDYVQCMWLMLQQEQPDDFVIATGEYYSVFHYAGIELEWKDNEKGIDKATG-KVLVEVDPKRPAEVEQLLGDPTKAKEKLGWNPRTSFEELVKRMTEYDLKN--------- 137893898 MKYKKALVTGGAGFIGSHLVEALLKNNVTVLVVDNLLTGKKTNLDRLENVEVDLGSDESIKEI-EKFNPEICFHLAAQSSVVISVEDPLLDFEHNLLQPINLIQTLIRTDCKKFVFSSSGGTFGEPNVIPTSEEDFAEPVSPYGVAKKKLNDFIKLMLENEKMSYSILNLSNVYGPRQD------PHGEAGVMSIFTGKMLNNEKP-------IIYGDGNQTRDYIYVADVVSALIKSSEIDND----LFLNIGTGVETSVNE---------------------------------------------------------------------- 135582909 ----KILITGGAGYIGTVLVNLALKKKFKVISIDNLKNSKKEFFIQNTFYKCDINKRQDLEKILKKEKVDIVVHLAGIVGDPASKLEKKLTIDTNLKFSKSLFELCEKYNVKKFIFSSTCSNYGVSKNNLASETTRLKPLSLYAKTKVDFEKFMIKKSKISKMKLVIMRFATVFGVS--------DRMRFDLTINQFTREIFLKKNLDIFGE-------NTWRPYCHVKDVSRAILASI--KINKKITIYNVGNSKQNYSKKNVINAIGKFL-KTINISYSKQKISDKRDYKVNFRKIFKELKFKTKFDLDYGIKEIISFLKK---------- 138216073 --------------------------------------------------------------------------------------------------------------------PHPDEVEPGTELPLFTETTPYAPSSPYSASKASSDQLVRSWLRTYKLPTLVTNCSNNYGP--------YHFPEKLIPLVILNALAGKPLP--------VYGKGNQIRDWLYVEDHARALVVVA---LNGEIGETYNIGGHNEKQNIEVVQTICSILDYADQITYVQDRPGHDMRYAIDASKIERELGWKPQETFESGIRKTVEWYLAN--------- 206889196 -KGSKIAVLGVSGLVGSALVRKLLERGYEKVI--GTYKSRKPNFEDIQLFQVDLTNQSETETFFAEQAPEYVFLAAAVGGILANNTYKAEFIYENMAIALNVIHSAYKYGVNKLLNLGSSCIYPKHAPQPLKETAPLEPTNPYAIAKIAAIKLCRYYNEQYGTNFLSVMPTNLYGPNLPALIRKFHLAKLLEEGDIEGIKRDFQKYPIGFGLDEMWGAGKVYREFLHVDDLADACVFLMENVDAWSLSPYINIGTGEDLTIDELAHTIKNIVGFRGDINYDTSNPDGTPRKLLDVSNIKR-LGWSYKIGLKDGIKRVYEWYKDN--------- 136813235 ------------------------------------------------FIKCDLNKKNKLSKIIFKHKPIGIFNLAAETHVDRSIDSPESFINSNIVGVFNLLEIFKKYKKAKLIHISTDEVYGDILKGRSNENFPYKPSSPYAASKAASDHLVSSYVRTYGIPAIVTNCSNNYGP--------KQHPEKLIPKLIYNILNNKSLP--------IYGNGKNSREWIYVKDHCEALIKVFQK---GKIGEFYNIGSNKNLNNLEICNALIKLVGSNVKIQLIKDRPGHDTRYALDSKKILKNLKWKTKVSFEKGLEKTFLWYLNYYKSISKK-- 74318082 ----HVLITGGAGFIGSHLAEHHLALGDQVYVVDNLSTGSMANLKPFRTHDADIVHWSGLAEAIGWA--DRVYHMAAVVGVKKVLDDPVAVMATNMTGTERILATMRDGHWNPQVVIASSAEIYNPAKGFCETDDIVLPRWSSAVAKVADEFLAFGHARRHGLRIVVARLFNTIGPNQTG--------DHGMVVPTFVRQALRGEPLTIHGEGNQTRTFCDVRDVVVALDRLAGCPEAW--------GEAVNVGNEDEISIRALAELVVARAQSKSPLHFVSWRDDEVGHRRPVINKLRALTAYTPAWSLADSLDDLIE-------------- 218779543 ----KILVTGGAGFIGSHTVDALVENGHEVRVLDNLQTGMPSWLPEAEFMLGDVRSKDDWKKALQ--GREAVYHLAA---YQDYLPDFSTFFHVNSVGTALMYETYLCDSCARKKWEHACPICGEEMYWQWTPETHVSPPNAYAMAKHSQEIQALTFGQRYGIPSTAMRYSIVQGPRQS--FYNAYSGACRIFSLHYYFDKA----------PTCYEDGEQCRDFVNIHDVVRANVMALDNP--EMDYKVFNVGGGKAYTVSEFAAIVQREFEDRKNKYLADYRFGDTRNACSDIS-ALKALGWEPQNTPEQSVREYVDW------------- 139452399 ------LVTGGAGFIGSHLTDYLIENGSKAIVVDNLSTGEEKNIKQADFIEGSL-EEINLKQIFQ--KVDYIFHAAALPRIQPSFDSPEEHDIANVQNTLKVLKAAKSNNVKKIIYSGSSSIYGNPSEIPTSENARANPLNPYSLQKYTAEQYGLLLGKKWDIPFLSLRYFNPYGERSFN--KKNPLNAYSSVIGIFQNNFLNSESLKI------TGDGSQQRDFIHVRDISR---------------------------------------------------------------------------------------------------- 169837451 ---KTILVPGGAGYIGSHTVLDLIKKGFNPIIVDDFSNSSKKVIEKINFYEMDIKNKEGLRKIFRENKIDAVINFAGFKAVGESVEKPLMYYENNLFGMVTLLEVMKEFNVKNIVFSSSATVYGVSEKVPFVETDMGEVTNPYGRTKVIIEHILMDLAKSDNWNIIALRYFNPLGALLPSI-------------------------------------------------------------------------------------------------------------------------------------------------------- 162451964 MTELRSFVVGGAGFIGSHLTDRLVERG-PVTIYDNLSVGRRAFVARATLVEADALDLERLTEAMAGH--DLVVHLAANPEARWGLARTRLDLDQGTIATYNVLEAMRRAAVGRLIFSSSGTVYGDTPRRCAEGDLGALPISLYGASKLAGEALISAYVECFGLTAWIYRFGNVVGPR------GTHGAALDFLKKLRDRRT----------ELEVLGDGRQSKPYLDVRDCAEGILFGFDQARERLNIHNLAPEDATSVSRIAELCVAASPYPDAVIRYQGGERPGDVPRSRMDPGKL-AALGFRVRHTSDEAVRMAVE-------------- 154151309 ----RVLITGGAGFVGSNLAIFLKNRATTVICFDNLKRRGSEKVAGIQFTHGDVRNEEDFEALLMIECSAEPSVLAGVGSSPSYVMNTNLLGTINCLEAVRKNHAPFREEATRFSLGDTTGIPGVSSRGIAEEFPLAGPRSIYGATKLCSEYLIQEYCASYGIHAIINRCGLIAGP--------WQMGKVDQGVVMLWAACHRFRKDLAYIGYYGTG--KQVRDVLHIDDLCDLVLAQMKRLDESGEIFNAGGGLNNSISLQEMTAICREVTGETIPVRSVPERPNDLIWYVTDNSRVSRTFGWKPQRSVKDTVTDITRW------------- 136061733 --KKTALVLGAGGFIGSHMVKRLRSEGYWVRGVD-LKYPEFSSTQSNEFIQGDLRDVDFVRRCIRYYQFAADMGGAGFVFTGENDMHNSVSINLNVLEEQRKWNEDKGVNTTKIFYSGSACMYPDPDNPDCREESAYNPDSEYGWEKLFSERLYFAYNRNHGIPVRVARYHNIFGP--------EGTWDGGREKAPAAICRKVAFLPKSGGAIEVWGDGLQTRSFLFIDECIEATRRLMDSDFM----GPVNIGSEEMVTINELVKTAAKVSGKKVKKIHKLDAPLGVRGRNSNNDLIREKLDWDYSQTLEEGIRITYNW------------- 143342954 MSNKNILITGGCGFIGHHIVECLLQNTHNIYIIDKLSYAREIGALNNPRVHLDLTSEIDYGFLKELKDVQWIMHLAAETHVDKSIAEPKHCIMNNVKSTLNLLECARKENLEKFLYFSTDEVYGPAEDECFTEYDRHNPTNPYSASKSAAEMICLAYANTFGVPVMICNAMNVFGER--------QHKEKFIPKCIHNILNGYDHSHPTMNSDNMIELVSGSRCYIYAKNIASAVNFIMMNGSVGEKYNIQGKKELHNDVLCDMIAGWLDKPVNKKYIEHDENRPNYDIKYSINGSKLFA-LGWVPEYDDSEELERVVKWTIESHKWM----- 142709100 ------------------------------------------------------------------------------AGVRYSLENPNAYVDSNIVGFVNILEGCRHNNVEHLVYASSSSVYGANETMPFSEQHNVDHVSLYAASKKANELMAHTYSHLYNLPTTGLRFFTVYGP--------WGRPDMALFKFTKAILEGKTIQVYNYGNH--------RRDFTYIDDIVEGVIRSLDNVAKPNENWDYNIGAQTPVHLLKFIETLESALGIEAKKELFPMQPGDVPDTYADVSSLVEDTGYQPSTDVETGVKAFVDWYRDFYK------- 134803729 -KKEKIIVTGAAGFIGYHFIKKILKYNYDVIGIDNLNHYYDVKIKQGRLNDLDLTNKNKTFKIFKKYNPKYIFHFAAQAGVRHSFIEPDSYFDNNLKATYNILEASKLIGAANLFIASTSSVYGNKKKKFNENDEIIKPIQLYAATKSSIEVLAYSYSVNYKTNIVIFRFFTVYGP--------WGRPDMALFKFVKNILAGK--------QIQVFNKGNHKRDFTYVEDLVTCMFLFKKRKNKKLKYEIFNIGAGNQQSLKKYINLIE---------------------------------------------------------------- 143330825 ----------------------------KVIGIDNLVGGHYSNVPANVEFYADLGDFESIKEHFKGV--DLVVHTACTAYEGLSVFSPALVTRNTFHITTSAISAAIYGNVKKFVHMSSMARYGNQETIPFTEDMIPKPQDPYGIAKYASELTLKNLCETHGMKYVILVPHNIIGPRQ------KYDDPYRNVASIMINRMLQGKQPIIYGDGEQKRCFSFMKDV--------TNPLIIACESDSVNGEIINVGPDEEITIKELAEKIAKLLNFKLDPIYMPGRPQEVKYASCSSDKSRKLLGYKTSTSLDEGLTELIKW------------- 142252615 ----KAFITGVSGQDGAYLAELLLSKGYEVVRIDDLISQFSSDERFVLHYS-DLLDSSSLTNLILNIQPDEVYNLAAQSHVAVSFSNPIFTTQVGTLGSISLLEAIRNDKNIKFYQASSSEMYGGSSKEMLNENSKFDPKSPYAASKVFAHEITKIYRDSYGIFGVNGILFNHESP-----LRGETFVTRKISRAVGRISVGLQEKLTL-GNLDASRDWGYAKDYVNGMWMMMQHDTADDWVLATGKTQTVREFAEAAFKYVDLNWEDYVITSDKYF------RPNEVDFLLGDCTKARETLGWKPEVDFKELVKIMVE-------------- 142736607 FKNKKIFITGHSGFKGSWLS-YILDKNESIIKGYSLEPSQLKFSKQFSSIIADINDFDKLKKEIINFKPDIIFHLAAQPIVLESYKNPKYTFNTNFTGTLNLLEILREIQHECACLLVTTDKVYANDDQSFKEDDKLGGNDPYSASKAASEILINSYIKSYFSKS----NVSVASVRAGNVIGGGDWSKHRLVPDIVRAYNDKK-------TKLNIRYPNSIRPWQHV---LEPLFGYLELAKKLYASKIKFSGSWNFGPNKDDIKTVKDIINAGMNFNYSENKISEYYELSLDITKSKNNLEWSPKWESEIAIKKTIEWYLGFYQNINIEK- 161527662 ---KNALIFGLTGQDGTYLADFLLKKGYNVFGTFRRTSHRCEIFHKVTDIKADLSDYGSVQAAIKQSEPDEIYNLGAQSFVGASFQQPVLTSDITGLGVLRVLEAIRENVPDAKFYQASSSEMYGNYPGIKNEESPFRPRSPYGAAKVFGHHMTNHYKEAYNIFACCGILFNHESPLRGLEFVTRKITYALSKIKFNTL------------DKLHLGNINAKRDWGFAGDYVEAMWLMLQ----QKEPDNYVIATGKSHSIKEFLDIASEYAGLGDWHEFVEMRPTDIEDLVGDASKARKNLNWEPKTSFKELVKKMIEYHKQHP-------- 120401241 ----KVLVTGHQGYLGTVMVPVLQAAGHDVTGLD---SGLFEQCVLGPAIEQDLRDVS----VTQLAGFDAVVHLAALSNDPLGALAPEITYAINHRGSVRLARLAKEAGVRRFLYASTCSVYGAADDGLVNEDTALRPLTPYAESKVRVEDDVAAIADDWFSPV-FLRNATAFGPRLRADIVVNNLVAHAV----------------LTGVVRVLSDGTPWRPLVHAQDIAVAFLTALEAPIHKIHCAAFNVGTENNLTVAEIAEAVVGAVPSSHLLITGETGP-DPRSYRVDFSRFRDTLGYEATWSVADGATQLYRAYLAY--GL-NQHD 300728486 MSKQNILITGITGQDGSYLAELLLSKGYDVHGIIRRSSSHLEGQPHFHLHYGDLGDSMSLVKVVDMVRPTEIYNLAAQSHVQVSFDSPEFTADVDATGVLRVLEAVRANHTCRIYQASTSELYGKVEEVPQNENTPFHPYSPYAVAKLYGFWIVKEYREAYNMFCCSGILFNHESERRGETFVTRKITLAAARISQGLQDKLY------LGNLSSLRDWGYAKDYVECMWLILQNDQPEDFVIATGVQHSVREFHHAGIELKWEGEGMDEVGKVLVEVSPDFYRPTDVVNLWGDPTKAKAKLGWNPTTSFEKLVKIMVD-------------- 224583216 ----RVLILGVNGFIGNHLTERLLEENYEVYGMDIGSNAISRFLLHPRFHEGDISIHSEWIE-YHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYR-KRVVFPSTSEVYGMCTDASFDEDPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQ--------QKRCFTDIRDGIEALFRIIVNEGDRCDGKIINIGNDNEASIQELATLLLDSFDKHPLRCHFPPFAGDVAHRKPSIDNARRCLGWEPSIAMRDTVEETLDFFLR---------- 136546885 -----ILVTGGAGFIGSNFILLLPKTGLEIVNLDKLTYAGNEASPNYVFVQGDIADRKLVSALLSEHQVSAIVHFAAESHVDRSIHGPMEFIETNVVGTFQLLEAARAYFTFRFLHVSTDEVYGEPREPAFLETRQYEPNSPYSASKAASDHLVRAWHHTYGLPVLTTNCSNNYGP--------FHFPEKLIPLVILNAIAGKPLPIYGDGQ--------QIRDWLYVRDHC----------------------------------------------------------------------------------------------------- 135657272 MKEK-ILITGCAGFIGSSLANKLLKKNFSIYGLDNINSYYDLKLKKNRFFKIDISNKKSILKNFKRYKYNHVFHLAAQAGVRYSIENPEQYLKSNLIGFYNILEAIKINKVRNFFFASSSSVYGDKKKFPLSENFSTDPKSFYAATKKCNEVMAYSYSSLYKIKTIGFRFFTVYGP----------KGRPDMTPFSFLNKYFKNQNIKVFNQ------GKHERDFTYIDDTINLILQIFKKTKSKNKFEIYNLAGGKPNKLMRYINLIE---------------------------------------------------------------- 218259637 -KDSKIFVAGHRGLVGSAILKNLKAKGYTNFVL-------------RTHAELDLTDQQAVHDFFAAEKPEYVFLAAHVGGIMANSRYRADFIYENLMIQNNVIHASYLNKVKKLLFLGSTCIYPGNAPQPMPEDCLLTSNEPYAIAKIAGIKMCESYNLQYGTNYIAVMPTNLYGPNDNFNLETSHVLPAMIRKVHLGKCLSEGKYGIYPGKVELWGTGKPLREFLWSEEMADASVYVMEKVDFADRNTHINIGTGKELSIREVAFLIREKVGFTGEIVFNSSKPDGTMRKLTDVTKLHA-LGWHHTIEVDEGIERLYCWYLEN--------- 117927658 -RRRRALITGITGQDGSYLAELLLAKGYEVHGLIRFNTERIDHIYQDPHHYGDLHDGTGLVTLLHTIEPDEVYHLGAQSHVKVSFAMPEYTGDVTGLGTIRLLEAIRASGISCRFYQASSSEMFGSAPPPQNEKTPFHPRSPYGVAKVYAYWATVNYREAYGLFAVNGILFNHESPRRG------------ETFVTRKVSRAVARIQAGLQDKLYLGNLDARRDWGYAPEYVEAMWLMLQAD----EPADYVIATGTSYAVRDFVAMAFDHAGLDWEIDERYRRPAEVDHLCGDASKAKAELGWEARV------------------------- 136186305 ---KTAIITGVTGQDGSYLAELLLSKGYKVIGTKRLNTDRVDHLYDNPNFKLDLNDQGSMYRMIAQHQPDELYNIAAQSHVKVSFHLVEHSIDSVAVGPARILEAIRNIKPDTKFYQASSSEMYGDAPIPYTETSLMTPASPYACSKLFAHNLTRNYRYSYDIHANSGILFNHESPRRG------------ETFVTRKITIAAAKIKLGLEAKIQLGNLDAKRDWGHAKDYVEAMWLMLQQEM----PGDYVIATGISKSVRDFLELVFNYAGLDPHLEIDPRRPHEVPFLLGNAEKAKKILNWQPKTDIKQLAKEMYESDLEY--------- 290976350 -STKVALISGVTGQDGSYLAELLLDKGYTVYGMSSFNTGRVEHLYKDVHVYGDLTDTGNIISIISKIRPDEIYNLGAQSHVKISFEMPEYTANVDGIGTLRFLEAIRACTKTRFYQASTSELYGLVQEIPQKETTPFYPRSPYACAKLYSYWIVINYREAYNMFALNGILFNHES------IRRGPTFVTRKITMAVARIHLGLQECLYLGNLDAERDWGHAKDYVEAMWLMLQQEKPSDYCVATGEKHKVREFVEKSFAVLGKKVEWKGKSGTAVRIRVDPRRPTEVELLIGDPTKAETELGWKRKVSFEELVKGMVK-------------- 304413469 ----RVLILGVNGFIGNHLTKRLLEDNYEIYGLDIGSDAISCFMSHPRFHEGDISIHSEWIE-YHIKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIVRDCVKYK-KRIVFPSTSEVYGMCDDKEFNEDSIVGPINKQRWSKQLLDRVIWAYGAKEGLKFTLFRPFNWMGPRLDSLDAARIGSSRAITQLILDLVEGTPIKLIDGGE--------QKRCFTDIKDGIEALFRIIENHDNRCDGQIINIGNPDEASIRELAEMLVKHFKQHELYNRFPPFAGDVVHRTPCIKNARRLLNWQPKISMEETIKETLDFFLR---------- 136265560 -----------------------------------------------------------------------------------SIKKPLLYYNNNLLSLINVLKSMEENTVSNIVFSSSCCVYGQPEKLPVSENAFKKADSPYAESKQISEQIIKDFINSHNISSVSLRYFNPVGAHESALIGELPKGPDNLVPYITQTASGIREVLSVFGKDYNTHDGTAIRDYIHVEDLAKAHFNFLNESEGKNIYEFFNVGTGIGYSVLDVINSFENVNNLKLNYSFKDRRDGDIEQIYSDVIKSKKVLKWESERTLDDMMSSAWKWQKN---------- 144206850 ----NIFVTGSSGFIGFHLSNFLLKKNYRVIGIDNHNKYYSNKVKKKRFEKLNLVNKKKLENIFKKFKPKIIFHLAGQPGVLYSLKNPASYYLNNTEATKSISFLSKKYKVNKFIFSSSSSIYGDQKKFPIKETYSFKPKNPYAKSKVQSEKIILKNFKNSNIKFTIFRFFTVYGP----------YGRPDMFIHKFLNSIKYNKKIKLYNHGL------NFRDFTFIDDVVEILNKSIKNEKSSNKIINIC--RSKPFLTIDLVNLILKKLKKSNVKIVKTKFVGEMLKTHGSNKYLKKIFGNIKFTNLDVGIKKTVQIFKKY--------- 141084511 ----NILITGSAGFIGFNLSKDLLKKGYKIIGIDNLNDYYDVNLKKYKFYKIDINNKIKLKKIFQNNKIDFVFHFAAQAGVRYSIDYPRKYIESNIIGFYNIIENVKKYKIKRLFYASSSSVYGENNNFPINEREVVSPKNIYGLSKKVNEEISFIFNKFYKVKLTGLRFFTIYG--------EWGRPDMMMLKFIESYYKKKTFKLYNFGNHV--------RDFTYVGDASNIMYLLLKKHRKLKSFDIFNICSNN---------------------------------------------------------------------------- 140875487 ----RLLVTGGCGFIGSHFVNAAVRRGDMVVNVDAMRECDVEDSPHYRFVKGDVCDFGLMRKLFHAWRITHVVHFAAQSHVTRSFEESLDYTRDNVVGSHTLLEACRQWMIVKFVHVSTDEVYGDADTQHFTEQSVLCPTNPYAATKAAAELIARSYRESFGVPVVVTRGNNVYGPR--------QHEEKLVPRFVGLAKAGKKLTIEGDG--------SCIRAFLHVDDTVEAFLRIVE-MGVPGEIYNIGCDEGAGVSVMDVARLVVRLVRLEDWIEYVPD-------------------------------------------------- 138693099 ---KNILVTGGCGFIGSNFINEILKNNKHIINIDCLNYYKNTNNNKYTFVEGNICSMDLIKFILKEYQIDTIVHFAAQSHVDNSFSNSLQYTQDNIVGTHTLLEASRYGKITRFIHVSTDEVYGEEDEEKKTEYSILTPTNPYAATKAGAEMLAMSYNHSYGMPIIVTRGNNVYGPR--------QYPEKLIPKFIKLLKEGQKLTIHGNGI--------NKRSFLYVSDVAEAFMKILEK-GKVGEIYNIGSEDENEYSVMEVTKMI----------------------------------------------------------------- 127514622 -----IIVTGAAGFIGSNLVKALNNLGRDIIAVDDLTDGTKMFNL-ADCEIADYLDKADFIEQIAQGQFDVIFHQGACSSTTEW---DGKFMMANNYEYSKTLLHFCERNGSQFIYASSASVYG-GSDKFIEQRELEKPLNVYAYSKFLFDQYVRQH--NFTTQVAGLRYFNVYGPR------EQHKGGMASVAFHFNNQIKASGICRLFQGHDGFEDGKQLRDFVYVEDVVKVN---LWLWQNPGISGVYNCGTGQAQSFNDVANAVIAYHGKGEYIPFPDKLKGAYQSYQADLTQLRAA-GYQAFKTVEEGVPEYLDW------------- 254445079 ----KYLITGCAGFIGSSIALRLAALGEDVWGIDSLVDSYDVSLFGENDVAFSMLDLASDNLAIVSESWDYVIHCAGLPGY-LPHLDKAAYERCNVTATVRLLDALEMHGSPA-RIIYLSSSSVVGLSVSGDETLAPRPCSNYGISKWKAEKLIGAAQREGVVESCVLRLFSVYGERQRSDQLFPRVLGAMQWKEAFRLNEGAQ---------------SHVRDFVHIDDVCSAVLKVIGNWEAS-RGEIFHIGSGRETIVDSAIERACKVFGGRPSIDLVPGRKEDQFYAVANIRKASDLLGWVPRVDLDEGLRRLFEWY------------ 140437303 ---KKVLITGACGFIGSHLVEYFVAKKIKVIAYDKYNFSNNKNIRNTKIVLGDINDYSLTNKLIK--EVDYVIHLAALISIPYSYFSPGSYVKTNVEGTYNILESARLNR-KKVIITSTSEVYGTGIKFPMNENHPLFAQSPYAASKIAADNLTLSYYNTFNLPVKIIRPFNAFGPRQSN---------RAIIPTVISQILSNRKKIKV-GNIYPKRDWTYVTDLC----------------------------------------------------------------------------------------------------------- 137875545 -KNKKILVTGVAGFLGSHLSEKLADLGHKVIGIDNMLGGYEDNVPKNIFHKLDCCDFEKVKSIMK--DVEVVYHCAATAHEGLSVFSPFEITKNNYLASVSIFSAAVNEKVKRIIFCSSMARYGD-QITPFTETMKPKPVDPYAISKVAAEEVLKNLCELNNIEWVIAIPHNIIGPRQ----------------------------------------------------------------------------------------------------------------------------------------------------------- 138509073 --------------------------------------------------------------------IDWVFHLAGLVNTEESIRNPKDFFNTNVNGTKNILKAAKNANVKKFIYSASASCYGEVKKYPINENVETDPKNPYAETKLIGEQQVINWSKNFSLPAVSLRFFNVYGPR---SISKKGYGAVFSIFLSQKIS---KEPLTIVGD------GNQTRDFVYVNDVVE---AMISAAKSKRESSIYNVGTGKEVTINKIANII------GGKKVFLPKRPQEIERSVADISKIKSELDWKPKIQIEEGLK------------------ 142060404 --NKKALITGISGQDGAYLSNFLLNKGYDVYGAVRRTSSELNVLDQINLVTMDLAEITNIQRVIEEVEPDEVYNLAAQSFVQTSFDQPIYTSEIDALGVTRILESIRMGSKIKFYQASTSEMFGKVEDSPQNEGTSFYPRSPYGVSKLYGHWITVNYREAWDIHACSGILFNHESPLRGTEFV------TRKISLGVAEIIKNKKASISLGNLNAERDWGFAGDYVNAMWLMLQNKEANDYVIATGKTHSVRKFVEKEWTIDNKIETCKKTGKILVDINTDYNRPSETDHLLGDASKAKRDLNWKPKTNFEELVEMMVK-------------- 136664815 ---KSALITGVTGQDGSYLAELLLEKGYVVHGVDNTGNLSNDVLMHERFYYGDMSDGNSLHKILKVARPDEVYNLAAQSHVKVSFDLPVQTCDINGLGAMRMLEAMRMLDTTKFYQASTSELYGKVHVSPQSETTPFHPRSPYGVSKLYAYWAVQNYRESYGAFACNGILFNHESPR------RGDDFVTKKITNGVSRIMDTGYPPLQLGNLDAKRDWGHAKDYVYGMWLMLQQNEPKDYVLATGETRTIWEGEGTGEMGYIRGDALPGDTHLKKHINPEFYRPAEVELLCGDPTKAETELGWKRNFSFED--------------------- 137832759 ------LVTGAAGFIGSNLVDYLLEQGHTVVSVDNESANNEKFHWTHENVKGDITDYKFMKNVFTGV--DYVFHLAAESRLQSAIQNPIEAVNKNCVGTTVMLQCAREAGVKRFVYSSTSSGYGNNPY-PNVETQPDDCLNPYSASKIAGEKFCKMYTDLYGLETVVLRYFNVFGQR------------------------------------------------------------------------------------------------------------------------------------------------------------ 136965691 MEKM--LVTGGCGFIGSNFIQYVLQKNPYVINLDKLTYAGNLMNLRYTFIKGDICDKDKIEALFNKYNFEYVVHFAAESHVDRSIDGPAEFIQTNIVGTLNLLEHSKKFKDFKFLHVSTDEVYGLGNKGKFHENTPYAPSSPYSASKAGSDHLVRAWNKTYDLPILITNCSNNYGP----------YQFPEKLVPLMIINALNDKPLPIYGKGD------NVRDWLYVLDP------------------------------------------------------------------------------------------------------ 139019260 --DKTYLVTGAAGFIGAAVANKLIRLGKKVVTIDNLSTGYKENLPEGIFIKGDCSDKNIIKEL-DDYKFDVIIHIAGQSSGEISFENPTYDLKTNALSTLMLLRFAIKNRCKKFIYASTMSVYGANIDEQVNEGSKLDPISFYAVGKIASEQYMNIYN-TYGLTCTSLRLFNVYGPGQN---------MSNLRQGMVSIFLEQAMSKKIVVKGSLNR----FRDFIYIDDVVNAFLESVKFNDQNHRIMNIGTG------------------------------------------------------------------------------- 144151709 -TKKKALITGITGQDGSYLAEFLLGKGYEVHGVIRRSSTFNTQRLEHLYVDGDLSDSSRLTTLLQTVAPDEVYNLAAQSHVRVSFDEPEHTGDTTGLGSIRLLEAIRLLGLQCRFYQASSSEMFGATPPPQNEDTVFYPRSPYGAAKLYSHWVTKNYREGYGLFATSGILFNHESPRRGETFVTRKITRAAARIAAGVQDTLY------------LGNLDAIRDWGYAPEYVQAMWMMLQA----NEPDDFVIATGTEYSVEDFLGFAFQHVGLDWHIKFDERRPTEVDALVGDSSKAQAVLGWSPKV------------------------- 140605097 --SKKILITGVAGFLGSHLADKLIELGHKVIGVDNMIGGYEDNIKLVEFHKIDCCDLNKVKEITK--DVDVVYHCAATAHEGLSVFSPYEISKNNYLASISVFTAAISAKVKRIIFCSSMARYGD-LKTPFTEDMLPKPVDPYAISKVAAEDVLKNLCELNNIEWVIAVPHNIIGPRQRYDD------PFRNVVSIMLNRMLQNKAPIIYGDGNQKRCFSYIDDCLKC--------------------------------------------------------------------------------------------------------- 138573321 MNMKKIIVTGGLGFIGSNLIDLLISKNYYVINIDKITYSSNKNSKKYKFIKCDIRSK-KLKKVFFKYKPVAIFNLAAETHVDRSIDNPENFIQSNILGIFNLLECFREYNRSKLIHVSTDEVYGDILSGRSSENYPYKPSSPYAASKAASDHLVNSYVRTYKIPAIITNCSNNYGP--------KQHPEKLVPKLIY--NILNNKPLPIYGKGINSREWIFVKDHCE---------------------------------------------------------------------------------------------------------- 138145125 -----------------------------------------------------LEDCSLLSSDFKKFKPSVVVHLAAQAGVRYSLENPRAYIDSNIIGTFNIMEEAKNQNIKHLLIASTSSVYGANENMPFKENDKADMMTTYAATKKANESMAHAYSHLHKLPTTMFRFFTVYGP----------WGRPDMALFKFTKGILNNEPIDIYNNGEMY------RDFTYVDDLVKSIRLLINKIPVEGEPIGLNIGNSKKIKLLDFIEAIESELEKKAIRNFMPMQMGDVSKTFADTSLLKALVDFTPNTEIKKGVALFIKW------------- 138851856 ----RLLITGGAGFIGSNLVEHFLERISLVRVLDDLSNGYYENIAEFEDHKGDICDYDTC--LKACAGIDKISHQAALGSVPRSIENPMRTNAVNVGGTVNVLHAAKEQGVERVILAFSSSTYGDHPGLPKVEGKEGKPLSPYAVSKAAIEQYAEVFGKTYGLNWIGLRYFNIFGPRQ-----NPDNPYAAVIPIFCKAFLEE-------GQITINGDGETSRDF------------------------------------------------------------------------------------------------------------ 37520332 -ESKRALITGITGQDGSYLAEYLLGCGYEVHGLLRRSSTLNVGRVSHLQERIDLTDSMSLQRVLQLCEPHEVYNLAAQSHVKVSFDQPIYTGDATALGVTRLLEAVRMIVPERFYQASSSEMFGKVREVPQTELTPFHPRSPYAVAKVYGYWATVNYREAHGLFACNGILFNHESPRRGEDFV------TRKITCAVARIAAGKQRELVLGNTEA------RRDWGYAGDYVELMWRMLQ----QEKPDDYVVATNEQWSVQQFVERAFELVDLDWYVRTDPRRPAEVDELIGNPAKAREQLGWQPRVRF-DGL------------------- 135394367 ----KYVVTGGAGFIGSNLVDKLVSESHEVHVIDNFLTGKKECNPDAIYHNIDISDNNYFNKILKILKTDTVFHLACIARVQPSIQDPIKYELNNTIGLVNTLKASVDTGVRRFVYSSSSSVYGDISKLPQKEDSETNPMSPYGAQKLYGEILCKTFSTVYNIETISLRYFNVYGE------KQNMNGAYSLVIGIFLNQRFNNKPLTIRGS------GTQKRDFTYVGDVVKAN-------------------------------------------------------------------------------------------------- 301308246 --SKNILITGVTGQDGSYLSEFLLAKGYEVHGIIRRSSAHLEGTPNFHLHYADLTDSMSLVKLVDKVQPTEIYNLAAQSHVQVSFDAPEFTAEVDATGVLRILEAVRTNHSCKIYQASTSELYGKVEEVPQNENTPFHPYSPYAVAKLYGFWIVKEYREAYDMFCCSGILFNHESERRGETFVTRKITLAAAHITQGKQDCLY------LGNLDSLRDWGYAKDYVECMWLILQHDKPEDFVIATGVQHTVREFHHAGIELSWEGEGINEVGKVVVAVSEDFYRPTDVVNLWGDPTKAKNELGWNPTTTFEQLVELMVK-------------- 144034414 FTNKNILILGGLGFIGSNLAKKCVELGGKVTVVDSLDENCGGNIFNVEFFKDDILDDLALKDSLNNQ--DYVFNCAAHSSHGESMKNPSNNLDVNCRAVINILEILKNNDDEVFVHLGTTTQFGKLIYQPADENHPEFPMDIYSAHKSLSEKYVLLYSAAYGMKNSVVRLPNTYGPRAS-----IYSPNFTFNNYFIGLALQNK-------NISVYGAGEQLRNNIYVDDAVDALILSALSNKSIGQAFLATHNDHHTLSEIAEATSSLGLGKVEYKDWPEDKKNIEVGHAVMSNQKIKDVLNWTPGYSLQEGLDLAKEFFLKYY-------- 256776332 -AGKTVLVTGGLGFIGSHMVEELVGRGAHVLCV--YRREKPEVLAELPASRIDALDYDALLAVAAAVRVDAVVNCATLDGNMQFLLEESRMLDENMRIVSNVLNVARHCRIPDVVLISSAEIYSYDGTEPMREEDDHRSPNGYRMSKMFTEVLADLYRKQFGMNIYTPRLTNVYGPRDDFDTTTNHVIPNMLMKLAAGQDI------------EIWGDGSQLRTFIHVQDVVRAILC----MVRSGTCEALNIGTSESVSIRDLAYLVAEAAGHKNRVRFDPDKPVGAARRALDLDNFHRVVDFTPR-SLKEGLLDTVQWHRK---------- 135077493 -------------------------------------------------------------------------------GVRYSLENPRSYIESNIVGTFNVMEAARRLAVDHLLMASTSSVYGANTQMPYRETDKADALTIYSATKKANEAMGHSYAHLWNLPTTMFRFFTVYGP----------WGRPDMALFKFVDAILDGRTIDIYNHGEMFRDFTYIDDLVHPERPADGAVPEGDNLSPVAPFRVVNIGNSEKVRLLDFIEAIEASLGKKAIRNYMEMQKGDVPATWADASLLRRLTGYRPKTDFHEGVNRFVAWYREY--------- 134655288 MSKMKIIVTGAAGFIGGHVSKNLTELNHEVVGFDNFSKYHLSENTFFPIEEIDILNRDLVLQRFSEVLPDCVIHLAAQGGVRASKTDPMPYITANQIGFLNVLQASESVGVRRFIYASSSSVYGEGLEAPFRENSILPPKSLYALSKLSNENMAKNLP-FAGTERIGLRFFTVYGP--------WGRPDMAVFRLLASALLEKR--------FLLTANLNVKRDFTFVADVASTIYSIIQ-SANTFEHEIFNVAGSNPYSLGQLFQILERL-------------------------------------------------------------- 140131993 ----NIVITGGAGFIGSSLIRHVLANTDSVINVDKLTYGVLSSNANYVFEKIDICNPSAVANIFDIYRPAAVMNLAAESHVDRSIDRPDEFMLTNIMGTFNLLTQSRIYYNFRFHHISTDEVYGDGKHDLFTEQTSYSPSSPYSASKAASDHLVRAWGRTYGLPIIITNCSNNYGP--------YHFPEKLIPHVILNAIHGKPLP--------IYGDGLQIRDWLYVED----HAKALIRVVTDGEGETYNIGGHNEKTNLEVVETICDLLE------------------------------------------------------------ 134595654 ------LVTGAAGFIGSRLTEKLLDSGRNVLALDNLYSAESKRQRWKNLVEFDLRDFSKLD----YYQIESVFNEAAMPGLVSNWANFAPYYECNLSALNRLVEYFKDSQIKSFVQASTSSVYGKIAVG--SEDQDLKPTSPYGVSKLAAEKLLLAYQDWFNLPVKILRYFSVYGP--------YQRPDMAYAKIIDCVKTGR--KFQIYGNGEQKRTNTYIDDIVEATILAEKL----------ADVGDVMNICGDELSLNEAIAIIEGISNLKLKVEYIEIRKGDQKDT------------------------------------------ 142047787 ----KVVVTGGAGFIGSNLVKRLIADGDEVLIIDDFSTGKEENLDDSPKINLKLEDINNLNSMFEGYDFC--FHLAAGVGVQYIMENLSDSLLTNIQGTHIVFEACKKNNIPVL-ITSTSEIYGTSQEESWDEETKIGPTTKLRWSKMIDEFLALSEFEAGNLNPIIVRLFNTIGPN--------QVSEYGMVVPKFVESALKNEDIVIHGDGNQTRSFTWVGDVI---------NYFIKLSELKNYGEIYNIGQTEEISIKDLAQLVIDTANSNSEVKFKSDKFEDPTRRTPNIDKIIKATGIKPSYDIKTMIKEIVEF------------- 302342429 ---RHVVV-GAGGFLGTNISAGFKKSNLDLLCIDACERPYSNHAGEKNWLSGTLSDKEFFVEHLKPNDI--VYHLVSTTNPSNSDLAPDKDVEDNLIGSLKLFQACSERRIKKLIFISSGGTIYGPDPVPTPEFADTSPICSYGATKLAIEKYLEIFRKQHGLDYIIFRVSNAYGP--------FQIARGQGIIAMALHRFFHDEPLEIWGDGSAV------RDYIFVDDIVSAVLMGAASSTQS--PRLYNLGSGVGHSVNEVVEALNFALGGRLETVRREGRSVDVPRSILDIERIKLHLNWRPKIDLKAGISATVNWYREFIRCSGQDH- 258647381 --KKTALITGITGQDGSFLAEFLISKGYEVHGVSSFNTGRIEHLYLDEWVRGDMTDSSSILRILQTIQPDEIYNLAAQSHVKVSFDVSEYTAETDAVGVLRLLEAIRMEKKTRLYQASTSELYGKVQEVPQRETTPFYPRSPYGVAKQYGFWIVKNYRESYGMYAVNGILFNHESERRGETFVTRKITLAVARIKQGLQDKLY------LGNMNARRDWGYAKDYVECMWLMLQHKQPEDFVIATGEYHTVREFVEVGITIDWQGEGIEEKGIERGTIEVDPKRPAEVEELLGDPTKARTELGWNPKTSFKELIRIMVE-------------- 142633935 --DKKVIVTGCSVFIGFHLTSALLDKGYEVIGIDSLNDAYDEENKNLKFLNFNLSNLDSYKELSKLSDGTALYHMAARAGVRQSFINPENYVDDNTVATTNIAKFTKSNNIEKLILASTSSVYGDSGELLMSEDEKIQPPSVYASTKLSGEILSKIMMEDTSTNLLIPRFFTVYGP--------YGRPDMSILRFIHWIIEEK--------EVLVLGDGEQMRSFTYIDDVVEALLLMMDYK----ESNTFNIGSNTTVSLNEVIKTIEKYSGKKAKIKNEERAYKDPDVVRPNLENISNELNWKPSTNIE---------------------- 113474358 -ERKRALITGITGQDGSYLAELLLEKNYEVHGISSFNTDRIEHIYQDSHHYGDLTDGTTLRRIIEAVKPLEIYNLGAQSHVRVSFDSPEYTVDSVGMGTLRLLEAIRDYQQRRFYQAGSSEMFGKVQEIPQKETTPFYPRSPYSCAKVYAYWQTINYRESYNLFACNGILFNHESPRRGETFV------TRKITRAVARILADKQKKLYLGNLDAKRDWGYAKDYVRAMWMMLQQEAPDDYVIATNETHSIKEFLEIAFTYVN--------LEWQDYVEFDPRRPTEVDLLIGDCTKAREKLHWKPSVTFTELVHLMVD-------------- 255059004 ---KKAFITGITGQDGSYLAELLLQKGYEVHGMSSFNTGRIDHIYRDPHEEGDLNDASSINRLLREIHPDEIYNLGAQSHVRVSFDVPEYTGEVDALGAVRILEGIREAGKTRFYQASSSELYGKVVETPQRETTPFYPRSPYACAKAYAFYITMNYRESYNMFACNGILFNHESPRRGETFV------TRKITRAAARIKLGLQERLYLGNLEAKRDWGFAGDYVEAMWRMLQADHPADYVVATGETHSVREFAEEVFGSLGMPLSWHGEGEQKTLIEIDPRRPAEVDLLLGDATKARRELGWEPKTTFPQLVK------------------ 142162344 -KNKTVFLSGHTGFKGGWLAHWLSDLGAYVHGYANFFTETKLENRLTTSTIADIRDLATLIIAAKKAKPDIIIHMAAQPLVRESYNTPVETFATNVLGTVNVLEAARQVGTAKAIVNITTDKCYENKEWPYRENDRLGGHDPYSASKACVEIAAAAYRNSF----LADNNIYLASVRAGNVIGGGDWANDRLIPDFLRALDAD--------ETLKIRSPYAIRPWQHVLEPLSGYLVLAERLYLEGEIFAEAWNFGPNKSVGWIVEKLCTQIPDARWESENTKQPHEAGLLKLDSSKAKARLGWQPRWNLETALRKTTEWHQAW----RNDQE 138955113 --KKYILVTGGYGFIGKAVVKIL-EKNYKVIVVDNLTTGTKLGIKKNIFFQENLNNKEFIKYLFKKYNIKIIVHLAASTSVEESYYKKKKYISNNFLITKNIIDAIKYLKLEKFIFSSTAMVYKNGTKK-FNETDSIKSSNNYALSKIKSEKYIKKKFKKLNTKYFILRFFNVIGADYKNKIGLTDKNSKHIFTNLFRSYILKKN-FIIFGNNYETADGTAIRDYINVLDIADIVHFLIKKQSLHSDVL--NCGYGKGYTVLEIIKNFK---------------------------------------------------------------- 136400669 ---KKAFITGIAGQDGSYLAEYLLSVGYEVIVRRNSTPEHNQNLKNCYTYYGDLLDTSGLQKLLTKIKPDEIYNLAAQSHVRISFDIPQFTGMTNAIGVLNLLEIYRQVCPEKFYQASSSEMFGNDEDGFQRETTPMKPVSPYGCAKVYGYNISRNYRNSYGLHIVNGILFNHESPRRGSNF-------VTNKVVKTAVEIKKGKKDKL-----ELGNMDSHRDWGHSKDYVKAMHMII----NHKKPDDFIVATGVTHSVRDMTDYVFSKLGLNHEEYVVQNRPEELKYLRGDSTKLKNTFNWKPEYSFEMLMDEMIEFWLNN--------- 289207489 -----IIVTGGAGFIGSNLVKELNRRGRDIVVVDNLTHGQKDCIIADYHDKEDFRDLIEADAHLGPV--EAVFHMGACSATTEW---DGRYIMRNNFAYTRELFHWCQDRRIPFIYASSAAVYGGN-DTFREEPAHERPLNAYGYSKLAFDQYLRRHMDALTAQVVGLRYFNVYGPR------EGYKGGMASVIHHFSNQLREGDTVRLFEGCDGYADGEQRRDFVDVRDCVNLKLWLLEHPEVNG---IYNCGTGRARTFNDMARATIDWFGRGE-IEYIPF-PDHLKGRYADLSQLRADLEF---HDIEDGVRAYLD-------------- 55377692 ---KRIVVTGGAGFIGSHLVERLVPD-NDVVVVDNEANGQSEWVHEDATYDGDLTDPGAVAEAITS-DVDLVVHLAASKLVDTDTPRRQ--FEDNSDITYNILEQMQEAGVENLVFTSSSTVYGEAPRPTPEDYAPLEPISVYGATKLAEESLVSTYAHSHDIQSWVFRFANIVGPRLRGAVIPDFIEKLTEDSS----------------TLTILGDGRQEKSYMHISECIDAMLFAVEH--ADKDHNVFNLGTRTTTSVDRIATIVADEMDIDPEYEYGGDRTGDVPRMRLSVDKL-SALGWEPEQSSDDAVRQ----------------- 153010692 LEGKKVFVAGHTGMVGSAILRRLQ--------------GTDCDIITAAHSALDLTRQGPTENFISGRKPDVIIIAAAVGGILANSQYPADFLYDNLAIGMNLIRAAHQNGVERLLWLGSSCIYPRDAAQPLTEDAPLEATNEAYAAKIAGLEYARACARQYGNHFMTAMPTNLYGPN-DNFDPNTSHVLPALIRRIHEAKVRGTDHVTLWGTGKPL------REFLHVDDLADACLHMLRFYD---GIEPMNIGTGEEISIKDLALTVACVVGYEGRFEHDLSKPDGTPRKLLDTSRMRA-LGWKPQIRLEDGLREVYDW------------- 310640687 ---KKALITGITGQDGSYLAELLLSKDYEVYGVRRRSTPNFENVAHIHWLSGDMTDLASLIEAVRQSNPDEVYNLAAQSFVAASWPQPLATGQITALSVTNMLEAVRIAKPERFYQASSSEMFGKVLETPQTEMTPFYPRSPYGVAKVYGHWITVNYRESFDMFACSGILFNHESPRRGLEFVTRKVSDAVARIKLGLQQELR------------MGNLDSLRDWGFAGDYVKAMWLMLQ--QDRPDDYVISTGEMHSVRELLQIAFSHVGLNYEDYVVIDPQRPAEVDLLLGDCAKAKEKLGWRLEVGFEQLVRMMVD-------------- 139951483 -----------------------------------------------------ISDKYQLDDFKHNNNIKSIFHLAGLAGVRRSLEIPEKYYDANLRGTLNILNLASYQDVDSLVFSSTSSVYGGNKNRS-KESDKLNPISPYANSKLLAEKICELYSLTNNLNVSILRYFTVYG--------EAGRPDMSILRFIDNIF--KENPITIYGDG------NQERDFTYIEDVCEATFKSSDLI----DFNILNIGNSEPVKLSKVVNIIEKKLNKKAKIINEPKNNLDVFKTHADNSRAMNLLKWDPKFNIEEGIASTIDWYINNHN------- 140035225 --------------------------------------------------EGDICDENLCETL--CNQVDYILHQGALGSVPRSIDEPLLYDKNNITGTNTLFNAARNTGVKKIVYASSSSVYGDTKTLPKHEGMQLNPKSPYALSKATNEAFSTLFSQLYGLPTVGLRYFNVFGA------KQNPKSQYAAVIPSFVTACLENKPIPIHGDGKQTRDFT----YIENVINANLNACQSDSSTN---GKAYNVGCGEQISILELATMIKEITNSHSDIQHLPERAGDIKHTRASIDELRKDIDSTAPISLQDGMKHTIEWYK----------- 85060171 -----IVVTGGAGFIGSNIVKALNQIGYDVLVVDNLKDG----TKYANLVDLNISDYMDKEDFIAFGDIDAVFHEGACSSTTE--WDGKYMMDNNYQYSKELLHYCMERTIP-FLYASSAATYGGRTEHFIEDRQYEQPLNVYGYSKFLFDQYVRALLPQAESQICGFRYFNVYGPR------EGHKGGMASVAFHLNNQINAGENPKLFAGSEGFK-----RDFIYVGDVAAVNLWFWQNNV----SGIFNCGTGRAESFQAVSDAVLDYHKKGQYIPFPEKLKGRQAYTQADLTQLRAA-GYQPFKTVAEGVAEYLRW------------- 126644944 -------------MVGSAVWRALDGKGYT-------------NLIGKTSGELDLRNQKAVAEFFEREKPEVVIDAAARVGGILANNNYPYFLMENMQIQNNLIDSSLKADAQKFIFLGSSCIYPKMAPQPLKEEGSLEPTNEWYAAKITGVKACESIRKQFGKDYISLMPTNLYGPYDNFDLNTSHVLPAMIRKFHQSKMLSGVEAGEKDLPVTLWGSGTPMREFLFVEDLADAVVFALENKFQDN---LYNIGTGVDLTIKELAELIQKTVGHTGEIIWDSSKPDGTHRKLMDVSKMESA-GWKAKVGLEEGIKRTYEWFLENQETFKQ--- 284030676 ---KKAFVTGITGQDGSYLAELLLAKGYEVHGLDHLYTDPHEPDKRLFLHYGDLTDGSRLVTLLAAIQPEEVYHLAAQSHVRVSFDEPEYTGDTTGMGTTRLLEAIRMIGLNCRFYQASSSEMFGASPPPQNELTPFYPRSPYGAAKVYGYWMTRNYREAYDLFAVNGILFNHESPRRGETFV------TRKITRAVARIKLGLEKRVYLGNLDARRDWGYAPEYVEGMWRMLQHHQPDDYVVATGTSYSVRDFVSLAFQH--------AGLDWEEHVDYDQRRPTEVDSLVGDASKAQVELGWKAEVHVPDLVRIMVD-------------- 143031284 MLNKKALITGISGQDGAYLSNLLLSKGYEVFGIERRTASNENYRLKFFNYRIDLYEFNQVSKLIIDEQFDEIYNLAAQSFVGSSWDNPISTSNTNSLAVTNILDSINMFSPKKFYQASTSEMFGKIVQKKQSEDTPFYPRSPYGVSKLYAHWMTINYRESYNLFCCSGILFNHESPLRGSEFVTKKIVESLTKQSVSN------EEVLKLGNIDAKRDWGFAGDYVEAMHLMLQQEKPDDFVIGTGVTNSVRKFCELNIDFSWEGKGLEEKCDNKVLIEISPDRPAEVDVLLADANKAKKILNWKPKHNLENLVEIMVDFEL----------- 142556202 -KQKYVVITGGLGYIGSHTVIELLENNYKIIIIDNLSNSSKKILKEIVFFKKDLRDKS-IFNIFKKYKIDCVIHFAGLKSINESEKKFKLYFNNNVMGSLNLFQAMDKYDCRKIIFSSSANVYDQYTKAPFKESNPIRPSSKYGLTKVMIEKFLRMYSERYNWSVVILRYFNPIGAHCSGLLKEN---------------------------------------------------------------------------------------------------------------------------------------------------- 142531868 MKKNKVFITGAAGFLGSHLAEKLSEMGDEVVGVDNMLGGYADNVPKNKFHKIDCCDLVKVKELMKGADI--VYHCAATAHEGLSVFSPYEIGKNNYLASVSVFSAAMSNKVKRIIFCSSMARYGS-QKYPFKEDMKASPADPYAISKVAAEQTLVNLCELNKIEWVIAVPHNIIGP------KQKYDDPFRNVVSIMLNRMLQNKAPIIYGDGEQKRCFSYIDDCLSCLIPMRDQANLNKQIINIGPDEEF---------------------------------------------------------------------------------- 138147788 ----KILVTGSSGFIGFHFSKLLLEKGHQVHGIDSMNSYYDVKLKKARFTKINLQNDRKIKNIFKKFRPKIVVHLAAQAGVRYSIHEPRVYLSSNIDGTFNVIEAAHKIKVKHLIMASSSSVYGGNKKLPFKEIDKTESLSIYAATKKANESMAHSYSNIWKIPITMLRFFTVYGP--------WGRPDMALFKFTKGIINGK--------SIDVYNKGKMFRDFTYIDDVV----------------------------------------------------------------------------------------------------- 170732208 ----HLVVTGANGFVGRAVCRRALDVGHTVTALVRRPGGCIDGVREWVH---DTADFAGLDEAWTDLTADCVIHLAARVHRDESPDPDAAFDATNVAGTLRLADAARHHGVRRIVFASSIKAVGEGDGGPLSETFEPHPQDAYGRSKLRAERQLAQFGASVGLDVVVVRPPLVYGPAVRANFLRMMDAVARGMPLPLGAVSARR--SIIYVDNLADALLRCAIDPRAAGEC--FHVADDDAPTVAGLLRLVGDALDKPARLIAVPPALLRVLGKLTGRSAAIDRLTG--SLELDTGRIRRVLDWQPPYTTRQGLEATAAWYR----------- 257469345 --KKSALITGITGQDGSYLAELLLEKGYEVYGIMRRDYGNVEHIKDKKFIYADMTDLISLINAMNISQADEVYNLAAQSFVATSWEQPLATAEIDAVGVTNMLEAIRNTKPCRFYQASTSEMFGLVQEIPQRETTPFYPRSPYGVAKLYGHWITKNYRESYDMYACSGILFNHESERRGKEFVTRKITDAAARIKQGIQDHLE------LGNMDSKRDWGHSKDYVMAMWLMLQQENADDYVIATNETRTVREFVEKGIDILWQGEGINEVGKTVVKINPKFFRPAEVDILLGNPEKAEKELNWKREISFEQLVERMVK-------------- 143709253 -----------------------LSLGHNVVGIDNMIGGYEDNIPKKKFYNLDCSDFSKIKEIMK--NIDVVYHCAATAHEGLSVFSPYEITKNNYLASVSIFSAAINEKVKRIIFCSSMARYGD-QQTPFTEDMKPKPVDPYGISKVAAEDVLKNLCELNDVEWVIAVPHNIIGP------KQIYTDPYRNVVSIFLNRMLQGKSPIVYGDGEQKRCFSYIDDCLSCLIPMLDQENLNKQIINIGPDEEF-------VTINKVVEICSNITGSNLEAIYKKDRPREVKHAICSADKARKLLNYQTKVDLIEGVKKTYEYIKK---------- 221201103 ----HLVVTGANGFVGRAVCRRALEAGHTVTALVRRPGGTVDGVREWVHPAADF-DGLD-DEWPTTLAADCVVHLAARVHRDESPDPDAAFEATNVAGTLRLAKAARQHGVRRIVYASSIKAMGEGDGTPLSEAANPEPQDAYGRSKLRAERQLAQFGAANALDVVIVRPPLVYGPGVRANFLRMMDAVARGMPLPLGSIAARR--SIVYVDNLADALLHCAVDPRAAGEC--FHVADDDAPSVTDLLRLVGDTLGKPARLIRVPSAVLRALGTLTGRQAAIDRLTG--SLQLDTGRIKRVLGWHPPYTTRQGLEATAAWYR----------- 134847129 -----------------------------------------------------------------------------------------------------------------LIFSSSATVYGDNPQQPLTEKSPISPSSVYGKTKVASEDLITELSLSKGIKSICLRYFNPIGSHKDHIIVEDYTNPNNLMPRLIQTVKNNTNSINIFGTDYSTKDGTGERDYIHIQDLVDGHMQAMNKIRDLENNNFYNLGTGNSISVLELIDTFNSVNNLAVKKNFSERRRGDVEVCFADPAKAYKELNWKAKLDLTEMCRDSWA-------------- 135827245 -QQKTALVTGSAGFIGFHVCKRLLDEGWRIVGIDCFSDYYDVSVKHDREVHEKIETKNFLMDLFSEERPSVVIHLAAQAGVRYSIENPRSYLESNILGLFELLEAARAYSPEHMLFASTSSVYGANMQMPYREDKADHQISFYAATKKSNEVMAHSYAHLFNLPITMFRFFTVYGP--------WGRPDMAYSIFSKAIMNGEKISVYNEGR--------MKRDFTYIDDLVSSIYRLIDA-------------------------------------------------------------------------------------------- 49259202 ------LITGITGQDGSYLAEFLLEKGYEVHGIVRFNTGRIEHLYKNPQAHGDLTDSTCLVKIINEVKPTEIYNLGAQSHVKISFDLAEYTADVDGVGTLRLLDAVKTCNSVKFYQASTSELYGKVQEIPQKETTPFYPRSPYGAAKLYAYWIVVNFREAYNLFAVNGILFNHESPRRGANFV------TRKISRSVAKIYLGQLECFSLGNLDAKRDWGHAKDYVEAMWLMLQNDEPEDFVIATGEVHSVREFVEKSFKNENEVGRCKETGKVHVTVDLKYYRPTEVDFLQGDCTKAKQKLNWKPRVAFDELVREMVH-------------- 136346146 ----KILLTGGSGFLGSSLARRLLKEGHSLVILDDCSRGKEDILDRVVFIEGDIRHEQTVIEAARGCN--AIFHLAFVNGTRFFYEKPELVLDVGVKGALNTIRASIENNVETYVLASSSEVYHQPVQIPTPETDVLNPRFSYSGGKLISELLTINMMRKLPIRDMIFRPHNVFGPDMGFEHVIPEIMEKLKIATLGWDKKDVKISIQGGGLE--------TRAFCFVEDAVDQIMTI---YRKGKKGNIYHVGFDEEITIKKLIDYIAQILDLNVIVKPSELKSGSTTRRSPDISKIQKI-GYSKMNNFYKGLKEAVFWYKR---------- 83309238 FAGKTVLLTGGRGFLGAHLNQHILKKPVKLVAADNLITAGKEGANVAEYPHTEFIQHDVIQPLKWKGSLDYVIHAAGIASPFYYRAHPLATLEVAITGTRRMLELAQEHNA-RFTFFSSSEIYGDPDHVPTPESSCQGPRACYDESKRVGETLCYIFHGEHGTKTNTIRPFNVFGPGM-------QETDYRVLPNFANRIKGGHP-------LNVYGSGNQTRTFCYITDA---MVGFLLVILRGVPGEAYNIGNPKEISMVDLVNRISEVIGKPVAHNVDSYPADEPNRRCPDIRKAKLQLKFEPSVDLNEGLRRFLTW------------- 137980055 -KEQTWLITGVAGFIGSNLLEELLKLNQRVVGLDNFSTGSAEQWENFSFLEGDISDISICKK--ATTKVDHILHQAALGSVPRSIEDPLSTNISNVNGFLNMLHSAKEEGVKSFTYAASSSTYGDHPDLPKKEDIIGSPLSPYAVTKLVNELYAKVFATTYGFKSIGLRYFNVFGQRQD------PEGAYAAVIPKWISSMLAGKDIVINGDGETT------RDFCY-IDNVVQMNLLAACAEKRAKDQIYNVAVGQRTSLNQLFDIIKDELKVSALKIYGDFRRGDVRHSQASISKAK---------------------------------- 142116837 ---KAALVCGAGGFIGSHMVKRLKKEGYWVRGAD-VKYPDFSISEADEFMVGDLTDQIFAEEVTEIYQFAADMGGAGYIDHDADVMNNSATINLNILRNVKENHIEVGRPPKIFFSSSACMYPEHNQLNPDTPDCREEPDSEYGWEKLFSERLYLAYSRNYNIPVRIARYHNIYGPEGTWNGGKEKAPAAICRKVAELPNEG--------GTIEVWGDGLQTRSFL----LVDECVEATYRLVQSDFSGPVNIGSEEMVSINELVDIAAKVSGKKVNKKHIKGPLG-VRGRNSNNDLIREKLNWDYTLTLEEGIRKTYNW------------- 269986648 ----KAIVTGGAGFIGSHIVERLLQEGYSVSVIDNLSTGDKKNLKNIENKIKFL--KGNSSEISKLGKSDIVFHTGIYSSTPIYRKDNTL---VGKAISEFIDVLNYCIKNESKLVFASSSSIYNGYPPPHNEEVIPKVKDFYTEARYPMERLADLFRQMYGLEYCGLRYFSVYGDREES------------KKTFANMVSQIIWKGMLDKEVMIYGKGTQRRDLVNISDVVSANLI----ASKSKENGIFNVGTGISYSFNEMIEKVGGIMGKRIKKNIENPLKNYVDIVQADTKKSKEKLGFFTKINADEGIKAALDYYK----------- 260752345 ----TALITGITGQDGAYLSQLLLNKGYKVFGLLRRSASWLNIANDVEMVDGNLTDLSSLIRILNTVQPDEIYNLGAQSFVAASWQQPLLTGQVTGMGAANLLEATRIVCPSRYYQASSSEMFGLIQDPIQSEKTPFYPRSPYAVAKLYAHWMTVNYRESFGLHTSSGILFNHESP-----LRGIEFVTRKITDGVARIKLGLAKELQL-GNLEATRDWGHARDYVQAMWLMLQQEKPDDYVIATGRTTSIRDFC------KIAFSYVGLNYEDHVKVSSAFFRPAEVEVLLGDATKAKSKLGWIANTSLEEMVKEMVD-------------- 136153775 ----------------------------------------------------------------------VILNLAALIAIPYSYHSPDTYIDTNIKGTLNILQAARRHGVKRVIQTSTSEVYGTAQYIPIDEVHPLHPQSPYAATKVGADQLALSFHASFDVPVGILRPFNTYGPRQSA----------RAVIPTIISQLANKSKVKL-------GSLSPTRDFSFVQDTANGFLAAAQSDAIIGQT--INLGSGFEVSIEQTAETIAKLMNTKLELVDDEQRVSEVERLHASIEKARTLLGWQPELGFETGLKKTIEWFS-NPKNLAR--- 136561411 ----RVVVTGGAGFIGSAFINHLLDNECDVLCVDKLTYGRKENIKHNVTFQKDICDVVSEE----LGDFDYMVHFAAESHVDNSIKNGLPFIRTNVEGTFNLLEISRKNKNKKFIHISTDEVYGDMEENPSNENYKIKGSSYYSASKAASDLLVEACGRTYGLPYLITRTCNNFG---------EHQFEEKFLPTI-------ARSISLGNEIPVYGDGNQVREWMYVYDNVEMIIKLM--LDDKVKNTVYNIGTGFRVKNIDIVNKITEILNKEVKIKYVEDRLGHDRVYAP---------------------------------------- 143344162 ---------------------------------------------------VDLLDRKKTFEFIGDLKPDVVIDAAAIVGIGANNVFPVDFLSKNLQIQNNLMDASHAAKVARFVFLGSSCIYPRECPQPIKEEYLLKTNSAYAIAKIAGIELIRSYRKQFGHRWISLMPTNMYGPKDNFELENSHVLPALINRFVS-ATENKDRSVTLWGTG------GPMREFLHSRDLAQAVLLSLDKYDEDS---HLNVGTGEDLTIKELAAKIAKESGFEGEICWDSSKPDGTPRKVLDITRLKS-LGWKPKITLEEGIRETIKWFKGN--------- 135311353 --KKKALIFGVTGQDGSYLAEFLLKKKYNVHAIKRLNTNRIDHIYENNKHYGDLTDPISVNRLINKIRPDEIYNLAAQSHVAVSFVIPHYTANVDAIGCLNILESIKSTNKTKFYQAGTSEMFGKAHVIPQNEKTPFYPRSPYGVSKVFAHWITINYRESYNIFACNGILFNHESSRRG------------ETFVTRKITIGLCKIKLGLQKKLLLGNLNAKRDWGHARDFVEAQWLMLQ----QNKPSDYVIATGKQYSVKKFVSMVANRLGIKIYWKGKGFRPAEVDTLLGDSSKARKMLKWKPKVSIKELVKEMVE-------------- 254421264 ---KRALITGLTGQDGSYLAELLLDKGYEVYGMVRRSSSSSLERISHFADNVDLLDQFSLMDAIDQAQPDEVYNLASQSYVPLSWSQPALTAEYTAIGVSRLLEAIRREKPDRLYQASSSEVFGQPDESPQTERTAFRPRNPYGVAKGYAHWMTINYRQKYDLYACC------------GITYTHESPRRGTEFVFRKITHGAAMIKLGLSKELKLGNLDARRDWCHAKDAVAAMWLMLQ--QETPDDYIIASGQTHSVKDLVDCAFSYVGLNWKDYVSVDPYRPDEPVQLVGSIDAIRQALRWQPQYSFAQLVESMVE-------------- 135954574 ----------------------------------------------------DISNTKKVDKYFK--DVSYVFHLAGLAEIVPSIKNPKKYFINNVLGTLNILEAAKKSKVKKFIYAASSSCYGSPKRIPTSETDKIDTQHPYAFTKFLGEELVIKYAKFFKMPNISCRFFNVYGPRLNT------TGQYGAVFSNFLNQKKKKRPLTIVGN------GNQTRDFIHVDDLTNAFIKLARSNL---KNKIYNLGSGKETSINKIADII------GGKKIFIPKRPGDPNRSCANILKIKKDIKWKPRISIIEGIDR----------------- 138084530 -ENNKVLITGGLGFIGAHFVHHYVQPKAHIIVFDKNTYADLANTARFTYIEGDISNQETVNRVFEEHQPSHVIHFAAESHVDNSIAGPLPFLKTNVEGTFYLLEAARKTWMQRFYHISTDEVFGLEKEGLFNEQSNYAPNSPYSASKAAADHWVRSYFHTYGLPTLLSHCSNNYGP--------YQHNEKLIPTIIRTALSGEPIPLYGDGQ--------NVRDWLYVED----HCSAIERVLAEGSPGQVFCIGGNERSNLDICTAIVQQLDQRQPKE------------------------------------------------------ 266619322 ---KKALIIGAAGFVGDYLIDHIQKNCIWSITVTKLP---QENIVKKGIEILDLNKPEEIITILDRVQPDYIFHLAAQSSVALSWKKPGLTVDINIKGTLNLLDAVREQKRPRLLLIGSGEEYGLEEEIPITEETLTRPGNIYAATKACQNMIGKIYCDAYHMDIMSVRAFNHIGPNQAPLFV-----------VSDFCKQASEIEKGIHEPVIRVGNLTARRDFTDVRDVVRAYVMLMENGLA---GETYNVGSGTAVSIKSILDTILHMAHCEIEVSVDPERPVDVPIIEADISKLQAVTGWKPEIPLSRTLAETLDYWRR---------- 255937593 -----IVVTGGADFVGSNIVKELNLRGVTVVVVDDMTDGSKFRNLVNCKV-ADYIDATAFREAIRPHRPRVIFHYGSSSSITE--TNGKKMLDANFTYSKELFHWCKDKGV-RFIYASSAAVYGN--NTAFAEGDFQEPLNVYGYSKMLFDQYVVKNTDIRSPQVAGLRLFNVYGPG------EQHRDSVPSTVYQFYEKRKSFKAIELFAEYAGVEAGQQKRDFVHVQDVARLNCWFLDHPEISG---IYNVGTGVASSFHEVATEVASHFGTDGYIKFIPFPAGKYQSYCADISKLRRVLRFR---GIKEGIKDYMEW-LDSPEGLA---- 135697590 -----VLVTGGLGYIGSHTCVLLLEKGYDIVIVDDLSNSNEKVLKNPAFEKIDLKERESVTKLFKNYNFDGIIHFAAHKSVNESIHYPKKYFQNNIGSLENINEIKLLKNPVNFIFSSSCTVYGQADSMPINETFKLQAESPYGQSKRKCEEILESLSKSHCFKNITLRYFNPIGAHPTSIIGE----------------------------------------------------------------------------------------------------------------------------------------------------- 284008835 -----IIVTGGAGFIGSNIVKALNDIGRSDIVVDNLKNG----AKFVNLVDLDIADYIDKEDFIASIDVDAIFHEGACSSTTEW---NGQYMMNNNYEYSKELLHYCIERDIAFLYASSAATYGGRSEHFIEERQYEKPLNVYGYSKFLFDQYVRKVLPQITSQVCGFRYFNVYGPR------EGHKGNMASVAFHLNSQINQGQKPKLFA-----GSEKFKRDFIHVSDVAAVNLWCWQNKI----SGIFNCGTGKADSFQAVADAVIAFHQEKNYIAFPDKLKGCQAFTEADLNKLRAA-GYKPFKTVAEGVADYMQW------------- 270158007 ----RILVTGAAGFIGSHLVEYHLGKGDWVCGIDDLSSGVESNIKKFYFIKDNIITWPEIEKFASWA--DRIYHMAAIVGVYKVIQEPEKVLSVNIAGCENLLKACKSNWKAQVLLASSAEVYGPHNKLPLAEEDNIKNRWNYAISKLANEAMGLAYYQKFHIPVVIARLFNTIGPRQTGRY--------GMVVPNFVNQALENQPITVFGSGEQTRSFCDVRDTVVMLD--------LLMNSKKAFGEIINVGNNQELSINELAKLVKNCVNPEGDIQHISYLKADIQRRRPDLNKLFRYIDFKHKFSLEATI------------------- 290996752 -DSKVALITGVTGQDGSYLAELLLEKGYTVYGMSSFNTGRVEHLYKDIHIYGDLTDTGNLISIISKIRPDEIYNLGAQSHVKVSFEMPEYTANVDGIGTLRILEAIRACKKTRFYQASTSELYGLVQEIPQKETTPFYPRSPYACAKLYSYWIVINYREAYNMFALNGILFNHESIRRGPTF------------VTRKITMAVARIHLGLQDCLYLGNLDAERDWGHAKDYVEAMWLMLQ----QEKPADYCVATGEKHKVREFVEKSFAVLGKKVEWKGTPFRPTEVELLIGDPTKAETELGWKRNVSFDELVRGMVE-------------- 168030878 ----RISITGAGGFIASHIARRLKSEGHYIIASDWKKNEH--MSEDAFCDEFHLVDLRVMDNCLKVTQGAHVFNLAAMGGMGFIQSNHAVIMYNNTMISFNMLEAARINGVSRFFYASSACIYPEFKQLETDVSSLKEPQDAYGLEKLATEELCKHYTKDFGMECRIGRFHNIYGP-----YGTWKGGREKAPAAFCRKALTATEYFEMWGDGKQTRSFTFIDECVEG----------VLRLTKSDFQEPVNIGSDEMVSMNEMAEIVLSFDNKKLPIKHIPGPEG-VRGRNSDNTLIKEKLGWAPSMRLMDGLAITYKW------------- 283823178 -KDAKILVAGAGGFIGGHLVAKLRSLGYSDIAVDILTYWYQRSDEVDNC----VLDLNRRDACFDAVDGAHVYNLAAMGGMGFIENNKALCMLSVLINTHLLEAAKHRKLPGRFFYSSSACVYNGAKQTALKEEDAYDAEDGYGWEKLFSERMCRHFREDFGVVTRVARFHNVYGPHGTYAGGREKAPAAICRKVIDAQMTGKPF-------IEIWGDGEQTRSFMYITDCIDGIFDI----TNSGIEYPINLGSSELVSINQLVDIVESIASVRLDRRYNLDAPKGVRGRNSDNTLIRKELNWEPSVKLRDGMEKTYRW------------- 140646939 IKMKKAFITGIGGQDGSYLAEYLVELGYKVYGIVRRNSTPENQDIRLSHLEGDLLDQGGIEKLLDKIQPNEIYNIAAQSHVRISFDIPQFTVQTNAIGVLNMLEAYRRSCPNAKFYQASSSEMFVEDDNFQRETTIMNPVSPYGCSKVFGYNIVRNYRRAYNLHATNGILFNHESPRRGSNFVTNKVAKAAAKIKLGLQ------------DKLELGNMDSYRDWGHSYDYVRAMHLMMQHDTPGDWVVSTMETHSVREMCDVVFSHLGLNYKDYVVQNPKYLRPEELPYLKGDSTKIREELGWRPTY------------------------- 163757906 ---KVALITGVTGQDGAYLSEFLLSKGYQVHGIDHLFDGEYGRSGQFVLHHGDMTDSSSLTHILQQTKPDEVYNLAAQSHVAVSFEEPEYTANSDALGTLRLLEAIRIADKSKFYQASTSELYGLVQETPQTERTPFYPRSPYGVAKLYAYWITVNYREAYGLYACNGILFNHESP-----LRGETFVTRKITRALARIKLGQQDVLKL-------GNLDALRDWGHARDYVEMQWLMLQ----QDEPEDFVIATGVQYSVRDFVLAAAQALGMKVIVSVDPRRPTEVETLLGDASKAKQKLGWTPLTSFPDLVAEMAE-------------- 33861759 --KKKVIITGHTGFKGSWLSYWLLQMGANIISLEPITSPNLFEELKINHHVTDITNQSLLEKLISDIQPDIIFHMAAQPLVIESYLEPLKTWETNVMGTFNLLNSFIKNKCVCICITTDKVYRNNDRENYFKETDPLGGHDPYSSSKAAAEIAIESFRSFCGNSNFQKNNLLIASVRSGNVIGGGDWSDNRIIPDLIRSIISEK--------IITIRNPDSVRPWQHVLDPLSGYEKLFENNINLASSFNFGPNLDSNKKVLDLVHKAEEFWPVSWKIIESKQDFHEARFLGLNTDKAKDLINWEPRWDFDESVKRTFLWYKNYY-------- 307561040 ----RVLILGVNGFIGNHLTERLLEDHYEVYGLDIGSDAISRFLNHPHFHEGDISIHSEWIE-YHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYR-KRIIFPSTSEVYGMCNDKYFDEDHVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGG--------KQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNENEASIEELGEMLLASFEKHPLRHHFPPFAGDVEHRKPSIRNARRCLDWEPKIDMQETIDETLDFFLR---------- 142910015 -DGKTAIITGVTGQDGSYLAELLLSKGYQVYGLVRRTSNHLLKNERFHLVNGDLTDQSSIDNAVNEIQPDEFYNLGAQSFVPESWRSPTMTADVTGLGALRCLEAIKVKPDCRFYQAGSSEQFGEVREIPQNELTPFYPRSPYGCAKVFAYEITRNYRESYGLYACTGILFNHESPRRGLEFV------TRKVTMTVARIASGLDEFLSIGNVKAKRDWGFAGDYVEMQWRMLQQEEPEDFVVATGETHSVQDMINMAFWSGEGVDTIATDKEGVVRVKTNPKRPAEVDLLVGDYTLASQKLGWKPSTSFEELVNMMVD-------------- 86356409 ------MVTGAYGSIGRHVASALARHGWSVHGIGHGEWSRDQMSQWGAWHQQDVS-LDGLRAM--EVRPALIVHCAGSGSVGASVAEYTDFLRTVVPTVAVLEFLRVDCPSAALVYPSSAAVYGIADKFPMSEGSSLRPTSPYGVHKRSAEELIREYARLFGLNASIVRLFSIYGEGFRKQLLWDAC------------------RRIIANEYEFFGTGNETRDWLHVSDAADLMIHAADH--ASPRCPVVNGGGGVAITVRDVVAELFALLSRRNAAEFCGTRPGDPSDYQADIRQALA-WGWQPRISWKEGLALYVSWFQR---------- 50954296 -------VIGANGFLGSHLVDALVAEGLSVTAFDRFSALPSFDAGSARLITGDFLNRADLEQAVTGQR--YVFHFLSTTTPATAAGDPTLDIRTNVAQTVELLESCAAAGVERVFYASTGAIYGDQGKPEYSESDRALPVSPYGIGKLTIEHYLEFFRLTHGLDYTILRISNPYGTRQ-------HLNRKQGLIPIALRRIVRGEPVLQLGD------GGMVRDYIYVEDLVRMI---LPLVRDVGEHRLYNIGSGTGCSVAQILDVLRDVTEVDFAIDVRPAPATFVDRVVLDTSRYVHEFG---ETGLREGVESTYE-------------- 304312289 -AGKRVLVTGHTGFKGSWLSLWLQMLGAQVFALDPDTTPCHWNLLGIEDVRGDLRDADALRDAILKFQPELVFHLAAQPLVRYSYRHPVETFATNITGLVNLLETCRICPSIRLVNATTDKVYAEPQGHAYRESDPLGGHDPYSTSKACAEMISDCYRKSF----FSAANLRIATARAGNVIGGGDWADDRLVPDLIRAATN--------SQPLQIRNPGAIRPWQHVLEPLWDHDHYAAAWNFGPGRISEITVQTLGEQLKRYWPALHIETESAAAHTSIAQHPHEAKVLRLDSGAAQQQLGWQPIWNITETLAHTAHWYQAFYE------- 136649009 ---KNIIITGGSGFIGTNLILFLLNKKFNILNLDKLSLSSNKYLLKKKIIKIDLTNASKINKILKKFKPNYLINLASETHVDSSIERPKLFLESNVIGTLNLLIACVKYNKIKFIHIGTDEVYGFNEKKKFTENNKMDPRNPYSASKTSGINFVKSFYNTYDLPTLVVNPSNNFGC--------FQYPEKLIPKTILSILQNQKIQ--------IYGKGRNIRDWVHVNDTANAIYTLM---LKGETGETYNISSNNSLSNLELVKKISNILNRKLNIDYVKDRPGHDEKYLSSNKKLIKL-------------------------------- 301321251 ----NYLLIGGAGYIGSHVAEIINKDNNKVIIYDNLSSGLNDFIEQKTFIQGDILDFNKLNEVFSSNKIDVVIYLAGLIKVGESVQKPLDYYQTNILGLINTLKIMQAHNVNYFVFSSSAAVYGNNHNGYFYEDDPKEPCSPYGRTKYFGEEIIKDFIANPNFHYTFLRYFNVAGASKSKRI------------------------------------------------------------------------------------------------------------------------------------------------------- 140866524 -----VLVAGAGGFIGGHLAKDLSEQNFEIICADIKPPQYWFQLNEKKNFTLDLKEYESCLKV--TENVDYVYNMACNMGGMGFIENNKAECMLSVLINTNLLRACLKNKVKKYFFSSSACVYNSSKQSKVKETDAYDPEDGYGWEKLFSERMCRHFYEDFNLETRVVRYHNVYGPLGTYSGGREKAPAALCRKII-------EAKMKNLNEIEVWGDGQQTRSFMFIDDCIEG----TKKIFNSKKFDIYNLGSDEQVSINQMIDIIEQIADYKIKKKYLLDKPKGVRGRSSDNNKIIADFNWSPGTGLKKGLEKTYKW------------- 142947500 -------------------------------------------------------------------------------------------------------------------FFFTASVYGNPKKLNVSEEDDLNPLNPYAETKLKLENYLINKSKSDSIRYIILRYFNVAGADEKLRSGLISKYSTHLIKIASEVAVNKRDEMIINGDDYDTKDGTAVRDYIHVSDLADIHLASAEYLNEHNSSNIFNCGYGKGFSVKEVIETFNKILDRKIKFKIGPRRSGDSKHVVANPNKFMKTLSWKPKYDLKYILKTAYEWEKK---------- 78499703 ---RKILVTGITGQDGSYLTEFLLGKGYEVHGLIRRSSNYNTQRINHIYVDADLSDASSLRRWLDVIKPDEVYNLAAQSHVAVSFEIPDYTADVVATGALRLLEAVRSHIRNIKYYQAGSSEMFGSTPPPQSETTPFHPRSPYAASKCAAHWYTVNYREAYGLYACNGILFNHESPRRGENFV------------TRKITRALGRIKVGLQTKLFLGNIQASRDWGFAGDYVEAMWLMLQQEKPDDYVVATEESHTVEEFLEVSFGYVGLNWRDHVEIDKRYFRPTEVDNLKGDATKAKQVLKWTPKVGFEQLVKMMVD-------------- 167763677 -KNAKIYIAGHRGLVGSAIWKNLQEKGYTNLV-------------GRTHKELDLLDGVAVRRFFDEEQPEYVFLAAAFVGIMANSIYRADFIYKNLQIQQNVIGESFRHNVKKLLFLGSTCIYPRDAEQPMKEDPLEYTNEPYAIAKIAGLKMCESFNLQYGTNYIAVMPTNLYGPNDNFDLERSHVLPAMIRKIHLAHCLQQGDWDAVRRDMNLWGTGTPLREFLWSEEMADASVFVMEHTCNPGDNCHINIGTGKEITIRRLAELIVNVVGYRGKLTFDTSKPDGTMRKLTDPSKLHA-LGWHHKIDIEEGVERMYRWYLDN--------- 90418148 --TKRALITGITGQDGAYLSQLLLEKGYEVYGLVRRSSTADVNDVRLKWLGVDLLDISGIIRVMRDVVPDEVYNLGAQSFVKSSWQQPILTGQVTGLGVTNMLEAMRLERPERFYQASSSEMYGLIQEPMQIETTPFYPRSPYAVAKLYGHWLTVNYRESYGMHAS------------SGILFNHESPLRGIEFVTRKVTDAVARIKLGMASELRLGNIDAKRDWGHSKDYVRAMWLMLQ--QDVADDYVIATGRTTTVRDMCRIAFEHAGLSIDDHLIIDPARPAEVDVLLGNPAKAKAAFGWEAEISLEDMIIEMVE-------------- 91789840 FAGKKTWITGHRGMLGSALVRSFAKE--------------DANILLTSRQELDLSDQSAVFNWVDENRPELIFHVAKVGGIHANSTLPADFLYSNLMIQSNVINAAHLFGAKKLVFVASNCTYPTKTAQPIPEEAMTGPLDENIRSKIAGIEMCRAYRKQYGSNFISVIPPNLYGPGDNYHPQHSHVVAGILRRTHEAKLAGK-------SEFVVWGDGTPRRELLHVDDLADAMKTLMAAPVTHD---LYNIGCGHDLSIAELATLIAEVVDFKGSIVYDKSKPNGTMRKLLDSSRIHS-LGWRPKIDEKAGLKSAYRDFL----------- 134602425 ------------------------------------------------------------------------------------------YYENNVLASMNLAKFAVEKKIKKFVYSSSAAVYGIPKEIPIKENHPTKPINNYGKNKLEVENLLKDLSMEFPLDVVCLRYFNAAGADDDGDLGEEHNPETHLIP-NVINSALNSSEFTVNGDTYNTDDGTCIRDFVHVNDLASAHLLSLNFLDLNKGFHVFNLGSEKGFSIMEVINECQELLQKKVKFRVGAKRNGDPDILIADNKKSLNKLNWKEKNSLKAIISSAIKYHKN---------- 143358268 -KDKRILVTGAGGFIGGWLTRDLLNMEPKSIRAVDIKSINTWYQQFNDVENLDLRDSYSCKQVMK--DVDVVFNLAADMGGMGFIENNKAQCMLSVLINSNLLYQGLESSVSKYFFASSACVYNQDIQRHLKEDDAYDPEDGYGWEKLFSERMCRHFSEDFGLTTRVARFHNVYGPHGTYKGGREKAPAAICRKVAEAVINNS-------NEIEIWGDGTQIRSYMFIDDCLEGIHRIM----NGNSSAPVNLGSSESVTVNELVEIVSSIARVTLRRKYDLSAPKGVPGRNSDNSLMRELYNWEPGVPLRDGLEKTYSW------------- 88855623 ---KRAFITGITGQDGLYLAELLLSKGYKVFGLMRGQNNPKRELLEQLIPDVDLTDLSSLMRAMSVAKPDEFYNLGAISFVAYSWENAHLTSEVTGMGVLNALEAVRLHQQVRFYQASSSEMFGKVQTVPQNEDTLLWPRSPYGVAKVYGHYMTINYRESYGMHASSGVLFNHESPRRGPEFVTR-----KVSRAVARISLGLQDTITL-GNLDAQRDWGFAGDYVEAMWLMLQQDEPDDYVISTGETQSI-------RVLLDHAFAAVGIDDWSSYVELDERRPAEVDLLVGDSSKALERLGWKPKVKFPELIAMMVE-------------- 170781973 ---KKAFITGITGQDGSYLAELLLAKGYEVHGLIRFNTSRIDHLYQDPHEDGDLSDGSRLTTLMMQIQPDEVYNLAAQSHVRVSFDEPEHTADTTGTGTIRLLEAVRLSGIETRFYQASSSELYGATPPPQSETTPFYPRSPYGAAKLYSFWITKNYREAYDMFAVNGILFNHESPRRGETFVTRKITRAVAAIKAGKQ------------DHVYLGNLDSIRDWGYAAEYVEGMWRMLQAD----EPDDFVLATGGNFTVRDFLETAFSHAGLDWHVRFDPRRPTEVDALVGDATKAHEKLGWKATVD-TTMLAR----------------- 240144678 -KDKKVLITGHTGFKGSWMCQLLIMAGAKVTGY-ALEAPTDPSLFEMCHVIGDVRDLDHMKKVFDEVQPEIVIHMAAQPIVRESYKNPVYTYETNVMGTVNVLECVRTSSVKSFLNVTTDKVYLNKEWEWYRENEELNGYDPYSNSKSCSELYLNSFFKDMDVAISTARAGNVIGGGDFAVDRIVP----------DCIRSAEKKEDIIVRNPFSTRPYEHVLEPVAYLMIAKAQYEDKKYAGNYNVGPDETDCWTTGNLVTLFCEKWNRATGDS--IKWIDRYDGGPHELKLDCSKLKRTFGWKPRWNVETTMEKIVEWYLQH--------- 220905657 -QPKRALITGITGQDGSYLTELLLGEGYEVHGIIRFNTDRIDHVYQDPHHYGDLTDGTTLRRIVEAIQPQEIYNLGAQSHVRVSFDSPEYTVDSVGLGTLRLLEAIRDYQQRRFYQAGSSEMFGLVQAVPQHETTPFYPRSPYACAKVYAHWQTVNYRESYDLFACNGILFNHESPRRGETFV------TRKITMAVARIVAGVQKKLYLGNLDAKRDWGYAKDYVRAMWLMLQQESPDDYVIATGETHSVREFL--ELAFGYVNLNWQDYVEFDQRY----LRPAEVDLLIGDSTKAQQKLGWRPSVTFAGLVALMVE-------------- 310815597 ---KRALITGITGQDGSYLAEFLLEKGYAVHGVDNTQRAHLLPDQPLHLHYGDLSDAAGLTAILARIQPDEIYNLGAQSDVAASFDTPLYTADVNALGALRLLEVMRALQLRRFYQASTSELYGATRAPIQHEGTPFQPRSPYAVAKLFAYWSVVNYRETYGFHASNGLLFNHESPRRGENFV-----ARKITRGLSRLAQGLEGPLRL-GNLDALRDWGHARDYVRMQWLMLQQDRPGDYVIATGHQCSVRQFQEHAIVARNDGPYAPALRRGDRVLQVDPFRPADVPQLRGDAARAHAILGWVPEIDARTLCREMV--------------- 139259274 --KMKILVTGSSGFIGFHLSNTLLKKNYKVVGIDNHNEYYDVKLKKNRLKKFDLLNKSKLNEIVKYHNIKIIIHLAAQAGVRYSIENPETYVKNNLEVFFNVLDISRQNNLKHLVFASTSSVYGESKNFPLKEEDTSKPLSFYAATKKSNEVMAHSYSSIFKLPCTALRFFTVYGP----------YGRPDMALFKFTKNIKENKKIDLFNNGNHFRDFTYIDDIV----------------------------------------------------------------------------------------------------------- 144146452 -----VYVAGHRGMVGAALVRALRAAGHEKIV-------------TRTHAELDLTNQAAVAAFFAAERPAQVYLAAAVGGIHANDTYPADFIYDNLTIEANVIHAAWRYRADRLLFLGSSCIYPRLAAQPMREDAPLEPTNPYAIAKIAGIKLCESYNRQHGTDFRSVMPTNLYGPGDNYDLANSHVIPALIRKFHE-ATVGGAEHVEVWGSGTPRREFLHVDDMAAACLHVMNLEASTYRAHTDPRLSHINVGTGTDVTIAELARMVSEVVGFEGDIRFDPSKPDGAPRKLLDVSRLKA-LGWQPGIGLREGLAQAYDWFLAHQ-------- 138121709 -------------------------------------------------------------------------------------------------------------------------------------------INPYGKTKLDIEDILISLAKKNKWSIGILRYFNPIGAHGSGLIGEDPRGPDNLMPFITNVALGNIDELKIYGNDYPTHDGTGIRDYIHVMDLAEGHLEALRHNKKSSGVHFFNLGTGKGTSVLDLIKAFEEVNKVKIPYSYEKRRSGDVFSSFADVSKAKKILNWKTKKNITLMCEDSWRWQTKNPKGY----- 134957172 -KNKKVLVTGATGFKGAWLCSWLVELGAKVSGLGNNPNYQLNLNKKINFEIFDIRNYSKLENFIKKTKPAIIFHLAGQPLILKSYKDPYLTFTVNYLGSTNVMEITRKFKFIKVVLITTDKVYESSGKTKFKENDLLGGIDPYSASKSSTELMIRAYRESFFKNKIKCGISSV---RAGNVIGGGDWSKYRLIPDVIRSSIKNK--------TVILRNPNFNRPWQFVLEPLKGYLILAKKQFKDPLKYSSAWNFGNKTTVSQIVEYIINYWGSGKLKKTKS-KVYEQENLQLNSTKANKLLKWYPTYNIRQSVKVTTEWY------------ 141334466 --SMKIFVTGCAGLLGANYTRHLLANGHEVIGIDDLSGGYKAFVTKGEFVKLNLERRKKIVELFEEHKPEVLVHFAAYAAEGLSPFIRNYNYRNNLICSANLINECIKHD-TKFIFTSSMAVYGE-QEPPFTEDKRPQPIDPYGIAKYAVECDLKLAHEQFGLRYNIVRPHNVLG------IYQNIWDKYRNVIGIFIRKTLNGQPILVYGDGEQTRAFS----------DIKYYMEPFDRLLTEYDGETFNIGADKYFTLNEVAEAVQKVYGYEVPIEHGEPR------------------------------------------------- 143678880 --TKTALITGITGQDGSYLAELLIDKGYEVHGISNFNTQRLEHIYEEPFHYGDLLDTGSITKVIQKTKPNEIYNLGAQSHVGVSFEEPIYSSDVNALGTLRVLESIKLHKKIKFYQASTSEIFGNTEDFPQNEESGFYPRSPYAIAKLYAYWITVNYREAYGMFACNGILFNHESPR-----RGKTFITRKVSKTLVNIFLGLED-------CLYVGNLDAKRDWGHAKDFVRMQWLMLQ----QDHPDDYVISTGKQFSVRELIKTCADVIGIEIIVKVDERRPAETDSLQGDFTKANKALGWMPQISFESLCKEMVN-------------- 158423877 ----TILVTGGAGFIGSNMARAFAEDGRRVVVADWLEDGPKWRNIADIALD-DVIRPEAITSFVERERLAGIIHMGAISATTERDGDKIVARNIRPTLDLWDQCARKGLP---FIYASSGATYGDGTRGFADDESQLAPLNAYGWSKLMADRRFIADVRAGRPRPPQWRFFNVYGPG------EAHKGDMRSVIHKIYPTAAKGEAVTLFSHHPGYTDGGQLRDFIYVKDCVSVALWLIE---NPHVSGIFNVGTGAARSFADLARAVFSAAGQPERITYIDMPEAYQYFTQADVSKLRAA-GYKPFTSLEDGVADYVTRHLR---------- 138486797 -----ILVTGGAGFIGGEFVRQWIEESGTVINLDKLTYAGNLDSLRHIFVQGDIGDSDCIRSLFAQHHPSAVINFAAESHVDRSIDGPAEFVETNVLGTFRLLEESRSYWQFRFLHVSTDEVYGLGPVGKFTETTPYAPNSPYSASKASSDHFVRAYFHTYGFPTLTTNCSNNYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 145220090 ----NVLITGGAGFIGSHLADMHLQKGDSVTIVDNLSTGSLRNIAGFRFHKADILYWEGIDDAMHWA--DRVYHMAAVVGVKKVLSDPRVVMATNIAGTERIFRASLANPDIEMIIPSSSEVYGFSGKPFFSESDDLRPLDHHRWSKLADEYLAMAYRKHSAAKVVVVRLFNTVGPNQTGRY--------GMVVPTFISQAVAGEPLTIYGNGHQTRSFCDVRDTVVALDRLACNP--------QAQGEIVNVGSDAERSIRELAELIVERAGSSSPLRFISEHFEDHMHRRPDIRKLHSLTGFWHHWQFNDTLDDLI--------------- 139836429 --------------------------------------------------------------------PVAVFNLAAETHVDRSIDEPKEFIHTNLNGTFNLLESLRHLQKKRLIHISTDEVYGDRGNLRSDENYKYEPSSPYSATKAGADHLVKSYIRTYNLNAVISNCCNNYGP--------YQFPEKLIPKMIANILNNKELPIYAKG--------KNSREWIHVEDHCEAL---LRLYLKGKTGENYNVGSGKNLRNIDLVKKILKIFGKKTKIKFVKDRPGHDYRYALNNKKILRKLKWRNKISFEDGLRDTVKWYL----------- 142345493 MNMKKAIITGGAGFIGSNLADKLIDMGVEVHIIDDLSTGFEKNLPKATFWKQDISKLDPDKSWSQFENTDVIFHLAALARVQPSIKDPISFDKVNIGGTLRMLKLAHNLKAKRFIYSASSSAYGNTTIFPTPETAPTNPLSPYGLQKFVGEQYCKMFSEVYGLDTVSLRYFNIYGERMS---------------------------------------------------------------------------------------------------------------------------------------------------------- 137213758 ------------------------------------------------------RDPQFCENITK--NIDIVFHLAALIGIPFSYLSVDHYVETNIKGTLNICYAVKKNNVHKMIHTSTSEVYGTAKYVPIDENHPLQPQSPYSATKISADAMVMSLFKSINLPVVIARPFNCYGPRQSSRAVIPTI-----------------ISQIVFGSKEIIGNYKPTRDFTYVTDTCEAIYKLSTSRKALGE--IVNIGSNSEISILNIIKKVKKIMSSKAKIITKKDRKSEVNRLVCNNKKLKKLTNFKPTVKFDDGLNYTVDW------------- 135628583 ---KSVLLTGGAGYIGSHVANFLLDKGLKVTIIDNLATGSKKIVKKSKLYICDISDEKKVTEIIKKNNFDMVMHFAGLVRVDESIKNPKKYKNVNLKKGKTFLNTCFKNNLNNIIFSSTASIYGNNGSKKVNEKDKLNPMNPYAKSKLDLEKFIIKKSKFNKIQYIILRYFNVAGA------------------------------------------------------------------------------------------------------------------------------------------------------------- 135950593 -------------------------------------------------------------------------HLAAQAGVRHSLKKPKDYLDNNILATFNLLDLSKKHKISHFIFASTSSVYGNQIKMPFRESSAAHPIQFYAATKRSCELMCHSYSHLYDLPVTVLRFFTVYGP--------WGRPDMALFDFTKKIINGKKITVYNYGNH--------SRDFTYVKDVVNINKIKNDPSISNCPFEIFNIGGGKPVKLMRYVKEIENNLKIKAKIKYTKIQPGDIQSTYSSTQKLHKKINYIPKTDIKEGIKNFVEWYKSFYK------- 137967563 ---KKALVCGAGGFIGSHLVKRLKKEGYFVRGVD-LKYPEFSKTEADEFIKGDLRDSYLVDVCVDGM--DEVYQLAADMGGADFIFNDSEIMHNSAMINLNVVDSMKRLGVKKVFYSSSACMYPEDHQPALSEDMAYNPDSEYGWEKLFSERLFLTYARNEDFDVRIARFHNIFGPEGTYDGGREKAPAALC-----------RKVIKSDGKIKVYGDGKQTRSFLYIEECVDGIRRLMESDC----TEPLNLGSDEVISINDFAQMIIDISEKDVSIENIDVPQLGVRGRNSDNTLIKEKLGWAPKQSLR---------------------- 141170635 ----KALVTGAAGFLGSHLCDELLDKGYKVVGVDNFFRGKKENLPFHPFYEIDLTKKESLKSIVDKHKSDVLFHYAAINGTEYFYDIPTKVFNDNIEITKNVLTDIKGSSVKKVVYTSTSEVYGDNPPLPTPESHMIEDRDSYASSKAIGEFYVKYYCKEYDIDYLILRPFNTYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 134852956 LMSKKIFVTGGAGYVGSKLVPKLLELGHKVTVLDLMIYGENPNHINLKTIKGDIRNTTILENNLPGH--EYLIHLACISNDPSFELNPKLGKSINLDSFEPLVKLSMKSNIHRFIYASSSSVYGIKKEKNVTEDMSLEPLTDYSKFKGECEKILNKYKSEN---------FVTTTIRPSTVCGYAKRQRLDLVVNILTNHAYHNKEIKVFGG-------DQLRPNIHIDDMVNSYLSVIEADGQIINGQIFNVGFRNQ-SVNELANDVREVIGQDVKII--KTKSDDNRSYHVSSEKIRDILGFTTKFTVQNAV------------------- 135374132 MQ-KKIFITGGAGYIGSLLVPSLLKKRYIVKVYDTLFFGSDEQNKDLTVVKGDIRDIQKLKNECAGYDI--FLHLACISNDASYILDENLSKSINFDAFEPMVKAAKQAHIKRFIYASTSSVYGISKKKDVKEDHPLVPLTLYNKFKGLCEPILLE-NTDNSFEGVIFRPATVCGYAPRLRL--------DLSVNILTNHAYNKNKITVFGG-------NQLRPNLNIKDYCLAVELLINSEIDKVKNQIFNIGYEN-MSILHIAELVQKVFKKRYSKNVEIVRTDDNRSYHINSDKVKEHLGFKPKFTITDAIESILDAFEAN--------- 108797919 --TKRALITGITGQDGSYLAELLLHKGYEVHGLIRFNTARIDHLYVDPHHYGDLSDGARLVTLLASIRPDEVYNLAAQSHVRVSFDEPEHTADTTGAGTIRMLEAVRLSGIETRFYQASSSELYGATPPPQNEETPFYPRSPYAAAKLYSYWITKNYREAYGLFAVNGILFNHESPRRGETFVTRKITRAAAAIKSGSQDYLY------------MGNLDAVRDWGYAPEYVEGMWRMLQVD----EPNDYVLATGVGITVRDFLEIAFAHVGLRWHVRFDERRPTEVDALIGDSTRAREKLGWVPTV------------------------- 288572970 ---KKALITGITGQDGAYLSKFLLDKGYEVHGMKRFNTGRIDHLFRDPHDEGDLTDSSNIVRLLQEIKPDEIYNLAAQSHVKVSFETPEYTANGDGLGVLRILEAIRIEKTTRFYQASTSELFGKVQEIPQRETTPFYPRSPYAAAKLYGYWITVNYREAYGIYGCNGILFNH-----ESSLRGETFVTRKITRAVARISLGLQDKLYL-GNMDAKRDWGHARDYVEAMWLMLQQEKPDDFVIATGETHSVREFVELAFKEIEWRGTGVDEFGFDPSVEIDPRRPTEVELLLGDPTKAKELLGWERRVTFPELVKEMV--------------- 143526784 MNGK-VLVTGCSGLVGTHTVNKLVEKGYEVIGVD-IKTSNFLPTKNFEFHQMDLRDADDVSVLFDTFKFDGVINAFGIKGSPRVKEKPIEYLEPSLKVNMNITDNCVKHDCWLVYMSSVGVYKPAEKFVEDTVWSTFPSPNDWFWSKRIPELYLEAYKVQEGYD----KYTIVRPANIFGEYDNFGEGSTAIASTVRKVFEATD-------EIEAWGDGTPTRDFIYGGDVADASIKCFEEKLH----IISNLGSGEEISIKRMIETVSKVSGKNIKINWDSSKPNGDARRLMSTE-IQKQYGLLPKLGFEGGIKLTYNHYK----------- 136869523 ----KILITGGAGYLGSVITRNLLKK-HEVIVYDNLMYNQTSLVDLCHHENGDVREWSKLKYIV--EQVDVIIPLAALVGFPSCEKDKELATSINTTQIQNIVDTMTSD--QKILYPNTNSGYGSRVDGMVDETNSLTPISHYGVTKCQAEDYILQ-------------ESNGIVFRLATVFGVSSRMRLDLLVNEFVYKLLTDKYITLF-------EHEFVRNFIHIQDVSSAFEFMIENYDKHQGQIFNVGLSDSNINKKELVETIQRQIPNTSVTYSDYFEDPDKRNYVVSNERI-EATGWKPQYSLDDGIAELIQAYK----------- 142066899 ----KIIVTGGAGFIGSHLVESLLNDGHELLIFDNFLTGKKENLLFEGNFRLNFGSKDSL-SLIENFDPEVCFHLAAQSSVVVSVQDPGLDFEHNILQSVQLLNTLINSNCKKFVFTSSGGTFGEPKNIPTTESDYNEPASPYGVAKKKLNKIIKMMTSNTNLSYSILNLSNVYGPRQD------PYGEAGVVSIFSNKYLNGERP-------VIYGDGEQTRDYIFVQDVIS----ALIKSSKIDDDLFLNIGTGIETSVNELAESLKSQFSSNLNPVYQDAREG----------------------------------------------- 134536025 ----------------------------------------------------DILDINFDQKVF--YDVNFIIHLASLGSVPRSYDNPQLSIKNNINITIHLISSFKKKKKQRIIFTSSSSIYGDQLADKKNENMKPNPKSPYAISKWSVEMYSELFSKKYNLPITILRLFNVFGPFQRTDSIYSAVIPKWIKNLNSNNSI------------DIYGSKKISRDFTHVNNVIYSICLIFKKNKFIKRFDVFNLACGKSIKLKEVITSIQEALKINNMIKMKKNREGDIFQSKACISKSRKTLGYKPLVPFKEGIKETINWYLKNKNYLK---- 135424742 ---KKVLITGGAGFIAHHLIYYIIQKTDWDIILDRLDYSGNLNRLDNILSSLSDKDRSRIKIVYHDLKAEFILHLAAGSHVDRSIEFPMEFVMDNVVGTANILEYARHINTQRFVYFSTDEVFGPPDGINYKENDRYNSTNPYSATKAAGEELAVAYQNTYNLPVIITHTMNVFGER--------QHPEKFIPMCIKKIRDGE----KVTIHSDKSKTIAGSRHYIHAEDVSEAILFLLEVDWGGAKCPKYNIVGSEELTNLELAEIIAKAQNKNEMVDFHSSRPGHDLRYALSGEKMKS-MGWVPKIKVSSRIKQVVDWSLDNPSWIE---- 139771274 --------------IGSHLVDKLVKKGAKVKAFDNLGWLHKTILKEIELINGDIRDSFRVSQSFKKVEI--IYHLASLIGIPYSYLAPRSYYETNVLGTLNVLEACKSDSFKRLVHTSTSEVYGSAQKIPIDESHPIIGQSPYSASKIAADKLAESFKLSFNLPIIIARPFNTYGPRQTT----------RAVIPTIISQIVKGNKVINLGNIKAT------RDFNYVKDTVN--SMLMLSNVKHAEGKIVNIGSGEEWSIKETAELIMKILNVEIPIKENNDRKSEVNRLIADNSLLKKLIKYKKPYSFEKGLALTIKWIKKN--------- 217976280 MTAKKVLITGVTGQDGALLAELLLSKNYIVHGVKRRSTDRIDHLYHDPHEEGDLTDSTNLIRIVQEVQPDEIYNLAAQSHVQVSFETPEYTANSDALGTLRILEAMRIGAKSRFYQASTSELYGKVQAIPQSETTPFYPRSPYAAAKLYAYWITVNYREAYGMHASNGILFNHEGPT-----RAETFVTRKITRAVAAIHLGLQDKL-FLGNLDAQRDWGNAKDYVEGMWRILQQPEADDYVLATGEMHTVREFV--ERAFAEIGVTIGWKGEGKALVEIDPRRPTEVELLLGDPTKAFEKLGWKHKTTFAELVSDMVA-------------- 135911561 -----ILVTGGAGFIGSNLIKRLLKEGYSVTSIDNYDSGLESNHHNNVIYKND--DIEKIS--FMPNHFDTIYHLAALSRIQPSFENPEETFRVNVSGTQKVCEFARRIGA-KVIYAGSSSRWHNPYQ------------SPYAAYKHVGEEICKMYKKTYNMSIEIARFYNVYGPN------EIVDGDWAAVIGIWRRQVRDGEDITIVGD------GNQRRDFTHVDDIVDALWRIGVAAETHDDA--WELGTGMNYSINEVYQMFQEKFGADC--TYLPDQPGNYRETLRDDSLLR--LNWKPE-------------------------- 134785829 -KNSKIFVAGHNGLVGSAIVRKLKEKGYRKIITINRS-------------KLDLTNQSKVFNFLKSKKPDFIFIAAAKVGGIYSNNKYADFIYSNLCIQNNLIHSAYKCNIKNLIFLGSSCVYPKFCKQPIKETYLLNTNEPYAIAKIAGIKMCESYNYQYKTNYKCLMPTNTFGPNDNYDLLNSHFFPALLKKIHKAKKNKKKF-------VEVWGDGSPKRELIYVDDVADACVYFMKKKIKD---TIINIGTGKDYSIKFYVSLINDIINNRINIRYDKTKPNGTPRKVLSVNLAKKY-GWVARTNIEKAIRITYRDYLK---------- 157374063 -RRQKVLIMGANGFIGNHLTKRLLDDGKYEIYAMDMSSSQIEQHLSHPDFHFVEGDITIHNEWIEYHKCDIVLPLVAIATPIEYTRNPLRVFELDFEENLKIVRACVKYD-KRIIFPSTSEVYGMCTDEEFDEDTITGPINRQRWSKQLLDRVIWAYGKKDNLKFTLFRPFNWMGPRLDSLNSARVGSSRAITQLILNLVEGTPIKLIDGGE--------QKRCFTDISEAIEALFRVIENKDGLCDGQIINIGPDNEASIKVMAETLVEKFEEHPLRDQFPPFAGDVQHRRPSIKNAKKLLNWEPTIMMDQTIEDTLDFFLK---------- 142569543 -----ILVTGGLGYIGSHTSVLLLEKGYDIVVVDDLSNSNIEVLKKPAFEKIDLKDKKAVSKLFQDYTFDGIIHFAAHKSVNESVNYPEKYFSNNVGSLENIDEIKLLENPINFIFSSSCTVYGQADSMPIDESFPLEAESPYGQSKRQCEEVLKSHDSGHSFKNITLRYFNPIGAHPSALIGELPLGIPENLVPYITQTAIGKREC------------------------------------------------------------------------------------------------------------------------------ 137724757 --DMRLIITGGAGCLGSNLIEKFFSNDVSICVIDNFSTGKREVINGIKIIEGSITNKDLVDKVFSDFKPTHVIHSAASYKDPA---NWIEDTKTNVLGSIYVGEASQKLGVKRLINFQTALCYGKPSQIPIPVHHPTTPFTSYGISKTAGEAYLMQL----DLPVVSLRLANICAPRLAIGPIPTFYDRLKNNKDCFCSDT--------------------VRDFLDIKDFFRIIDLAMEDDAPIG---CYNVSSGVGHSIHDIFCAVTNFLEIPTPEVIVEPNDDDVAEVVLDPSVTESAFGWKAEIDFSETINNQLKWY------------ 184201692 MSHKKALITGITGQDGSYLAELLLSKGYEVHGLIRFNTSRIDHLYQDPHHYGDLSDAARLVTLMAEIDPDEVYNLAAQSHVRVSFDEPEHTGDTTGLGTVRLLEAVRRAGVKCRFYQASTSELFGATPPPQNEDTPFYPRSPYGAAKIYSYWITKNYREAYDMFAVNGILFNHESPRRGETFVTRKITRAVAAIAAGKQ------------EHLYMGNLDAIRDWGYAAEYVEGMWRMLQVD----EPEDFVLATGRPSTVREFLETSFEHAGLNWHVRFDERRPTEVDALIGDPSKAEEKLGWKATV------------------------- 134299253 --GKTALITGITGQDGAYLAEFLLDKGYQVHGVKRFNTARVDHLYKDPHEEGDLTDSTNLIRIIQEVQPDEIYNLAAQSHVKVSFETPEYTANADAIGTLRLLEAIRITGRTRFYQASTSELYGKVQEIPQTEKTPFYPRSPYAAAKLYSYWITVNYREAYNIFACNGILFNHESP-----IRGETFVTRKITRAVSRIKLGLQEKLYL-GNLNAKRDWGHAVDYVKAMWLILQQEQPEDYVIAIGDTHSVREFVEVGITIEWRGKGLEETGRVLVEVDPCYFRPTEVDILIGDPTKAKNKLGWIPEISFNQLVKEM---------------- 134948206 ----KLLITGGSGYVGSRLIEKLLKETNISIVNYDISDKHLPKNPNFKYYKEDITNPNKFKKAIIDNNIDTVLHLACISNDPTYELDSDLSKKINYDCFEDLVKVSKQNNVKKFIYASTCSVYGISDSPNVVETNELKPITDYNKYKALCEPILQKYLDND---------FVGIIIRPATVCGFSEKMRFDLTVNILTNYAYNKGYIRVFGG-------KQSRPNLHIDDMCDLYKMLIHNDIKKFNGEIFNVGTEN-LKIIEVAEKVKSVMKKKLNKKDIEIRIADIRSYMINSDKIKKILGFEFKKTVDNAIEDLCD-------------- 284048986 -----IIVTGGAGFIGSNIVKGLNEQGRDDIVVDNLTNMVKFKNIQGLKV-MDYLDKVDFDGKFDHEPIEVIFHEGACSDTMEY--NGKYMMENNFEYTKTLMHFALDRRIQ-FLYASSASTYGSGKNGFREEPACEEALNVYAFSKLFFDNYARRYFDKAESQIAGFRYFNVFGP------QENHKGKMASMVRQMFLQWQGEHKVKLFGEYDGYGPGEQTRDFIYVKDVVKVLFYFWQHPELKG---VYNLGTGHAHTFNDMARAVLEFFGSGE-LVYVPFPKGKYQSYQADATKL----------------------------------- 138440868 ------FITGGAGFIGSNFAHYVSDIWKDVVILDKLTYAGDMDNLYYPVKGVDLAYENRLEELFKQYKPKTIFNFAAETHVDNSIDDVAPFIDTNIIGTINLLKLSVKYDVQMFHHISTDEVYGALKEPPFTENSPYNPQNPYAASKAASDHFVMSYHNTYGLPVMITNCSNNYGPRQ----------------------------------------------------------------------------------------------------------------------------------------------------------- 138398869 MKRKSILVTGGLGFIGSNLVEHLTKLNYFVVILDNLLNLKKIDKKKYKLFSIDINNAKKVLKILKSYKPISVFNLAAETHVDRSIDNPEIFVKSNINGVVVLLEYIKQHRPIKFIHISTDEVYGDPKKKISLEGDPYKPSSPYAASKASSDHLIKSYFRTYNLPIIITNCCNNYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 139185479 ---------------GSHIVDKFLKENWEVTIFDKQREMFRQDDENIIFESGNINDKNLIENIVAR-NFDAIIYSLSTTTPKTSNENPEFDIVSNLIPLVNLLEICTRHKTGKIVYLSSGAIYGESTTDKHTENDSVFPICSYAITKLSAEKYLHMYEKLHGLSYTALRISNPYGPRQNPM-------AKQGVISVFLHKILNEQKIEIWGDGSVI------RDYIYVADVATACF----HAANSTKNGVFNIGSGIGLSLKNLVEILALETNRKPELHWLPGRDMDVPKVVLDCTNAEKNLNWKPLISLNFGLKNTINW------------- 134365309 -----VVITGCLGLIGSHLTKRCIDLGWQVYGVDKVTYAANKHLIQEFSKNTNFTFVEDDIATLDYLDCDYVINVAAESHVGNSIVESQDFIHTNVNGVKNLLDLIRKKQKNVFLHFSTDEVYGDIVEGSHTETDLLNPSNPYSASKACSDMLILAWSRTYDMDYIIVRPTNNYGVG--------QYPEKLIPLSVKLLQRERKIRLHDEGE--------PIRNWLHADDTASAVIKIIE---SGNTNEIYNIAGGFEQKNKHTVKKIVKCFNYDRYVDLGYRRKGQDVRYSLDDSKLRA-LGWQPSKNFDQEIVKIVEHYKNNFRW------ 138400213 --NMRYVVTGGAGFIGSNLVDELVKNGHDIHIIDNFSSGKKECNSKAEVHSLDISECKNLKQMSEVNGCDAVFHCAASARVQPSIADPINYEKNNTIGLINILKTAVDSNVRRFVYSASSSAYGPTDQLPSYENDPVNPISPYAAQKYYGEITCRMFSEVYNIETVSLRYFNV---------------------------------------------------------------------------------------------------------------------------------------------------------------- 143748218 ----------------------------------------------------------------------------------YSLENPDAYVESNLLGFLNILEGCRNFNVEHLIYASSSSVYGGNKIMPFKENHVDHPLSLYAATKKSNEMLAHSYSHLFEIPSTGLRFFTVYGP----------FGRPDMAPMIFADLILNKKPINVFNNGNMSRDFTFISDIVEAIYKCNFYDTEPDPSTSFAPHRIFNVGSNNPINLINFIEKLEAELGVKAIKKMQPMQPGDVQSTFADVSKLNEWIDYKPKTSFDRGIHLFSNWYKEYFKS------ 143729701 ---KKILITGITGQDGYYLSKLLLDKGYEVHGTVRRSSSINTDRIDGHLHYSDLLDSSSLNNLIAYIEPEKIFNLAAQSHVAVSFKIPEFTIKVGTIGTLNILEAIKNINKDKFYQASSSEMYGGKSEEKLNEESKFDPKSPYAAAKVFSHDLTKVYRESYGMFC------------ASGILFNHESPFRGETFVTRKISRAVGRIHMGLQSKLTLGNLDAKRDWGFAGDYVDGMYKILDYSKAEDWVIATGIAYSVEDFAKKAFNIIDKNYEDHIETSERYLRPNEVNYLLGDPSKANDLLNWKSSTSFDELIKLMVE-------------- 136573626 -------------------------------------------------------------------------------------------------------------------------------DPAFKETNQYEPNSPYSASKAASDHLVRAWHHTYGLPVLTTNCSNNYGP--------YHFPEKLIPLCILKALQGQDLPIYGDGQ--------QIRDWLYVVDHCKGIETVLEKGVI---GETYNIGGWNEKANLEVVHTLCDLLDYREQITFVKDRPGHDQRYAIDATKISNELGWKPEETFETGIRKTVQWYLDNQDWVDN--- 89889761 -KDSKIYVAGHRGLVGSAIVSELKKLGYTNFVL-------------KTHKELDLTNQQATADFFATEKPEYVFLAAAVGGIVANNTYRADFIYKNLMIQNNVIHHSYLNGVKKLLFLGSTCIYPKMAPQPMPEDSLLYTNEPYAVAKIAGIKMCESYNLQYGTNFISVMPTNLYGPNDNFDLEKSHVLPALIRKMHLGKLLMDGNEQALMNGIALWGTGSPKREFLWSHDMAKACVYLMENKDKEVRNTHLNIGTGEDIAIKELAEMIKKTVGYQGKLDWDATKPDGTPRKLTDVSKLHN-LGWKHEIDLEKGVEMMYEAYLN---------- 289647696 ---RRILITGANGFVGQMLCRKLQHAGHHIVAL--VSPDSPRSAYAAESLHCDIRDAAGLEQVVSQANPTHVVHLAAITHVPTSFQDPLATWQTNVMGSVNLLQALQRKAPQAFVFVSSSEVYGEAQGIALDENSVCKPMNPYAASKLAAEAAFHEYFRR-GQNGIVVRPFNHIGARQSADF-----ATASFARQIALIEAGKQAPQLKVGNLQA------ARDFLDVRDVCNAYVALLDATRQEAYPQCLNICSGEPVRIEAILSQLMALSSERIDVINDPMRLSDIPCAFGDNSAIQKVTGW----------------------------- 265750674 -KSAKIYIAGHHGLVGSAIWRNLQEKGYT-----NLT--------GRSHKELDLLDGVAVKDFFDQERPDYVIAAAHVGGIMANSTYRADFIYKNLQIQQNVIGESFRHGVKKLLFLGSTCIYPRDSQQPMKEDALLTSNEPYAIAKIAGLKMCESFNLQYGTNYIAVMPTNLYGPNDNFNLERSHVLPAMIRKIHLAKCLNEDNWENIRYDLDMWGTGTPLREFLWSEEMADASVFIMEHVDFKDRNCHINIGTGKEISIAGLAHLIVKETGYKGNITFNPEKPDGTMRKLTDVTKLH-ELGWHHKIDIEEGVHKMYQWYLEYKK------- 269138646 ----RVLILGVNGFIGNHLTERLLEDNYEVYGLDIGSDAISRFIDHPRFHEGDISIHSEWIE-YHIKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIVRDCVKYK-KRIIFPSTSEVYGMCSDPQFDEDSIVGPINKQRWSKQLLDRIIWAYGAKDELRFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLVDGGR--------QKRCFTDIKEGVEALFRIIENKDNLCDGQIINIGNDNEASIRELAEQLLVCFEQHPLRDRFPPFAGDVEHRKPSIRNAQRLLGWQPVIPMESTIEDTLDFFLQTFDGTEQE-- 138747179 --TQSVMVTGGAGFIGVNFVYHWAEAHDTLVVLDALTYAGNEQAGRIQFVEGDICDAALVEGVIAAHEVSTIVHFAAESHVDRSITGPDAFIRTNLEGTHTLLSAARQAWLHRFHHVSTDEVYGGASDPAFTETTRYEPNSPYSASKAGSDHLVRAYHHTYGLNVTTSNCSNNYGP--------YHFPEKLIPLCLTRILDS--------GPLPVYGDGSNIRDWLYVEDHAR---------------------------------------------------------------------------------------------------- 136480732 MKNKVAFLTGITGQDGSYLAEFLLKKNYEVHAILRFTTSRIDHILDNPNYHGDLTDSSNLHRLISSINPTEIYNLGAQSHVGVSFEVPEYTAEVSGIGTARLLDAIRDSKVCKFYQASTSEFGGIPGTEPQSEDTPFHPKSPYGAAKLYAYWLTVNYRESYGMFACNGILFNHESPRRGETFV------TKKITKAVANIFNGKQEYIKLGNLDAKRDWGHAKDYVEAMWLMLQQDEPKDFVIATGETYTVREFVNVGIKINWEGSGVNEVGKCKILVKIDPARPAEVELLLGDPTRAENELGWKRNISFNELVSCMVQYDLNN--------- 225619619 -----IIVTGGAGFIGSNIVKGLNNLGIDDIVVDNLKNASKHKNLNRIKFR-DYIDKEDLTSFVNNNKVEAIFHQGACSDTME--TDGKYMMKNNYEYTKNILHICLDKKI-RLFYASSASVYGNGENGFEEDEKNEYPLNVYAFSKYHFDRYLNKLFKENKVNSQVVRYFNVYGP------QENHKGRMASVAFHLFNQIKAGERMKIF-----EGSENFLRDFIHIDDVVSVNNFFFE---NPNKSGIFNCGTGNAESFVEIAKALKEVYKSASIEYIDALRGKYQKYTQADLKKLRAA-GYKPFMNVNTGVKKYAE-------------- 134601324 ----KVLVTDADGFIGSHVVEALLARGHDVRVLYNSFNSWGWLDELDADVRGDVRDPHGVD--VAVAGCDVVLHLAALVAIPYSYHSPDTYVDVNVKGTLNVLQAARRHGTRRVVHTSTSEVYGTAQYVPIDERHPLHPQSPYAASKVGADQMALAFHAAFGTPVGVLRPFNTYGPRQS---------------------------------------------------------------------------------------------------------------------------------------------------------- 136866546 -KKTRIYIAGHSGMVGSSILRALRKNGY-------------KELIYISSKNLDLRDQTKTLNFLKKNKPQVVIASAKVGGIMSNSTYKADFIRDNLLIQNNLIIGSHQCNVKKLIFLSSSCVYPKNIRKPLKEGPLEETNSPYAVAKLAGMKLCESYNEQYNTNFITLVPCNLYGERDSFDLFNSHFFPALLKKIDDY-------KNKTNEVIEIWGNGLAKRELMYVDDLADACVFFMNKNKINKD--YINIGTGKQSSIIDYANEICKFLKVKPKYKFDRSKPNGMKTKVLDISVSKK-LGWKSKISLKRGIKKTYTYYQK---------- 143453994 ---KKALVLGAGGFIGSHMVKRLKKEGYWVRGVD-LDHPEFGRHEADEFVIGDLRDKSFVNRVVEFYQFAADMGGAGFIFTGENDMHNSATINLNLLDAIVKAQKEDRTTPKVFYSSSACAYPSHIQEEILKEEDAYNPDSEYGWEKLFSERLYYSYSRNYNIPVRVARYHNIYGPEGT---WEGGREKAPAAICRKVANAGLADTIEVWGD------GEQTRSFLYIDDCIEATRRLMESECK----EVINIGSEEMVSINELVRITAKVANKSIGRDHIDGPLG-VRGRNSQNDKIREKLGWDYEHSLEEGIRKTYAWYKKN--------- 118778930 -DRKVALITGITGQDGSYLAEFLLDKGYEVHGIIRFNTSRIEHLYADPRHYGDMTDSSALVKIIAQVRPSEIYNLAAQSHVKISFDLSEYTAEVDAVGTLRLLDAIRQERTVRFYQASTSELYGKVAETPQNEKTPFYPRSPYACAKMYGYWIVINYREAYDMFACNGILFNHESPRRGENFV------TRKITRSVAKISLGQQEYLELGNLDSKRDWGHAKDYVEAMWLMMQQERPEDFVIATGETHSVREFVEQAFRYIEWRGTGVDEVGVEKRINPKFFRPTEVDLLLGDASKAKAQLGWSPKVTFLELIADMMA-------------- 140944227 MNYKKALVTGGAGFIGSHLVETLHKNNIEVLVVDNLLTGKKENLNLLDLENTDVGSEDTLEHIIN-FNPDVCFHLAAQSSVVISVEDPLLDFEHNLLQPVQLIKTLLDTDCKQFIFTSSGGTFGEPDVIPTSEVDYAEPASPYGLAKKKLNELIEAMLQNETMSYSILNLSNVYGPRQD------PHGEAGVMSIFTGKLLNNETPT-------IYGDGEQTRDYVYVLDVVDALIKSSELD----ENLFLNIGTGVETSVNDLVSILSE--------------------------------------------------------------- 136753458 ---KKALITGVTGQDGAYLSEFLLKKGYIVHGLKRFNTDRIDHLYQDPHIEGDMTDSTNLIRLVKEIQPDEIYNLAAMSHVRVSFEMPEYVADTDGIGTLRLLEAIRLEKKTRIYQASTSELYGKVQEVPQTENTPFYPRSPYAAAKMYAYWITVNYREAYGIFASNGILFNHESP-----IRGETFVTRKITRAVSRIALGIQDNFYL-GNIDSKRDWGHAKDYIRMMWMILQADKPEDWVIATGRTTTIMAFGHIGVELEFTGKGLDEVAKVKSCIDEKYFRPTEVDLLVGDATKAKEKLGWEPQITLEELVDDM---------------- 301061553 MANQKFLVTGGAGYIGSILVPVLLTRGHQVTVLDSLIHGQYSLLPNFDFIKGDICDEALMSELLPKYDI--IIPLAAIVGSPACKVNPTLTQLVNYDAHMKI--VKKTSSSQKVIFPTTNSGYGIGEDAYCTEESPLRPVSEYGQTKVAVEKALLD-------------KGSAITFRLATVFGISPRMRMDLLVNDFVYRAYKDRSIILFEEHF-------RRNYIHVRDVADAFLFGVENYEKMKGEPYNVGLSSANLTKRELCEKIRRHV-PEFFIHSSPIGEDDQRDYIVSNEKI-EAMGWKPNYDLDMGIQELLKGYLK-PNRFAN--- 137324558 ---------------------------------------------------------------------------------------------------------------------HSDEVLPDVTLPLFTESTPYAPSSPYSASKASSDHLVRAWLRTYGFPTIVTNCSNNYGP--------YHFPEKLIPLVILNALEGKPLP--------IYGKGDQIRDWLYVEDHARALYKVV---TEGQIGETYNIGGHNEKQNLEVVQTICTILDAAEQITYVTDRPGHDRRYAIDASKMSRELNWQPQETFETGLRKTVEWYLANQEW------ 135369196 -RGRSVFLTGHTGFKGSWLTLWLAHLGAKVHGYANIHSVANINSCLVSSTIGDVRDLVRLTDALRVANPSLVIHMAAQPLVKESYRDPVTTFSTNVVGTVNVIEAARGIDAVKAVINVTTDKCYENHNEPFSEESRLGGQDPYSSSKACAE-LATSAFRASFLNGSGMQLASV---RAGNVIGGGDWARDRLVPDFLRSVEA--------NETLAVRSPDSIRPWQHVLEPLSGYLILGERLLSDTDGFAEAWNFGPERPVSWVVEFLSNELPCARWQTDTSSQPQEAKVLKLDSSKAKARLGWIPRWSVDTALAKTLEWHEAWREN------ 294085528 --KKTALITGVTGQDGAYLAEFLLSKNYIVHGIDHLYKDQHENDVNFFLHHGDMTDSSSLTSIIQKTQPDEIYNLAAQSHVAVSFEMPEYTANSDALGTLRILEAIRMLGMTRFYQASTSELYGLVQETPQSETTPFYPRSPYAVAKLYAYWITVNYREAYGMYACNGILFNHESP-----IRGETFVTRKITRALARIKLGLQD-CLYLGNLNAMRDWGHARDYVEMQWLMLQQDTAEDFVIATGVQHSVWQGNGDEVAYLKNSDHASSENKPIVAIDPQYYRPTEVETLKGDATKAREKLGWIPKTSFNELVQEM---------------- 137535154 --KKKYLVTGCSGFIGFHLSLRLLKNGNTVSGIDNMNNYYDLKLKNDRFKKFDIQNHRLLFNLFKKFKFDIVINLAAQAGVRNSISDPDTYFNYNVTGFYNILKICHHFKIKHLVYASSSSVYGSSTKYPFKENDTSNPKSFYAATKKMNEVMAHSFAEIYNMKISGLRFFTVYGP--------YGRPDMSLYKFLDAIFNKK--------TIYLFNKGNHYRDFTFIDDCIDGIFKILNRPLNKSNHEVYNIGNGRSRPLI----------------------------------------------------------------------- 134766815 -RGKRVLITGHTGFKGCWLSLWLSQKGFSLPISDKLYNKLNTDQLRLDETFADIRSYSEVKRVIAEFNPEIIFHMAAQPIVRHSYTDPVETFTTNILGTINILEAVRAENINTTIVNITTDKCYENKGWPYREIDSLGGQDPYSASKACSEIITHSYRNSFG---EENSPFAIASARAGNVIGGGDMSEDRLVPDFLKALRSNK--------SITLRNPNSIRPWQYVLDPISGYLQLAQALYSNPMKFSSAWNFGEAKSVGYLIKTLIDLSGAKSYKEKKTAQPHEEKCLMLDSTRARTILNWRSKLDLRSCLTWTMDWH------------ 135254253 LNKMTILVTGGAGFIGSNFVDWLKQSDEAVLNVDALTYAGNKQNLRHIFAHVNITDREAIRALLSRHTPRAVIHLAAETHVDRSILSSDAFIQSNIVGTFSLLEEARAYWAFRFLHVSTDEVYGTLEDPDFSETHPYAPNNPYSASKASSDFLVRSYHQTYDLPTLITNCSNNYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 136264135 FKDKNCFITGHTGFKGSWLVHLLRTLGANCYGYALNPTPNSHFLTSNTSSLGDIRDRPRLLAAMSEAQPDFVFHLAAQPYVRESYLTPHETFEVNCMGSANFLEASLNCKTRPVTSIITSDKCYENTNYRYKETDKLGGWDPYSASKACAEILSHSFRRSFFVQRDQP----LVSLRGGNVIGGGDWGQDRLFPDIVLSASN--------GSEITLRNPNAVRPWQFILDVLSGYLAAAVYAKSTRGLEISAFNIGPTIDNICTVATIEWGSSVKHHQKQNETELHEASLLSLDISLALQKLDWAPRYNLVEAVRQTVLWYKQ---------- 300782050 ------MITGITGQDGQYLAELLHEKQYEVFGLVKQNNPRIDMIRAGYPVEGDLQDLSSLVGVLEQVQPDEVYNLGAISFVALSFKQAELTANVTGLGVLRILEAIRMNNPIRFYQASSSEMFGKVRESPQTEATPFYPRSPYGVAKVFGHDITVNYRDSYGLYACSGILFNHESPRRG------------IEFVTRKVTNAVARIKLGLQEKLVLGNLDPRRDWGFAGDYVRAMWQMLQ----QDEPQDYVVATGRTHSVRNFVDLAFKHAGLDDWERFVEQRPAEVDLLVGDATKAHTELGWKPEVGFEDLVAMMVD-------------- 290565146 --SKRALITGITGQDGSYLAEHLLQQGYQVWGLTRRKDRVSRLIPELQFVSGDMMDQGSLVAAVDVVQPDEIYNLGAISFVPMSWQQAELVTEVNGTGVLRMLEAVRMVSGFRFYQASSSEMFGQVVESPQSELTSFHPRSPYGVAKAYGHYITQNYRESFGM----------YG--VSGILFNHESPRRGAEFVTRKISLAVAQIKLGLQDKLALGNLDAVRDWGFAGDYVRAMHLML----SQDSPADYVIGTGRMHSVREAARIAFECVGLDWEQHVVVDRPAEVETLCADCGKAREVLGWEQTVEFPELIQMMVE-------------- 135329999 ---RRILITGGAGNLGGSLARRLVESNNFVIIIDNLITGSVNKLPDWSFIEADVNNLNELLPILKGESLDYVFHYAAIVGVKRTLANPIAVLK-DIDGVKNILQISRDLNVNRIFLSSSSEVYGEPVEIPQNETTPLNAKLPYAIVKNIAESYCRSYHQMFGLDYTIFRFFNTYGPLQSNDF----------------VLIKFIESAILGKDLEVYGDGSQTRTFCFIEDNLKATIESMEKDFWINDVVNI--GNDNEISILELANMVIRTLNSKSSIKFEPLLQGDMTRRKPDILKMKSFFG--ALTSLKEGIIQT---------------- 143706770 -KNKKILITGSSGFVGSWLAISLQQMGAAIYGIDTSPSIFKLLNLEEKIKQIDICDYKKLSLTINEISPDIVFHLAAQPLVRESYIKTIDTFQTNVIGTLNVLESCKALEANINLVCITTDKCYENNENRFIESDPMGGKDPYSASKACTEILTKSHASFDDLKICTARAGNILGGG-------------DWAKDRIITDIVKSIQNKV---DIVIRSPMAIRPWQHVIDVVNGYLKLAIYNQEKEESFNFGPLEENEITVEMLTQKFISNWNSCNSKVILDKNENESKTLRLDSTKAKKILNWEPLMDIDTTIKETAIWYEKFY-------- 117617804 ----RVLILGVNGFIGNHLTERLLQDGYEVYGLDIGSSAVDRFIPNFHFVEGDISIHTEWIE-YHIKKCDVILPLVAIATPIEYTRNPLRVFELDFEENLKIVRYCVKYH-KRIIFPSTSEVYGMCDDHSFDEDSIVGPINKQRWSKQLLDRVIWAYGKKEGLNFTLFRPFNWMGPRLDSLDSARIGSSRAITQLILNLVDGTPIQLVDGGA--------QKRCFTDIEDGIEALFRIIENKENRCDGQIINIGNDNEASIQQMAEILLAKFEAHPLRDHFPPFAGDVSHRRPSIANARRLLDWEPTIEMEETIGNTLDFFLQGAVTTGVEHD 139130563 ---KKVIVTGGLGFIGSNLIKILLKKKYYVINLDKITYSSNFYNKNYKFIKIDINNKKKISQILKKFKPNAIFNLAAETHVDRSIDQPENFIKSNILGVFNLLEAFKIYKNIKLIHISTDEVYGDILNGRSREDDAYKPSSPYAASKASSDHLVYSYIRTFKIPAIVTNCSNNYGPRQ---------HPEKLIPKLIYNILNNK-------NLPIYGKGLNSREWIYVDD------------------------------------------------------------------------------------------------------- 134977019 ---------------------------WRVIGVDSMSDYYDVSLKKRRHQKLEIEN--AMLNLCSDERPDVVIHLAAQAGVRYSIENPHSYLKSNINGTFELLEAARAFPPKHMLLASTSSVYGANDKMPYKEVDKTDQMSFYAASKKSTENMAHSYAHLFNIPITVMRFFTVYGP----------WGRPDMALFKFTKAILNDDLIDVYNGGNLKRDFTYIDDVVQAIDKAPIHTKEPSQNENNAPFQVINIGNGKPEKLLDFIKAIEIATGISANMNFTDMQAGDVLTTFSDCSLLENLPGFTPRTSILDGVSNFVDWYKE---------- 295115153 -------------------------------------------------IQADVADEKAVAQIFEDYKPSLVLHLAAQAGVRYSVDHPKEYIRTNIAGFFNILEACRKGEPLHLVFASSSSVYGDNQKIPYSVDDKTDPASLYAATKKSGELLARAYSRLYKIPATGLRFFTVYGP--------FGRPDMAYFKFTERMVKGIPITLYNYG--------DMRRDFTYVDDVVGCILKISGHPPKSENGRIFNIGNSHPEKLEDFVCLLEEVIKKDTEREYLPMQPGDVYQTYADMSEYEKEFGAVSFTRLREGLDRFAGWYAEYQR------- 254166938 ---KRILVTGGLGQIGSNLVPFLRNKGKENVIVGDIREPSDDV---SPFVKLDILDKKSLEKVIDEYDIDTIYHLAAILS-AKGEQNPQLAFNVNILGLYNILEVGREFSLERIMVPSSIAAFGPETPKDNTNDTVLRPRTMYGISKVTGELLGLYYWEKYGLDVR--------GVRYPGIISWNAMPGGGTTDYAVEIFHYALRGEK----YVCFLKEDTVLPMMYMPDAIKALTSLAEAPSENLIHRTDFNVQAMSFAPKDLVEEIRK-YIPDFEVEYKPDKIADSWPRSLDDSAARKEWGWKADYDMKSMVKDIIK-------------- 135160145 ---------------------------------------------------------------FTGIGFTHIIHLAAESHVDNSISDPLVFARTNVMGTLNLLEAARTHWKHLFYHISTDEVFGLGVTGHFKESTAYDPRSPYSASKASSDHFVRAYHHTYGLPVVISNCSNNYGP----------FQHDEKLIPTVIRSIVNQKPIPIYGDG------SNVRDWLYVKDHVAAIDGIFH---NGQIGATYCIGGDAERSNLELVRALIELTDSEPLISFVGDRKGHDFRYAIDHSLLTASLGWTPSTDLKDGLRQTVAWYCEKYR------- 308273395 ---QKVLVTGANGFVGKVLCKEMVVKGWNVTSVRSLSN-DLLPEEVGIIETGSIGPETDWTEALENV--EAVVHLAGRVHKDLSYDPASGYMNVNAAGTEKLARCAATSGIRLFIFISTVKVNGEGKIAPYTEEDMPELKDPYGLSKWEAEKKLKTIAEETGMNIVIIRAPLIYGPEVKANFFSLLKAVDM-------------------GIPFPFAGINNRRSMIYIKNLADLIMHCLAYF--KAGFKTYLVSDGKDVSTPDLIRNIAKSLGKPTRLFYLPPKLGGVSSLYVDSSKIREELKWKPPFTFEQGIEETAKWYKTF--------- 157108166 --NRRVLITGITGQDGSYLAEFLLKKDYEVHGIIRFNTSRIEHLYADPHHYGDMTDSSCLVKIISSVRPSEIYNLAAQSHVKVSFDLSEYTAEVDAVGTLRLLDAIRTCKSVRFYQASTSELYGKVVETPQNEKTPFYPRSPYACAKMYGYWIVINYREAYNMFACNGILFNHESPRRGENFV------TRKITRSVAKISLNQMDCLELGNLDSKRDWGHAQDYVEAMWMMLQQPQPQDYVIATGECHSVFRHIGREIEWREGLNEVGVEKGTDTRINPKFFRPTEVDLLLGDASKAKRELGWTPKVSFLQLVSDMIELMKKNPNA------ 136273810 ----RIVVTGGLGFIGSSFVDLCKNLGHEVLVVDKMTYASNEDWLPMDTELLDICDLTEDD----LGDYDYLVNFAAESHVDNSIKDGKPFVKTNVEGTYNLLEARKNTKLKKFVQISTDEVYGDLDMLNIDEQNFLYPSSYYSATKASADMLVYSCGHTFNLPYIITRTCNNFGIRQ---------HEEKFIPTIM-------KSIKEDNPIPIYGDGKQIREWIWVEDNVKQIYELMIDL----GIGTFNIGSGECLQNIDIINHIGDLIGKKPKYKFVEDRLGHDRRYTLDTDF------FKPKIT------------------------ 241203200 -TSERLLVLGASGFLGTVISTYFSSLGIPVMGIDVVPPQDKHIYSDFYQSE---RMEEILETALARHQPTYLVHVAGNANVGRSIEDPRDFVNSVDLFSLVLDQVRRVSLGTKVLFTSSAAVYGQPKVLPITEELAPSPISPYGYHKWMCEQLSREYSSLYEVSVASIRIFSAYGAGLRKQILWDLC-----------------EKCRSDGPVQLGGDGSETRDFIHAKDIARAISCVVRGGTFNGE--VYNVASGVETSISDLAHHVLSAYGIPFDRFSGSSRVGDPKNWRADVSRL-EALGFSPTIDFVAGVAEYVDWYKK---------- 227354769 -----IIVTGGAGFIGSNIVKALNDEGYTDIVVDNLKDG----TKFVNLVDLNIADYMDKEDFIAFGDIDAVFHEGACSSTTE--WDGKYMMDNNYQYSKELLHYCLDRQIP-FLYASSAATYGGRTDHFIEERKYEAPLNVYGYSKFLFDEYVRQILPEAQSMVCGFRYFNVYGPR------EGHKGSMASVAFHLNTQVNDGQNPKLF-----EGSETFQRDFIYVGDVAAVNLWFWRNNV----SGIYNCGTGRAESFQAVADAVIAYHNDKEHIEFPEKLKGRQAFTQADLTNLRKA-GYSPFKTVAEGVALYMQW------------- 32472014 ---QKIFVAGHRGMVGSAILRRFAHRDDLQVVTRTRT-------------ELDLCNQAAVNKFFESERPDTVIFAAAVGGIHVNATYPADFAYDNTMMAANAIHAAFQTGVSRFLFLGSTCIYPRMAPQPIQEDALLETNEGYALAKIMGLKLCQYYRQQHGALFHSAMPTNLYGPG-----DNYHPDNSHVIPGLIRRFDDAAKENANSVTVWGSG--KPRREFLHVDDLAAAVEHLLQL---ENPPDWVNVGTGVDLTIADLARKIADATGFEGQIVQDASKPDGTPVKCTDISRIRS-TGWQPTIRLDDGLTQTVADY------------ 143664504 ----RILVTGGRGFIGSKIVEIL-SQDHKITVIDNNDSYRNWNQKNVLLIRGDVLDRLTCLKAFS-HRPDMVIHLATYPRAKIVDNDPINGVPKVINTTTNLLWHCVHFNVKKFVYISSSMVYGHFKDGT-KEDANTKPINIYGEAKLTGERLVKLFAKRDNLNYVIIRPSGVYGP---GDLPDRVVSKFFEKALKDETLTLHNGKNKV--------------DFTFRDDAAEGI---VKASLSSVANVSFNITNGKATTLSDLAETIIEITGSKSDVEDTGDHTLYPSRGTLDISRAKDLLGYQPKHDLKKGLESYYEWIRHY--------- 137372183 ----KILITGAAGFLGSHLCEKLQKLGHEIVGVDNMHGGYKDNVPNIKFFEYDCCDLKKMNEVMKNV--DVVYHCAATAHEGLSVFSPFEITKNNYLASVSVFSAAVSNKVKRIIFCSSMARYGD-QKTPFNEDMKPKPVDPYAIAKVAAENVLVNLCELNNIEWVIAVPHNIIGPRQ------KYDDPFRNVVSIMINRMLQKKPPIIYGDGEQKRCFSYIDDCI----------------------------------------------------------------------------------------------------------- 144074816 ------LITGITGQDGSYLAEFLLEKGYEVHGIVRRSSLINTHRIDHIFHYGDLTDSTNIVRVIQKVKPDEIYNLGAQSHVKVSFEMPEYTADVDGIGTLRVLEAVRMENKIRIYQASTSEMFGKVKEVPQKETTPFHPRSPYGVAKVYGYWITKNYREAYGMYACTGILFNHESPRRGETFV------TRKITRALKAIRDGKQDVLTLGNLDSKRDWGHTKDYVRAMWMMLQQDTADDYVIATSEQYSVRQFVERCAPYFGMNIAWEGKGEEEVGIDNNTYRPAEVETLLGDYTKAKTELGWEPEITFSQLVEDMCE-------------- 303247602 MSKQTMLVTGGAGFVGSNLAVAFKRRYPEVIVLDNLKRRGSEFNVGIRFVHGDIRNPEDMEFTDKIECSAEPSVLAGFGGSPKYLINTNLTGTINCLEVARKNAADVVFEESRFVWDCADGPRGWSSEGLDVDFDLNGPKSMYGATKLCSEFVLREYEAMYGVRAVINRCGVIAGPWQFGKVDQG-----VFSLWVQAHYFKRGLSYIGFG-----GLGKQVRDLLHVDDLFDLLDIQLDLEKAKGKVYNVGGGTFSSLSLLETTRLCEELTGNAIAIRNDPNRPADLAIYIGDNRRVTADFGWKPKRDAATILRDLLAWVREHEASL----- 136726162 ---KTLLITGGAGFIGSNLVAHILKSDTRVINLDAMTYAGDAANLNYKFVKGDIRNRSLVDELFKSHDIRGVMHLAAESHVDNSISNPSVFIETNVNGTFNLVDAAYKHWHCKFLHVSTDEVYGTGATGLFTEETPYAPNSPYSASKASSDHIIRSYHHTYGMNTVITNCSNNYGPNQ----------------------------------------------------------------------------------------------------------------------------------------------------------- 85704550 --------------------QQLQSQGIKVSAIFNTPPDPQDIDNVTDWRDGGVTE-ANLSDLMP--DCSVIIHCAGSGSVAHSLNAPAEDFQSNVITMQLVLEAARRQGGVSVVFPSSAGVYGAVDTMPIRVDTPNHPVSPYGVNKLIAELLVQQYGRHFNVPATIVRLFSVYGPQLR-----------KQLLWDACCKLGK-------GDALFFGTGEETRDWVHVEDAARLLIRAAD--VASPDCVIVNGGTGASVTIRRMIEGLAERMGIGAEIRFQQTRAGDPKHYAADISEALA-TGWTPQKDLEQGLDDYVAWFLR---------- 20804182 MENKKVYVAGHRGLVGSATMRALEALGSYEII-------------TRTHDELDLFDRSETRRFFMSQRPDYVVMCAAVGGILANASSPVDFLHNNLAIQVSVFDAAYASGVERMIFLGSSCIYPRDCPQPIREEYLLATNRPYALAKIAGVESCWSFNRQYKARYLALMPTNLYGPGDNYHPENCHVLPALIRRFHQAKMNGD-------SSVGVWGSGNPRREFMYSSDVGDAIAFLLDALTAPDTAPLINVGVGEDVTIREVAELVKAAVCWEGNLVFDTTKPDGTPRKLLDVTRLRN-LGWKAKTSLGAGLQATYEDFLR---------- 141831186 --NKRILVTGGAGFIGSYLCEKLVNKGNYVICCDNFYTGNKDNLVNILNIRHDITIYLEVDEIFNFACPASPVHYQ---------NDPVQTIKTCVHGAINMLGLAKRTKA-KIIQASTSEIYGDPEIHPQTEQSINGPRACYDEGKRCAETLFWDYKRQHNVNVKVVRIFNTYGPRM-------QKNDGRVVSNFIIQALTNK-------NITVYGDGKQTRSFCYVDDLVEGILLTMEKKKFPGP---VNLGNPVEISIMQLAKEIIEMVGSK---------------------------------------------------------- 135799949 ----KFLVVGGAGFIGSQVCKSLLLQRHFVTVIDNLVSGSREHIAQFTFIKSDITDPGFSD---HFNGVECVIMLAANADIAKATSDPTIDFYEGTLLIQLTLEAMRKCNVPRIIFSSGSGVYGERGYSPAHENSLMEPISPYGANKLACEALIRAYVYMFEMQATIFRFGNVVGPMQTHGVCYDFIRKLFADPDTLRIM----------------GDGSQDKPYLHVEDVVGAINFVLERQRSS--LEVFNLAPNDTTTVNEIASIVSKKMGLKPRIRGAEDRRGDVPIVRLDSSKIKE--------------------------------- 135381695 --NKTALVLGAGGFIGSHMVKRLRKEGYWVRGVD-LKYPEFSNSEANEFVQGDLRDVDFVRRVIQYYQFAADMGGAGFVFTGE---NDAEIMQNSVTINLNVLEQQRWTECNRPALDQPTKIFYSGSACMYPEHNQLDPDNPDCWEKLFSERLYLAYNRNHGIPVRIARYHNIFGPEGTWTGGREKAPAAICRKVAYANNED---------TIDVWGDGKQTRSFLFIDECIEATYRMMQSDF----LGPVNIGSEEMVTINQLVDTAAKVAGKTIHKNHIDGPLG-VRGRNSNNDLIREKLGWDYEQTLEEGIRKTYEW------------- 134759530 ---KKIVVTGGSGFIGSNLVKFLLKKKYFVINIDSLNTKNLNKDKNYVFFKLDLNNKNKIVKILKKYKPEAIFNLAAETHVDRSIDDPSNFIHSNILGTYNLLESIVYKKKIKLIHISTDEVYGDVLKGRSNEKSPYRPSSPYASSKASSDHLVNAYIRTYKISAVISNCCNNYGPN--------QFPEKLIPKLIFNIINKKPLP--------IYGKGRNSREWMHVQDHCEAL-FLIYKKGKIGENYNIGSGMN----------------------------------------------------------------------------- 136845985 ----KLLLTGSSGFIGSHLTPDLQKN------------------FELFHLKSDLLDHKKVQDEVASISPDIIVHLAARTEVEKSFYEQTSFSEVNYVGTVNLIEASRVKNLKNFVFASTMEVYGWQPHVAFDENTQPNPNAPYAVAKYGCEKYLEYAHRCLGLPFTALRQTNCYG-RKDNDFFVTEQIITQMLENPNEINLGYSEP---------------YRNFIFIDDMMSVWQTVIQNHDKCNDGKIFTIGPDNPIKIKDYADMIANKIGWNGKINWKPARPGEIYWLNSNATLLEKTLGWSSKTSLDAGLDYTIDWNKK---------- 42527902 MTKRKILVTGSLGQIGSELVMFLRKENDNVIASDIEKKDVPKVIGSGPFEKLDVLDGKKTLEVCKKYKVNTIIHLAALLS-AVAEKNPQLAYQINLTGLHNMLELSREQKYSLFVPSSIAAFGPSTPADKTPQDTIQRPTSMYGVTKVAGELLCDYYHQKFGVDTR--------GVRFPGLISYEALPGGGTTDYAVHIYYEALKK----GAYTSFIKKGTLMDMMYMPDALNAIFKLLEADPAKLKHRNAFNITAMSFAPETIAAEIKKHIKFKMSYDVDPVRIADSWPNSLDDSCAKKEWGWKPKYNLESMTKDMLE-------------- 143603022 ----NVLIVGGAGYIGSHIVINAIEREYNVTIFDDLSTGSIKNINHAKFIKGTTLSNSDLSELFKNNNFDCVIQLAASKAAGESMLHPSKYATNNVVGSLNLINYCATHGVKSFIFSSSAAVYGVPEYTPIDESHPLVPNNYYGHTKLMIENNLMWFSKLKGMRYAALRYFNAAGYDIKKRILGLENNPQNLIPIVMEVAIGLRKK------------------------------------------------------------------------------------------------------------------------------- 136637632 MSKNKVLILGSNGLVGKTLTKSLY------------GSSKVSETIAATRERADLFSLEQTKNLLNEEKPDIIINAAAVGGIHANNTQRTEFILENLKINMNLFEAVVGHPETKVINLGSSCIYPLNAKNPISENSMLEPTNPYAMAKITAIEIGRSIRKQYGIEVLNLMPTNLYGPYDSFSELDSHVIPGLISRMHESKIKNEK-------EFLIWGTGTPLREFMYAEDLANAIEFLLDKDLD---SDLINIGSGEEISIKNVSELIKNVVGFEGEILFDPSKPDGNPRKLLDSSKINS-LGWNAKVVLNEGLETTYDWFLNN--------- 148380672 ---KKVLVTGASGFIGYKTLNFLINKGYEVHALT--TRGNISDNSIVKWYKINLLNNDETEKIVQVIKPNYILHFAWYAVPGKYSSAKENLDWV--QSSIQLLKSFKKYGGERFIFAGTCFQYDLGYGLMDENVTPSIPNSLYGICKNSFENMAREYCCKNGMSFASGRIFYIYGER-----------------ENKNRIIPYVINSLLNGKVANCSHGNQIRDFMHVDDVANAFVEILDSSID----GVINIGSGQAINIKEILFKVGEKLNKKELINLGAIKTNEPKMIVANNDRLKNETNWSQCYSLERGIEKTINWWKE---------- 309792766 MQ-KTFLITGGAGFLGINLVRYLLERGHTVRSLDIATFDYPERNRVDAQI-GDIRDRAAVDRAMQGVDI--VVHTAAA----LPLYKPEDIFSTDIDGTRNVLQSALEHKVERVVHVSSTAVYGIPDHHPLLETDKMDGVGPYGQAKVKAEEVCLEY-RQRGMCVPIVRPKSFIGPERLGIFALLYDWAKDGKNFPLPGNGKNRYQLLDVEDLCDAIYRCATQDVAKVNDTFNIGAKDFTTIKEDFQSVLTYAGKGKKIITAPMVLALKVLEALKLSPVYKWAYGTVTEDSFVSVEKAERILGFAPKYSNKDALIRNYQWYIAH--------- 302682722 -KRKVALISGITGQDGSYLTEFLLDKGYEVHGIIRRSSSFNTGRLHHLFEDGDLSDSTNLVYIIASVQPTEIYNLGAQSHVKVSFEMAEYTGDVSGLGTLRLLDAIRTCKHIRFYQASTSELYGKVVETPQSETTPFYPRSPYGVAKLYAYWIVVNYRESYGMFACNGILFNHESPR------RGRTFVTRKISRAVADISLGKQSCLYLGNVDAKRDWGHARDYVEGMWLMLQQPEASDFVLATGETHPVREYVEKAFACVDVQLQWEEAVDTKTVVKVDPRRPAEVDLLHGNPAKAERVLGWRRRVDFDSLVKEMVAADLKAAKSLVEDQ- 143349313 -------------------------AGYHVIGIDKEIT---IAEASSFVDELIIDDFVNIASFVAVENVDAIIHIAGTSLVGPSILNPGLYYDNNVAKNIMLDELAKKNWKGKIIFSSSAAVYGNNCVVPIIEDAQGIPVSPYGHSKKMCEYVIRDHSLAHGFKSIALRYFNACGCDKNQQLGN--VWNDTHLIPRVIQNLIENKVTIINGINFNTKDGTCIRDYLHVTDIANAHVSAVDLCNEHGEFRAYNLGTGTGYSNLEIVEAIKAVTKKPLDYKFGPQREGDPNELIADPS------------------------------------- 89094399 ---KSVLITGVAGMIGSHLADILLEKGHHVLGLDDLSVGSDVNLVEHKFINGSVLDSVLIENLVS--KVDAVVHLATFKKGSNLHESRSTLRLITQSADIVLDAAYRLG--KRVVLASTSDVYGHGTSFPFRESDPYGPFNTRRWAKQYTEQLAFDYAH-DGLDVRIIRYFGGFSERSCSGWQGGHLP-------IFIKRILENEALEIHGDGSQTRCVTYGADL--------ALGTYLALITEDVEGELFNIGGTEEISVKDTALRIAEIAGLEEPINYIDTKEQEIQRRLPCLDKAKEMLGYEPQWSFEKGVKQMISAYKK---------- 269926953 ----KILVTGAAGFIGSTLVD-LLRHDHDVRAIDNFSVGTVRQIGDVTVEEMDCAIPEHAEEMVRGC--DVVVHLAGMTGIPQCEQHPLDASRDILVATKYVSEAAVRAGVKQFLFSSTFAVYGQA-SGLVTEDTPRAPIGMYGFLRTASEHLLLAAQKLDGLNVLIFRQTNIYG---------KGITKKNTLLNVLADRVLNHQPITIYGTGM------QARNFLHVMDTVQAYKLAIEKQATGIYNLGSTETLTVKTVADIVNDAAERILGYRVPIEQKPDRGAGNREFVVDISRICHDLGFSPQRTVKGTVER----------------- 136563239 MINKNIFVTGHKGLVGSSLMRRLKFFGYKNII-------------TRTKKKLDLRDQGKVKIFFKKNKIDAVINAAGVGGIYANNKYKADFIYDNLSIQSNVIHSCFQNKIKNLILLGSSCIYPRNSKQPIKENELEKTNEPYAIAKISGIKMLESYNFQYKTNYKCLMPCNLYGPNDNYDLKSSHFLPALISKIHTSKINKKKI-------IELWGTGKPKRELLFVDDLADACIYFLNKKTKE---TLINIGSGKEMTIVDYAKFIIKELRANLKIKFNLSKPDGTPRKIVDSSVAKKY-GWKAKITFEDGLKRT---YLDFLKKL---HE 135653870 ----KILVTGAAGFIGYHTCLRLINQGHEVYGIDNINDYYDPRLKTSRFTRLDITKSKPTNNIFRNEKFEVVCNLAAQAGVRYSIKNPKAYIDTNIIGFYNILEACRNYKIKHLVYASSSSVYGENKKVPFNVTDVDNPVSLYAATKKSNELMAHSYGHLYNFKTTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 144055184 MMRKIALITGITGQDGAYLAELLLNKNYEVHGIKRFNTARIDHLYKDKHKEGDLTDSTNLIRIIQEVQPHEIYNLGAQSHVKVSFEVPEYTANSDAVGTLRILEAIRMENKVKFYQASTSELYGKVQEIPQTETTPFYPRSPYGVAKLYAFWIVKNYREAYGMFACNGILFNHESP-----LRGETFVTRKITRAASKIKLGLQERLYL-GNLNAQRDWGHAKDYVEGMWRMLQHEKPEDFVLATGKTTTIREFCNLSFKELGIDIKWEGEGENEVGIDQSSYRPTEVDLLIGDASKAKKLLSWTPKYELESLVKEMVN-------------- 168188178 -----IVVTGGAGFIGSNLVKQLNAQGRDIVVIDDLTDG-TKFVNLVDLTIADYMDKDEFQARIVSGDIEVIFHEGACSATTE--WNGKFIMEVNYEYSKDLFHYCIEREIP-FIYASSAATYGGRNDNFIEDPKFEQPLNVYGYSKQLFDQYVRRWMPEINSQVVGLKYFNVYGPR------EQHKGSMASVAFHLNTQVKKGENPKLFEGCDGFPNGGQMRDFIYVDDVCKVN---LWFWQNPQHSGIFNCGTGRAEPFQNVAEAVIQHHQKGA-IEYIPF-PDHLKGRYADMTRLRGV-GYDAEFTVAEGVADYMAW------------- 136406757 ----KYIITGGLGFIGSHLYDRIKKTNNKVLLLDNLYSGHINNIKKDDFVNIDIRDKKIINYFDEN---DIVIHLAAISSLPECQSNPVLAYDVNVNGTLNILEICRKKNVSKIIFASTSAVYENNINFPVKENDEVSPTLIYSMSKYCCEKLCKSYIKNYNMNINIIRFFNVYGGNQDFRRTSPPLTSYIINCILTKKDI------------ILHSDGNQSRDYIYVED------------------------------------------------------------------------------------------------------- 140276319 ---------------GSHAVAGLKQQGHAVHGLDDFRAGRSDEHLGVPFHELDAGDEEAVFTLLRDESFDCVQHFAADVHVSASVREPIPTWENNVGTTTRLLSAVRRAGVPKFVFSSTCAVLGDSGTAFVREDDPICPMSPYGSTKAACERLIEAALAEAPFSAVILRYFNVAGAGPFGEPIAGSGHDVRLSQVLLDVVQGRREHLTIFGTDYPTPDGTCVRDYIHVDDVVAAHAVVGDR-LEPGQLCRYHVGTGKGISVQQAVEAARQAS------------------------------------------------------------- 136146659 ----KILVTGGEGFIGSWIVEKLSQMGHRITTLDSSETY-------------DIIDRDSLDKICQWRQRDIVIHLASYPRARIVHDLPEMGVKNIVVGTTGMLQDCVEYGVKRFVYVSSSMIYGDFADGA-NEKTVPNPINIYGEAKLAGERLTQQFNRAFGLEYVIARPSGVYGAG-----------------DIPDRVLSKFFDAAMNNRDITVHGADNRVDFTYVEDAADGIIQCALKKRAANKIFNITAGSANS--LGEAAKKIIQLTGSRSRIKDTGKNKLYPDRGTLDVSQAQQLLGYQPQHSFDQGIAKYYEW------------- 134829626 --NKSVLVTGHTGFKGGWLSLWLDKAGAKVSGYANEENSFYKSVYAGTEIFADIANSKKLSEYIKTQQPSFIFHLAAQPLVRKSYRNPVETFNTNAIGVINLFEAVRSCRFEPTIINVTTDKVYKNKDGMLSEIAELGGDDPYSASKTCSEIITNCYKNSYFDRTNVK----VATVRAGNVIGGGDMAADRLIPDYFRSILKNNE--------IVLRNPKAIRPWQHVLEPVSGYIKLAEKLSSKNGSQYEGSWNFAPFEVGEIISKLCKISGGKEYKSFEKSNLPETQSLILDSSKAMNKLNWKPKLKIDEALSLTYDWFSR---------- 136486848 ----KILVTGGAGFIGSHICKELIAQNHEVVCIDNLSSGFESNITSIKSDKFTFIDVNTVTELLLKWNVNAICHQAAMGSVPKSILYPELYQYNNVNGFFTILNSAKAVGIKRIVYASSSSVYGSDTTLPKVESKTGFPLSPYAATKQINELQAKTYYTVYDMETIGLRYFNVFGPNQNP--------------------------------------------------------------------------------------------------------------------------------------------------------- 135306393 ----KLLVTGGAGFIGSNFIHYWLEKQNSIVNLDKLTYAGNENNPNYTFVQGDICDRQVVSQAMQGCDI--VVHFAAESHVDRSIHDASPFIMTNIVGTHTLLEVAREQKNSRFHHVSTDEVFGLSDTQKFNEQTRYNPRSPYSASKASSDHLVRAYFYTYGLPITITNTENNYGPYLFP----------EKLLSLAITNLLEGKKVPIYGDGKYVRDWLYVRDHC----------------------------------------------------------------------------------------------------------- 142062370 ---------------------------------------------------------------LSKHKPRSIVNFAAETHVDNSITSPEAFINSNITGTFNLLSATLEFYKFKFLHVSTDEVFGSLDDDKSTELSAYRPNSPYSASKAASDHLVRAWAETFKLPVNITNCTNNYGP---------HQHTEKLIPLLISNCLNWKK-------LPIYGDGKNIRDWLFVEDHCEAICEVLDK---GKVGETYNIGGNNQTSNIEITQKICSILDKSQLIEFVEDRPGHDFRYNLDTSKIKKELNWQPSETFDSGLKKTVSWY------------ 75676570 -ATRRVLITGVTGQDGAYLAEYLLGLGYIVHGIKRFNTARIDHLYEDPHHYGDMTDSTNLIRLMQQIRPTEIYNLAAQSHVAVSFESPEYTANADAIGVLRLLEAIRMEKETRFYQASTSELYGLVQEVPQKETTPFYPRSPYAVAKLYGYWITVNYREAYGMYASNGILFNHESP------IRGETFVTRKVTRSVARIEAGLEQCLYLGNLDAKRDWGHARDYVEGMHLILQADGPDDFVLATGETRAVREFQEVGRRIEWRGKGVEEVGQIVVRIDPQYFRPTEVDLLVGDAAKARENLGWRPKTSFATLVREMVA-------------- 308388297 --------------------------------------------------------------------------------------------------------------------------------MPYTEDMRPDTANPYGASKAMVERMLTDIQKADPWSVILLRYFNPIGAHESGLIGEQPNGPNNLLPYICQVASGRLPQLSVFGGDYPTPDGTGMRDYIHVMDLAEGHIAAMKAKGGVAGVHLFNLGSGRAYSVLEIIRAFEAASGLNIPYQIQPRRAGDLACSFADPSHTKQETGWETKRSLAQMMEDSWRWVSRNPNGYGD--- 88603380 --TKTAFITGITGQDGSYLAELLLSKGYEVHGLVRRASTFNTHRIDHIYVDGDLSDSEMISHVLYNIKPDEIYHLGAQSHVRVSFETPEYTGNVTALGTTRILEAIRRSNPAIRFYQASSSEMFGHIAPPQNEESCFWPRSPYACAKLYAYWMTRNYRDGYNMFTCNGILFNHESPRRGEIFV------TRKITRGIAAILAGKEKYLYLGNLEAKRDWGFTPEYVECMWQILQQDTPDDYVIGTGETHTVQEFVDAAFAYAGLDP------EKHVKIDQKYFRPTEVEALLADPSRAEKKLGWKAKIKFSELVKIMVD-------------- 302671667 -KGKRVFITGHTGFKGTWLTKALVMAGAEVYGYDIFSKDYQEKEVSENLYDIDIRDFARLKEFFDEARPEIVFHLAAQPIVRDSYQSPRYTYETNVMGTVNICECVRTSDTVRFLNITTDKVYQNNEWEWYREDEKLDGYDPYSNSKSCSELVTHSYINSF----FRDRKIAVSTARAGNVIGGGDFAKDRIVPDCVRAARA--------GEKIVLRNPDSTRPFQHVLEALSAYLLIAELQYED-YSKAGFYNVGPNESDAVTAYRIASAFADEWNAHLDGNRPHEANYLKLDCSKIKSRLNWAPKWDIDMAVKKTVEW------------- 138010213 ----------------------------------------------------------NLKKIIFKYKPACIFNLAAETHVDRSIDKPDDFIKSNIVGVYNLLECFREFHKTKLVHISTDEVYGDILKGRSSETYPYKPSSPYAASKAASDHLVYSYVRTFKIPAVVTNCSNNYGP--------KQHPEKLIPKLIYNIANNLPLP--------IYGKGTNSREWIYVKDHCEAL---LKVYLKGKIGEFYNIGSNKNLNNLDVTKKLLDKSGKKVKINFVNDRPGHDIRYALNSYKIKSQLKWKPKTTFDKGITQTIKWYFDN--------- 172061334 -SGKRVFLTGHTGFKGSWLTLWLRSLGAEVTGYALAPDTTPNLFSLARVEEGDIRDRGQLLDALRRAAPEVVIHMAAQSLVRTSYSNPVETYEANVMGTVHVLDAIRQVRSVRSVVIVTTDKCYENREWEWRENEAMGGYDPYSSSKGCAELVTAAYRSFFNEAAYDTHRVAIASARAGNVIGGGDWASDRLIPDIIKAISA--------GEIVNIRNPRAIRPWQHVLEPLCGYLLLAEKLYVEGPRYAGAWNFGPNDIDAQPVQAIVERLDGARWQLDGGDHPHEATYLKLDCSKARARLGWHPRWDLDFTLDKIVDWYRA-----AHEHE 143715223 ---KTALVLGAGGFIGSHMVKRLRADGYWVRGVD-LKYPEFSETEANEFICMDLTDVNVMRRVIRYYQFAADMGGAGFIFTGDNDMHNSACINLNLLEEQRKWNEDKGTNHTKIFYSSSACMYPDPNNPDCSEDSAYNPDSEYGWEKLFSERLYLSYHRNYNMPIRIARYHNIFGPESTYEGGREKAPAAICRKVI--------NSETCEDTIEIWGDGQQTRSFLYIDECIEATRRLMDSDFM----GPVNVGSEEMVSINKLVDIVEAIEEKDLYRQYVEGPLG-VRGRNSNNDLIREKLGWDYEMPLEEGIKKTYEW------------- 136866962 MDFSRVLLTGGAGFIGSFILKKLIDDGKEVVMVDDLSRGNIENIDAEGSEKLDLTDNVSIDRLLITFQPELVIHYGAVNGTLHFYDHPLRTSYVNSYGTYNLLDAITSSCVDKIVFASTSEVYGEPSEIPTTESALTEDRDSYSVAKQMSEFYVKLWAQKNRVPYNILRIFNVYGPKMVSSKYGQVVPELIARSLSGEYPL------------EVIGGGKQTRSFCFIDDHVNKVFAII--NESQLENEIINVGNDQEISIAFLAKRIQYLLGLAPNIVAIEDRKGD---------------------------------------------- 225620609 ----KILITGSAGFISGYLVDQLLERGHEVIGIDNYSYGYLEKSYDNHPVDGDVKDTNLMKNLI--EDCDQVVAIAAMIGGITYFHEYAYDLKIIASTFDSAIWAFKNKKLKKINVLSSSMVFESTSTYPTEEGECPPPLSTYGFQKLACEYFTKGAYEQYKLPYTIIRPFNCVGIGERRAKNEKEINSGNVKAMSHVVPDLVQKVLKGQDPLHILGSGNQIRHYTYGGDLARGIVTCIE--SDKAINEDFNISTAQSTTVKELAAVIWKKINGDKPLNFVSDEPYDVQKRVPSVEKAKRILGFEATTTLSEMLDEVIPW------------- 153010693 LQEKTALIVGVTGQDGAYLSELLLKKGYRVHGLKRFNTARIDHLYQDPHEEGDLTDATNLCRVIQEVRPDEIYNLGAQSHVQVSFETPEYTANADALGTLRLLESMRIGKTCRFYQASTSELFGNSSPQAQNEQTPFAPRSPYATAKLYAYWTTVNYRDAYGFHASNGILFNHESP-----LRGETFVTRKITRAVAAIERGLQDRLRL-GNLDARRDWGHARDYVEGMWRILQEDTADDYVLATGETHTVREFVEHAFKIEWHGNGVEEIGRDRCLIEIDPRRPNEVDFLLGDASKAKNRLGWQHTTGFDNLVTEMVDWDLRN--------- 137707524 --NKVALVTGAAGFIGFHISKKLLDEGWHVIGLDCMSDYHREEVLLQKKQYTSLHDPNVLMNIFEREKPDVVIHLAAQAGVRYSIDNPRAYLESNIVGTFELLEAARKFPPKHILLASTSSAYGSNSDMPYKETCKADHMSFYAATKKSTENIAHSYSHLFELPVTMFRFFTVYGP----------WGRPDMALFKFTRAMLSGEKIDIFNYGEMERDFTYIDDLVH---------------------------------------------------------------------------------------------------------- 141415093 -DRRRVLVTGGAGFIGGAVVRRLLRETVTVFNLDKMGYASDEEVLRHRLQKVDLTDAAAVEAAVQEADPDLVMHLAAESHVDRSISDPGVFIDSNVNGTYNLLQAVRGHYAFRMHHISTDEVFGLDAEGRFSETTPYDPRSPYSASKAASDHLVQAWHHTFGLPVVLTNCSNNYGP--------WQFPEKLIPVVTLKATGGESIPL--------YGDGLNVRDWLYVEDHVDAL---LLAACNGKSGRSYCVGGHGERTNKEVV-------------------------------------------------------------------- 186475517 ----TLIVTGAAGFIGSNLVKALNERGEDGIIVDNLTRADKFKNL-VDCEIDDYLDKTEFVERFARGDFGAIFHEGACSDTME--TDGRYMMDNNFRYSRAVLDACLAQGVQ-FLYASSAATYG-GSTRFVEEREVEAPLNVYGYSKFLFDQVIRRVLPTAKSQIAGFRYFNVYGPRES------HKARMASVAFHNFNQFRAEGKVKLFGEYNGYAAGEQTRDFVSVEDLVKVNLHFFDHPEKSG---IFNLGSGRAQPFNDIASTVVNALRALDGIEYIPFP--DALRTQADLTKLRAA-GYAPFLTVQEGVDRYVRW------------- 212637731 -----IVVTGAAGFIGSNLVKALNDMGNDIIAVDDLTDGTKMFNL-ADCEIADYLDKDQLEQIIAGEKIEVIFHQGACSSTTEW---DGKFMMSNNYEYSKTLLQFCDRTKCQYIYASSASVYGGSEK-FIEQRDLEKPLNVYAYSKFLFDQYVRQ--QKPNCQVAGLRYFNVYGPR------EQHKGGMASVAFHFNNQLNANGICRLFEGVDGYENGQQLRDFVYVEDVVKVN---LWLWQNSEVSGIFNCGTGQAQSFNDVANAVIAHHGKGEYIPFPDKLKGAYQSYQADLTKLRAA-GYTAEFTVEQAVPEYLSW------------- 139462718 ---KKIIVTGGLGFIGSNLIDLLISKNYYVINIDKITYSSNFYNKKYKFIRCDIRDK-KLTKILLKYKPVCIFNLAAETHVDRSIDSPESFIQSNIVGVFNLLECFKNYYNSKLIHISTDEVYGDILKGRSSENYPYKPSSPYAASKAASDHLVYSYVRTYKIPAMITNCSNNYGP--------KQHPEKLIPKLIYNILNNKPLP--------IYGKGTNSREWIYVKDHC----------------------------------------------------------------------------------------------------- 137156053 ----NVLVTGADGFIGSHLTEQLLSKGANVRALALYNSGWLEEIKYFNKNKGDIRDGSFVHKLCK--NIDVVFHLAALISIPYSYVSPNSYMMTNVQGTINILEASLSNKISRVITTSTSEIYGTAKYTPIDEVHEIQAQSPYSASKISADHFCDAYARSFGVPVIILRPFNTFGPRQS---------ERAFIPNVIRQLLDKNNEKINVGD------LSTVRDFIYVTDVAEAYC------------------------------------------------------------------------------------------------- 143477338 ----RLLVIGGTGFIGYHLSQKAKSKGWKVTSVSLGIPKIKRRIKGVKYIKLDITKKNQIKKKLLD-NFTYVVNLGGHTSNINKKKYQKKIYDSHLKGSTNLMNFFVKKNIQSFVQVGSSAEYGL-TSSPQKENDKCKPANIYGIAKLRATNYLLKLTKSKNFKGNVLRFFQVYGPRQGQTRVVPQILYSCYK-----------------NKMFPTSDGKQIRDFCYIDDATKSIFKILNKKRVYG--QIFNIGYGKGISIKSLINEIQKITKSGTPYGMLKERNYENLKLVPDIKKAKKILNWKPTISLKSGLLKTLKYIKKYER------- 142106656 ---KNALITGITGQDGGYLAELLLSKGYKVYGAQRRNTGKKHWRLHIEFIDIDLSEPYNIEKVIDKAKPDEIYNLAAQSFVGLSFEQPQVTTISNSLGVLNILEVIRNKYPIKFYQASTSEMFGKVTEIPQKETTRFYPRSPYGCAKAYSHYLTVNYRESYNLFACAGILFN-------------HESPLRGEEFVTRKTTKGLVEWMKTGKVLELGNLEAYRDWGHAEDYVEAMWLMLQQDKPDDYVIATGKTIQIKDFIIKCLDELNIKYEFKGHEVFDDKRPAEVDELIGDSTKARTVLSWKPIHTLDTLVKDMI--------------- 303237985 --KKRILITGASGFIGSFVVEEALRQNMEVWAAVRGSSSRKYQDERINFIELDFSNEEKLREALRPHQFDYVVHAAGATKC----LKKADFFRVNTEGTRHFINASLEMPLERFVFVSSLSVYGAISEQMIEENDEKCPNTAYGESKLAAEKLLATTQHNGKLPYVILRPTGVYGPR---------EKDYFLMAKSIQQH-----------TDFAVGFQRQDITFVYVKDLIQAVFLALER-GKTGRGYFITDGKGNPWWVRIKADLFGRMTGKLSPLNNDKYQILKQRNWRCNIQPIIDELGYKPEYDLQKGVKETMDWYRKN--------- 144073890 ---------------------------------------------------------------------------------------PLKYYENNLITLINLLEINSLKTKISFIFSSSCTVYGQAKKLPINEDEKIQAESPYGNTKQICEEIIQDTCKTSNLKAISLRYFNPIGAHPSNEIGELPIGPQNLVPFITQTAIGKHKELTVFGNDYPTIDGTCVRDYIHVVDLAKAHIQSIKRLIENKNYDFFNVGTGKGSSVLEVITSFEKVSNIKLNYKIGPRRNGDITEAYADTQKANNVLDWKSELSLDQAILSAWNWEKK---------- 136586343 MSRKVALITGVTGQDGSYLAELLLSKGYEVHGLIRFNTSRIDHIYQDPHEKGDLIDGVGLTNLIREIKPTEVYNLGAQSHVQVSFTMPQYTGQVDAVGAVGLLEAIGSADLDIRFYQASTSELYGSTPPPQNETSVFRPRSPYAAAKLMAYWCTVNYRDGYGLHATNGILFNHESPRRGETF-------VTRKITRAVAAIKAGKQEKLF-----LGNLDAVRDWGYAKEYVESMWLMLQ----QDKPSDYVVATGVGATVRDFAEAAFARAGLNWADHVETDRPTEVDALIGDPSKAEKALGWKAKTQWKE--------------------- 144210957 MSMKKIIVTGGLGFIGSNLIDLLLNKKYYVINIDKVTYSSNKNLKNYKFIKCDIKDK-KIYKIFQKFKPCGIFNLAAESHVDRSIDNPHDFIQSNIVGVYNLLECFKKFYDSKLIHISTDEVYGDILKGRSSENYSYKPSSPYAASKAASDHLVSSYVRTYRIPAIITNCSNNYGP--------KQHPEKLIPKLIYNILHNMPLP--------IYGKGSNSREWIYVKDHCE---------------------------------------------------------------------------------------------------- 144139297 -QGKRVLLTGHTGFKGTWLALWLQQLGAEVVGVSAPVTKPSLFQLSGLAQRVDIRDAQALAKVAAQHQPEIVFHLAAQPLVRASYRHPLDTFSTNIQGTANVLEALRGLPSTKVVVAITTDKVYRNREHPYREDDHLGGHDPYSASKAAAELVIASYREAF----LAGQGVAVASARAGNVIGGGDWSEDRLIPDAVRAWTSR--------QPLQVRRPEAIRPWQHVLEPLNGYLRLAEQLWHQADAYNFGPHTHAAASVRQVISQARDAFQQGDIHWGDGTQPHEAGWLALEIAKARHRLDVHPRWSLPESIRRTMHWYRR---------- 123966633 ----KIFIAGHNGMLGSSLLRKFKDQNYEVITVDK--------------KDLDLRNQIDVNNFIGIHKPDKIILSAAKVGGIQANQNFKEFLYDNLMIQTNIIDAAAKNNTKTLVFIGSSCIYPRESEQPIKENGLLEPTNPYALAKIVGLKLAKLYAEKYNIQCICPMFCNLYGNNDNFDLINSHVLSSLVRKFVDAVDNS-------IGKVELWGSGEVFREFLNVEDAVDAIILLLEKYKS---NDHINVGSGEEIKICELASKIAGLTGFEGEIIWDESKPDGTPHKLLDVSKISK-LGFKPKINLDDGLVSTINSYLKLNEEINNKKQ 140606701 MASETWLLTGGAGYIGTHIADEFIRAGKSVVIYDSLYQGRAHHGVEIPFIKADIRDFNEIESVIRTHKIDGIVHTAALKAVGESMEKPDEYFQVNLDATKELIEIARRNGVKKFIFSSTAAVYGSPDSMPCKEDGPKAPISPYGDSKYQAESSVTAFINEPGNHGTSLRFFNV---------------------------------------------------------------------------------------------------------------------------------------------------------------- 141156161 ---KTVLVTGGTGFIGSHTVLSLLLKGYKVLVIDSNINSSPEVLKRIKFFKGDLKNYDFLENIFHNYKIEAVIHFSGLKCVSESIKKPLDYWNENICGTINLLKILDKYNCNNLIFSSSAAVYGEKNQMPIKEFVNLSPSNPYANTKEAIERMINDFYKSNNLNIIILRYFNPIGAHSSGLMGEDP--------------------------------------------------------------------------------------------------------------------------------------------------- 291288679 --SKVALITGVTGQDGAYLAEFLLAKGYIVHGIDHLYQDPHEENVKFKLHYGDLTDSTNLIRIIQETQPDEIYNLAAMSHVKVSFDTPEYTANADGLGTLRILEAIRIKKKTKIYQASTSELYGLVQEVPQSEKTPFYPRSPYAVAKMYAYWITVNYREAYGMFACNGILFNHEGPTRGETFVTRKITRAVAKIVLGMQDKLY------LGNMNAKRDWGHAKDYIEAMWLILQQDEPEDFVIATGVTTTVRDFVENEKGYVKSCSNKEFKLETGKEIVAVDPRPTEVDLLIGDPTKSKTKLGWKPKYDLKSLVEEMVA-------------- 136489157 -----------------------------------------------------------INRILKKYQPDIVMHLAAESHVDRSIDGPNEFIQTNIFGTYTLLKEVTNYWNIKFHHVSTDEVYGDLGETLFTENTPYSPSSPYSASKASSDHLVRAWNRTYKLPTIITNSSNNYGP--------YQFPEKLIPLVILNALAGKELPIYGNGQ--------QIRDWLYVEDHAKALFHVA---LNGEVGETYNIGGHNQLKNIEVVKIICNILKYEQLITYVIDRPGHDLKYAIDAGKIAKKLNWKPAETFETGIKKTVQWYLKNSKW------ 142577310 --SKKILITGGAGFIAHHVIDKILSTDWEIITLDRLDSGNLNRLKRVKIVHHDLKAELNPEIISTIGRIDLISHLAAGSHVDRSITHPLEFVMDNVVGTAHILDARKLDNLERFAYFSTDEVFGAPKGINYKENDRYNSTNPYSASKAGAEELVVAFENTYEIPSLITHTMNVFGERQNP------------EKFIPMVIKKVRDNETVTIHANPEKTLAGSRHYIHAEDVADALLFLFNYDLTGMKCQKFNIVGKDEIDNLSLAKFIANVQNKEEMVDFHSQRPGHDLRYALDGTKMKK-MGWEPQ-SAYDRLESTINWSLENERWLS---- 143045438 MSDKVAMITGVTGQDGSYLAELLLSKGYTVYGLVRRVSNHLIDNDNFHLMNGDLADQNSIDNAVSTTQPDEFYNLGAMSFVPESWRSPMMTADITGLGALRCLEAIRKHPKCKFYQAGSSEQYGKVRDVPQTEKTPFHPRSPYGCAKVFAFEITRNYRESYDMFACTGILFNHESPRRGLEFV------TRKVTMTAARIAHGFDQCLWIGNVEAKRDWGFAGDYVEMQWRMLQQETPGDYVVATGRTHSFSHVGMKLTWSGEGVDTIATDQEGEIRVRTNPKRPAEVDLLIGDASLSQKELGWVPETSFEQLVAMMVD-------------- 171912765 MPSSRILVTGGAGFIGSALVWALNQRGEENIVVDSLGTDEKWKNLVALKY-ADYLDAADLLEAVANGKFDVIYHLGANSATTERDANHLM---KNNFEYTKVLAHWAIATGAKFVYASSAATYGDGAHGMMQDISVLRPLNMYGYSKHLFDLYAQRAGIAK--RVVGLKYFNVYGPN------ENHKGDMRSVVNKAFDQILTNGKVQLFKSHRPFKDGEQMRDFLYVKDAVAMTLFLGNHPLSGG---LYNLGSGLAHTWLQLVTGIFRALELPPHIEFAHLREKYQYYTCADISKLRQ-LGYKAITPLNDAVADYVRSY------------ 281205473 -ERRKVLISGITGQDGSYLTEFLLEKGYEVHGISSFNTSRIEHLNFTHLHHGDLTDSTNLVSIIHRVKPTEIYNLGAQSHVKVSFEMSEYTADVDGVGCLRILDAIRSCNVIRFYQASTSELYGKVREIPQTELTPFYPRSPYAVAKQFAFWICVNYREAYNMYACNGILFNHESPRRGGTFV------TRKITRFVARIAQGHKEVLTLGNINAKRDWGHARDYVEAMWLMLQQEKADDFVIATGETHSVREFV--EKSFREINITIKWRGEGDVLVKIDPRRPTEVDLLLGNPAKAKRELKWEIKTSFDDLVKEMVA-------------- 143357316 ----RALILGATGQDGQFLADFLIGKGYEVLGASRTKEANPLGLKRPTFKSVDITIVQEISDLLHEFTPDEIYNLAGESSVSRSFKEPTQTIESNVAGLENSILANNPKLGSTRVFQASSAEMFGSSNQALSETSAFKPVSPYAISKLAAHQMSNNYRNTHGIWISCGILFNHESELRPNTFV------FQKIISTAVAISRQRESSMKLGNLEVSRDWGYSRDYVEAMWLILQAEKPEDYVVATGKKHSLRDL----INLVFNILKIEAEFDELVEVDLTLSRPTDVTSTLGNPTKIKEDLGWSAKTSFEELVEIMVRYQLR---------- 56476328 MAARTVLVTGATGFIGSRLATALEARGEHVRRMSRLA------LPGASAVRADLLDPDALDRACRGV--ELVFHCAGHAHVFDSRGDTAAHRDVNFAGTANLVDAAGRQGVRGFVFLSSVKAMGAPGAAPVDETWSALPETAYGRAKRAAEHAVLAAGPRFGMRVTNLRLAMVYGPGSRGNLERMARGIC-------------------AGWFPPLPETGAKRSLVHVDDVVAAMLLVADDARAAGKT--YIVADPRSCSGRELYDALRAALGLAPVRLRCPARFGGVERLLGSPALIERELGWRARVSLQQGLQET---------------- 162455054 ------LITGGAGFIGANLAHRLLQAGEAVILFDNLSRPNVEWLRRTHGDRVTLDVRDARAVAAAVRRASSVFHFAAQVAVTTSLVQPVEDFEINARGTLNVLEAIREGAPPPLLFTSTNKVYGGLPDVPVSEARPLDFHSPYGCSKGAADQYVIDYARTFGLPAAVFRMSCIYGPRQFGT-------EDQGWVAHFLLQALRREPITLYGDGMQVRDVLFIDDLVDALLLARRDIRQI-----SASAFNVGGGPENTLSLLELLDLIEELDGKRPLIGFEGWRPGDQRYYVSDCRRLSAATGWWP--------------------------- 135421934 ----------------------------------------SNRLLYASKKTLDLRNEYKVLKWFENNKPQIVIISAAKVGGIYANSNYVDFIENNLRIQNNIIRASYISGVEKLVFLGSSCIYPKHCEQPIKEDGFLEPTNEAYAAKIAGIKLCEAYSNQYNCNYISLLPTNLYGPN-DNFDPKFSHVPAALLRRFHEARISKSKSVSVWGTG------TPRREFMHVADLAKAIVFMLEHYSS---SKPINIGTGKDISIKEFAEVIAEVVGFDGKITYDVSKPDGTPLKKLDISRALSY-GWKPEIKLKSGIRSTYDWALKN--------- 136485056 ---RTWLVTGVAGFIGSNLLEALLKLNQSVVGMDNFSTGYQHNLARFSFIKGDICKIEDCR--LACEGIDYVLHQAALGSVPRSIEDPITTNQNNIGGFLNMLVAAKDAKAKRFVYAASSSTYGDHPGLPKVEDKIGKPLSPYAVTKFVNELYAEVFSNTYGFKSIGLRYFNIFGRRQD------PSGAYAAVIPKWITALIKNDPVYINGD------GETSRDFCYIDNAVQ---------------------------------------------------------------------------------------------------- 66512110 -SRRRVLITGITGQDGSYLAEFLLEKGYDVHGISSFNTARIQHLYEDPKHYGDMTDSSSLIKVISSVQPTEIYNLAAQSHVMVSFEVSEYTAEVDAVGTVRLLDAIRTCKSVKFYHASTSELYGRVTQVPQNEKTPFYPRSPYACAKLYSFWIVINYREAYNMFACNGILFNHESPRRGENFVTRKVTRSIAKIHLGLQDILE------LGNLDAKRDWGHAKDYVEAMWLMLQQPTADDYVIATGETHSVREFQYVGRTIKWEGEGINEIGQVLVKVNPKYFRPTEVDVLLGDATKAKEKIGWKPTITFENLVKDMMD-------------- 143708622 -------VTGGAGFIGSHMVDLLRDRGIAVRVLDNLVGGRADNLAHHQQVTLDTRDMVTVAGDDPLYDADYVFHFAGIGDIVPSIDQPVDYMRANVMGTVAALEAHGGRGARKFVYAASSTCYGLAA-TPTREDHPIDPKYPYALSKNMGEQAAFHWHQLYGLPVNSIRIFNAYGTRSRTT-------------GAYGAVFGVFLKQKLAGQPYVVGDGEQRRDFIYVSDVAR---------------------------------------------------------------------------------------------------- 143034308 ----NILITGGLGFIGGHFLTRIKDNNDKIIVFDKMTYASNYERLKNIFEKGDIASKNDVRQVFHVHKPNIVINFAAESHVDNSINEPDLFLDVNTKGTLNLLIEAKKLWINIFYQISTDEVFGSLSKVSFDEKSPYSPNSPYSASKAGADHFVKAFHKTFNLPTIISYCSNNFGP--------YQNVEKLIPKIIFCIKQNKDIPIYSDG--------SNIRDWIYVEDHCKAISLILEK---GKPGKEYCIGGSNEMSNLEICKLILEIIDTLIPIKFVKDRKGHDFRYSLKTSKI----------------------------------- 251796025 ----KVAVTGATGWIGRYVVALLLAKGYEVHA----TYRKSKLALGGIWHQVDLLSADEAVRFIGKVKPDSLIHLAWDAVPPECYRSLANYEWTRCSMALIHQ--FVQSGGKRIIVAGTGAEYVWGEGGLSEKESPDSYIHAYAACKNSLRVWLESYARIAGFSCAWGRLFHLYGP---------HDPGKRLVASTITSLLNKKEAYCRYGGLY--------RDYLYIEDAADALVSLFESPCE----GTVNIASGQPVQLERMVRLIGSLIGSEANIRIGDEQPEEPVVVLADISRLDYEVLWKPAFSLPLGLERTIKWYSDRP-------- 162455480 ----RVLVTGASGFLGSHVAEQLVQQGHSVVALVRRSTRFLSSLRGVELAYGAVEDAESVRAVVGPPGVDAIVHSAGLVKAR----DEAEFFRINVDGTRNLLDAAPAGAIRRFVFVSSLAAIGPSQDGPIAADARPAPVTRYGRSKLEAERLVLA--EKGALPVVVMRPPMIYGPRDQESFAFFQSVARRFLPMLGDGRNTLSVIYASDAAAACIRAIESDVPSYFIDDGSVYVWRDMLADVEAALGARALVRLGVPFSLVRGAALLGRLTGKAVMLTRDKLNELSASHWVCDSSDARRELGWAPEVSWAEGTRRAVAWYREH--------- 268609618 ---KNALITGITGQDGSYLAELLLEKGYNVYGIWRRDYGNIEHLKDKVHIYADMTDPVSLISAMKISQADEVYNLAAQSFVATSWDTPLGTADIDALGVTNMLEAIRIVKPERFYQASTSEMFGLVQETPQKETTPFYPRSPYGVAKLYGHWITKNYRESYGMFAC------------SGILFNHESERRGIEFVTRKITKAAARISLGLQEYVELGNMDSKRDWGHSKDYVRAMWLMLQ----QDKPDDYVIATNETRTVREFVETAFRHVGIDVEWQGKGFRPAEVDILLGDPSKAEKALGWKREIDFSQLVERMVK-------------- 136419374 -----ILVTGGAGFIGGNFVLDWLAAAEGIVNLDKLTYAGNEKDARHIFVQGDIGDYDLVLSLLKKHCVRVIINFAAESHVDRSIHGPGDFIQTNVLGTFNLLEAVRAYWSFRFLHVSTDEVYGSPTDPAFTETNTYEPNSPYSASKAASDHLVRAWHHTYGIPVLTTNCSNNYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 136836115 ----NVLITGGSGYVGTRLIYKLLDETDHNIVNYDISDDHLPKNKKFVYHKEDITDSKKFEEAIVKNNIDTVLHLACISNDPTYELNSELSKRINYDCFEDLVKVSKKNNVKKFIYASTCSVYGISDSPNVVETNELKPITDYNKYKALCEPILKKYLDDD---------FVGIIIRPATVCGFSEKMRFDLTVNILTNYAYNKGYIRVFGG-------KQSRPNCHIDDMCDLYKKLIHDDIARFNGEIFNMGTEN-LRIIEVAEKVKSVMEKKLNKKDIEIRVADIRSYMINSDKIKNLLGFKFKKTVVDAIEDLCDAFEKNKDSFSDE-- 143273114 --KKTALVLGAGGFIGSHMVKRLRKEGYWVRGVD-LKYPEFSKTEANEFVCGDLRDVEIVRRVIRFYQFAADMGGAGFIFTGENDMHNSASINLNLLEEQKKLNKDKETNITKIFYSSSACMYPDPNNPDCREESAYNPDSEYGWEKLFSERLYLTYSRNYNIPVRVARYHNIFGPEGTWDGGREKAPAAICRKVAQLSPQG--------GTIEVWGDGLQTRSFLFIDECIEATWRLMQSDFM----GPVNIGSEEMVTINQLVETAAKVANKKVEKQHILDAPLGVRGRNSNNDLVREKLDWDYSQSLEEGIRKTYNW------------- 159903835 --KKSALVSGGSGFIGSHVIDSLLEDDYNVISISKNQPIGTKYNPKATYIVHDITRPIPKNILERISNVEYIVNCSGYIDHRSFESGGQSVFDNNMKSLINLVEIAKAIPLRTMIHLGSSDEYGDI-GSPIDEKAREKPISPYSLSKVMCSHYLQHLFRSKSFPVIILRPFLIYGEKQKTDRFLPFIIKECINKKEFKVTEGY-----------------QLRDYCYVKDFTSAIRNCIENKSAYGE--IINIGSGKPISIREVTNKVVNIIGYKPLYGEVAYRDSESMALYPNLEKAKSILNWSANYEMEDSLYSVINWYKNN--------- 141638284 ------------------------------------------------FVNGDIRNYDLLKELFQGF--DGVYHQAALASVQESFTKQQEYYEVNVGGTENIFKLAKDFG-FKVVYASSSSVYGNPTKIPIIESDPKTPINPYAQTKLDTEYLAEKYSKM-GAKIIGMRYFNVFGKGQS--------------KEYAGVIKKFLERVRNSQPPIINGDGSQSRDFVYVGDVAQANIMAMQSKVDHA---FFNIGTNTTISVLEIAKIMMKLVGLESEPIHGPALPGDVKITQADVTSAKRLISWEPKIRLEDWLKEIIH-------------- 140410259 ----RILVTGSSGFIGFHTSLLLLKNNHEVVGIDNLNDYYDLNLKKNRFFKTNIENRNFIRK-FKANDLDIIINLAAQAGVRHSLKDPYSYINSNILGHVNMLELAKKTKVKKFIYASSSSVYGGNKILPFSVKQRVDPVSIYAASKKSGELISESYAHLFGIQCIGLRFFTVYGP--------WGRPDMATFIFTKRIIEGKEIEVFNKG--------KMKRDFTFIDDIVDGIMGSV-NLKTKFEHRIYNLGNNNPEDLSNNINLIEETLG------------------------------------------------------------ 135380887 -KNKKILIIGVTGQDGSLLADFLIKKNYKVIGLKRRSTFNTERINHLYTEKGDLTDSTNLIRIIKSTKPDEIYNLGAQSHVHTSFETPEYTANTDALGTLRVLEAIRINKKTKFYQASTSEIFG-NSKAPQNEKTAFKPESPYGTAKLYGYWITKNYRKAYSMFACNGILFNHEGPRRGETFVTRKITRAVAEIY------LNKRNFFFLGNLDAKRDWGYAKDYVESMWLMLQQKKPDDYVIATGKSCSVRKFVEKSFKVIDEVGYNKASNKLLIKIDKNYFRPNEVHDLRGDSKKAKKILKWTPKTSLDQMIKEMV--------------- 138284484 ---QKVLITGAAGFIGFHTIKKFLKENYEVHGIDNLNSYYSQKLKKDRFYKKDLKTIKFLDKLFLKHRFKYIIHLAAQAGVRYSVSNPQPYVQSNLLGFVNILEISRKYKIKHLIYASSSSVYGLNYENKFKENSAAHPINLYAATKRSNELLAHSYSHLYNLKTTGLRFFTVYGP--------WGRPDMSYFSFLKNMYENKPIKFITLGN------------------------------------------------------------------------------------------------------------------------- 293391184 ---KTAIVTGASGQDGAYLSQLLLDKGYKVVNLWRIDELNIRNHPNLHLFEFDLTDMSSCISLVTKAQPDEVYNLAAQSFVGVSFSQPVTTAEITAIGVLNLLEAIRIINPIKFYQASTSEMFGKVQQIPQTEKTPFYPRSPYGVAKLYGHWITLNYRESYDIFGCSGILFNHESP------LRGREFVTRKITDTVAKIALNKQSCLELGNLDAKRDWGFAKEYVEGMWRMLQEDQPDTYVLATNRTETVRDFVAMAFQAVNIPLEFNGKGENEIGVNTDTYRPAEVDLLIGDYSKAKRILGWEPKTSLEELCKMMIE-------------- 136209144 --------------------------------LDALTYGNPENLERYRFVHGSINDAEKLTSILIEERIGIILHLAAESHVDRSIDSVQPFIETNIDGTRTILEVIHNGKKIHLVHVSTDEVYGGPNDSAFTEETPLDPRNPYAATKASSDMMVQAFVNTHKISAVTTRCSNNYGPN--------QFPEKLIPLMTLNAMEGKSLP--------IYGDGMQIRDWIHVQDHARGILATMDGLLDGKSGEVVNFGADNEMPNIEIVRIIIQLTGSESQIEYVSDRPGHDRRYAMGFEKAERTLGWKPE-------------------------- 254409825 -QPKRALITGITGQDGSYLSELLLEKDYEVHGIVRFNTDRIDHIYVDPHNQGDLTDGVTLRRILEEVRPKEIYNLGAQSHVRVSFDCPEYTVDAVGMGTLRLLEAIRDYRNRRFYQAGSSEMFGKVQEVPQKETTPFYPRSPYACAKVYAHWQTVNYRESYEIFGCNGILFNHESPRRGETFVTRKITRAIARILSGQQKKLY------------LGNLDAKRDWGYAKDYVQAMWLMLQ----QDEPDDYVVATGETHSIREFLDIAFNCVGKNWYVEFDPRRPAEVELLIGDPTKVKQKLNWQPSLSFEQLVALMVE-------------- 138266742 ----KVLVTGGAGFIGSKLSERLINKGYEVYIIDNLSTGKIKNLPQGCHIEKDLSN-DNINNLLPT-NIKYVFHFAAQSSGEISFDNPEYDLKCNVLSTLKLLKWSRDNKVKRFVFASSMNVYGDTDKNPIIENEKPNPKSFYGVGKYSSEQYIKIYSNL-GVNSTILRFFNIYGPGQN---------------------------------------------------------------------------------------------------------------------------------------------------------- 143522466 ----KILILGGYGFIGSHISKKLVDQGHTVGVVDCLISQRKKIASPHKEYIGQIENLQFMHEVFEDFKPDRVIHVATYPNAKMVARNMQDATNNMITATGYILDLCVKHKINKIVFASSSMVYGDFNNKIPDENVMPKPNTLYGSYKRQGELMCKIWHREKNLNYVIMRPSALYGTT-----------------DAITRVISQLTKNCLTNGKMIVQGPDNKLDFSNVKDVAEYFTI---ATTSEIYNETFNCTRGNGRKIIDAAEIIKSKLGHGQILTL----PHDPNRDTLNSDKAKTMFNWAPKIDIETGIPEYLNWFLNQKYYFDN--- 136277174 --NKNIVVTGGLGFIGSNLIELLIQKKYFVINIDKISYASNKNSKNYKFYKSDINNKKKIRTIFNRYKPLAIFNLAAETHVDRSIDSPDEFIKSNIVGVCNLLEIFREFQKSKFIHISTDEVYGDVLNGRSKETDAYKPSSPYAASKASSDHLVYSYIRTFKLNGIVTNCSNNYGP--------KQHPEKLIPKLIYNIIYNLPLPL--------YGKGENSREWIFVNDHCE---------------------------------------------------------------------------------------------------- 135508280 ---KNILITGGVGFIGANLAEELVKQKYNVISLDNYSFGSKENEIKGTYFNHDIEDINKINK-----SIDVCFHLAAMARVQPSFEVPMEYFRVNVLGTTKVMEWAKKNKV-KVVYAGSSSKHFNPSD------------SPYAMYKFLGEEVCKLYKKTYNINVEIARFYNVYGPR------EVMHEKFGNVIGIWKSKVKKGEPLPIVGD------GNQRRDFTHVSDIVDGLIKIATSDNKHEDA--WELGTGNNYSVNELFEMFNKRFNIKSINI--PDQPGNYKKTLRQNDDLLELLNWKPK-------------------------- 143056687 ----KILVTGGAGFIGSNLIKRLKDEGHRVVSIDDYSTGRKNHIEGVKYINADI----EQIEYIKGKDIDLCFHLGAQSRVQPSFDDPTECLRVNVNGTEKVME-WADNWDIRVVYAGSSSKHHDPTD------------SPYAMYKYLGEEVCKLYKNSFDVDVRIARFYNVYGPGESLD-------------EKFGNVIGIWRTKSFNGEKLPIGDGEQRRDFTHVDDIVDGLIKISETDDIHEDA--WELGCGVNYSVNQLAGFFNERFETET--TYIPEQKGNYRETLNTNTDAIDRLGWKPQDRLEDYIKS----------------- 71664647 -----VLVTGGSGLVGRAL-----------EAVQKRNPSADEKWVFLSSRDADLTSMEATKAVFEKYRPDLVIHLAAVGGLFKNMRQPVEMWHENVAINNNVLECCRIYGVKKMVSCLSTCIFPDKTTYPINENMLHDGPPHCSYAKRMMDVMNRAYRSEYACNFTSVIPTNIYGPHDNYNLQDSHVVPGLIHRFYLAKQAG--MPVTVMGTGKPLRQ------FIYSEDLAELLIWVM-REYTEGSPIILSVDESDEVSIADVVKMIAEAIEFKGDIVFDTSKADGQYQKTADNSKLRK---YIPEYKFAEGIKRSVEWFVQNY-------- 171909509 -----IFIAGHRGMVGGALVRALRGKGHQKI----LTGGRSE---------LDLVNQASVESWFATHRPEVVVVAAAKVGIHANNTYPADFLYDNLMIASNCVHAAFKNGVKRLLFLGSSCIYPRLAPQPMPEDSLLTTNEAYAVAKIAGLKLCQHYRAQHGVLFHSAMPTNLYGPGDNYHPENSHVIPALLRRFH-------EAKLKRLPEVVVWGTGTPRREFLHVDDLADGCLHLLE---SENPPDWVNIGCGDDITIRALAELVKSVVGYEGELVFDTTKPDGTPRKLMDVSR-MSALGWGPKVGMKEGLEKAYDFQIAY--------- 138786908 ---------------------------------------------------------------------------------------------------------------------------------PLKADAPKGPINPYGMSKLMVEQALPDFDHAHGIKYACLRYFNVSGVDSDLRIIPKENTEPTHIPLVLQAASGRRENIKVFGTDYETRDGTCIRDYIHVHDLCSAHLLALQNLLNGVESFKINLGYGLGFSVKEVIEKSREITGCAINAIDTPRREGDPAELIASSEEAKS-LGWQPKYDLELILKHAWEAEKRYEEGRSDDRE 152991129 ---KKALITGITGQDGAYLAQLLLEKGYEVFGTYRRTSSSNEKHPNLHLIEYDLTDASQNIRLLDSVEPDEVYNLAAQSFVGVSFEQPLTTAEITGLGTLNLLEAIRIVNPIKFYQASTSEMYGKVQEIPQTESTPFYPRSPYAVAKLYAHWITINYRESYDIFGCSGILFNHESPLRGKEFVTRKITDSVAKIVHGKLDVLE------LGNLDAKRDWGYAKEYVEGMYLMMQVSKPETYVLATNRTQSVRDFQAAGIELIFEGEGVDEVGRDVKSGKILVRRPAEVDILIGDYTKAKNDLGWEPKTSLEEICQMMVE-------------- 156555392 -DQKRVLITGITGQDGSYLAEFLIEKGYEVHGISSFNTTRIQHLYEDPNHYGDMTDSSSLVKVISKVQPTEIYNLAAQSHVKVSFDLSEYTAEVDAVGTVRLLDAVQTCKSVKFYQASTSELYGKASEVPQNEKTPFYPRSPYACAKMYSFWIVINYREAYGMHASNGILFNHESPRRGENFVTRKITRTIAKIHLGLQDVLE------LGNLDAKRDWGHAKDYVEAMWLMLQQDVPDDYVIATGETHSVREFVEEGRSIKWEGKGVEEVGKDAVKVNPKYFRPTEVDLLMGDATKAKKTLGWTPTVSFQELVVDM---------------- 141427614 ----KYLVTGAAGFIGSHLCQRLATEGNEVIAIDNFSDYYNVSLKKLRVEKVDISDNNAIDKLIARSKPEVVVNLAAQAGVRLPTDQIYKYVNSNLVGFSNVLQSTVSHKIPYFLYASSSSVYGDQASIPYTENEQLHPNSFYGATKLSNEILTPTLIRNSSTIARGLRFFSVYGP----------WGRPDMAYFRMIASAINDSCFKLFGDGTVERDFTYIDDVV----------------------------------------------------------------------------------------------------------- 142841177 --KKTALVLGAGGFIGSHMVKRLRSEGYWVRGVD-LKRPDFSESEANEFITGDLRDLKFIESFDEIYQFAADMGGAGFIFTGENDMHNSATINLNVLECQRKRNELDGMNKTKIFYSSSACMYPDPDNPDCREESAYNPDSEYGWEKLFSERLYFAYNRNHDIPVRVARYHNIFGP--------EGTWNGGREKAPAAICRKVAELPKEGGSIEVWGDGLQTRSFLFIDECIEATRRLMDSEF----IGPVNIGSEEMVTINQLVETAARVGNKEVSKNHKLDAPLGVRGRNSNNDLIREKLGWDYSQTLEEGIRKTYNW------------- 145219429 --------------------RKLLNAGHL-----------EECIITRMHAELDLTDQAAVRKFFATEKPDQVYLAAAVGGIHANNTYPAEFIYQNLMVECNVIDAAYRNGVEKLLFLGSSCIYPKQAPQPMREDAVLEPTNPYAIAKIAGIKLSESYNRQYGTDYRSVMPTNLYGPG-DNYHPENSHVIPALIRRFHEATVGNAPTVTIWGSGTPRREFLYVDDMAAASVHVMNLDKAVYEEYTSPMLSHINVGCGEDLTIRELAEAVAVTTGFRGEIAFDTTKPDGTPRKLMDSTRLQS-LGWRAQTTLHEGLNLAYQDFLQH--------- 296159956 ----TLIVTGAAGFIGSNLVKALNERGERIIAVDNLTRADKFKNL-VDCEIDDYLDKTEFVERFKRGDFGKVRAIFHEGACSDTMETDGRYMMDNNFRYSREVLDVCLAQNIQFLYASSAATYG-GSSRFVEEREVEQPLNVYGYSKFLFDQVIRRVLPTAKSQIAGFRYFNVYGPR------ETHKARMASVAFHNFNQFRAEGKVKLFGEYNGYAAGEQTRDFVSVEDVVKVNLFFFD---NPDKSGIFNLGSGRAQPFNDIASTVVNTLRTLNMIEYIPFP--DALRTQADQSKLRAA-GYAPFLSVQEGVDRYVRW------------- 135985482 -EGKKVLVTGHSGFKGSWLLSWLVKLGANVKGISNLFNQESSLNNKFINVFGNILDKNLIKHEVKDFQPDILIHLAAQPLVRESYINPVLTWETNVIGSLNLLESIKDLSHKCAAVMITTDKVYKNKEWIYRENDELGGYDPYSSSKAACELAISSWRNSFVGKLNHQTPFKIATARAGNVIGGGDWAIDRILPDCIRSIKNK--------QVIKVRNPLAKRPWQHVLEPLLGYLFLAEDLYQEGDRFCEEFNFGSNKTVQELVEEIIKIWPGEWETPLQDSTFHEANLLHLQIEKAQQMLDWLPKWDFEKTIKYTVDWYKAFNNG------ 257054156 ---RRALITGITGQDGQYLAELLHSKNYKVFGLIKGQNNPKTELPFVELVSGDLQDLSSLVAALEQVQPDEVYNLGAISFVGLSFKQAELTANVTGLGVLRLLEAIRMNNPIRFYQASSSEMFGKVRESPQVETTPFYPRSPYGCAKVFGHDITVNYRDSYGLFACSGILFNHESPRRGLEFV------------TRKVTNAVARIKLGLQDTLVLGDLEPRRDWGFAGDYVKAMWLMLQ----QDEPSDYVVATGRTHSVREFVEAAFRHAGIDDWERYVTQRPAEVDLLVGDATKARTELGWKPEVDFESLVAMMVD-------------- 143760389 MKYKKALVTGGAGFIGSHLVEKLLKNNVRVLVIDNLLTGKKTNLDRLENVDVDLGSHESIIEI-EKFNPEICFHLAAQSSVVISVEDPLLDFEHNLLQPIKLIQTLISTDCKKFVFSSSGGTIFGEPNIIPTEDFAGEPVSPYGVAKKKLNDFIKLMLENEKMSYSILNLSNVYGPRQD------PHGEAGVMSIFTGKMLNNEKP-------IIYGDGNQTRDYVYVADVVSALIKSSENDNN----------------------------------------------------------------------------------------- 141831208 --------------LGYHLSKNLLNEDTTVIGIDSLNNAYDVKLKQKRLEELELNEKNSLNEI-KNYEISSIYHLAARAGVRQSFLDPQSYIEDNTFATLNVSNFSKEMEIPELILASTSSIYGDSGQNLMVEDEKIKPPSVYASTKLSGETLSKIILEDTKTKLIISRFFTVYGP----------YGRPDMSILRFIHWIINEKQVKVFGNG------EQQRSFTYVEDVIDA----LRRMSGLEKSNTFNVGSNITVSLNDVIKLIEEFSGKKAKIENLERAYKDPDVVRPNLENIKETIGWEPKTKIEEGVAKTVSWYKEN--------- 220930800 ---KKALITGITGQDGSYLSEFLLDKGYEVHGIMRLNTQRISHLLEDKAHFGDLSDSVSLNKLIYKIEPDEVYNLGAQSHVQVSFDVPEYTGDVDGLGTVRLLESIRIDPCIKFYQASSSELFGKVREIPQSETTPFYPRSPYGVSKMYSYWITVNYREAYDLFACNGILFNHESPRRGESFVTRKITKGIAD------ILNGKTDKIYLGNIDAKRDWGFAGDYVEAMWLMLQQKKPDDYVIATGETHTVREFCNLAFKHVEQEKAVDSTTGREINISSKFFRPTEVDLLLGDPTKAKSQLKWKQKVSFEELVKMMVE-------------- 16329177 -KSKVVLLTGITGQDGSYLSELLLEKGYQVHGIIRFNTDRIDHLYVDPHHYGDLTDGTTLRRILEDVKPTEIYNLGAQSHVRVSFDSPEYTVDSVAMGTLRLLEAIRDYQHRRFYQAGSSEMFGKVQEIPQKETTPFYPRSPYACAKVYGHWQTVNYRESYDLFACNGILFNHESPRRGETFVTRKITRAIARIVAGTQKKLY------------LGNIDSKRDWGYAKDYVRAMWAMLQ--QEQPDDYVVATGETHEVKEFLEIAFGYVNLNWQNYVAFDERRPAEVDLLIGDPAKTKAQLGWEPSVTFTELVHLMVE-------------- 143647756 --GQHVVMTGAGGFLGRYFVRVIEAFNQNNTGIDNFVIGQPRHKHNISMFEGDICDGAVIDSI---AKPDYIISAAGIASPYYYRAMPIETLNVSVNGNQNLLNLAKRYD-SRFTFFSSSEIYGNPGEDHIPTRECLGPRACYDESKRLGETLAYIYSTHKGLHTNIIRPFNVYGPGMGEFDYRVFPN--------FASCLKKGKDLEIYGDGAQTRTFCYVVDAIDGFLRVVTSGVFGEPYNIGNPKPEISMHDLANLFLKLSTSSTSKLKLSDYPDSY----PGDPRRRCPDITKAVVQLGYSPKVDLEVGIQKFLTWTEANYTG------ 280957571 MSSK-VLVTGGAGYLGSTLVPQLLANGYEVTALDNLMFSQAPLLDCCHYVKGDICDYALMDKLIAKHDI--IIPLAAIVGAPACKMNPTLTDLVNKQAHMHIVKQTSKDQIVIFPTTNSGYGIGE-KDAYCTEESPLRPISEYGVCKVAVEKAFLD-------------KGSAVTFRLATVFGMSPRMRMDLLVNDFTYQAFKTRSVILFEDHF-------RRNYIHVRDVTKAFIWGIEHYETMQGQAFNVGLSTANLTKRQLAEKIGEHVEGFYIHSAAIGEDPDKRDYLVSNDKI-EGLGWRPDHDLDMGIRELLKGYR----------- 134785291 ---RTALITGITGQDGSYLAEFLLSKGYDVHGIVRRSSLINTHRIDPIYHYGDMTDSGSIIHIIQKVQPHEIYNLAAQSHVKVSFELPEYTGMVDAMGTLRILEAVRMQDDVRIYQASTSELYGQAQEFPQTETTPFHPRSPYGVAKLYGFWIIKNYREAYNMHCSSGILFNHESPRRG------------ETFVTRKITQGLSRISVGLQNVLYLGNLDAKRDWGHAKDYVEAMWMMLQ----QDEPDDYVIATGKQHSVREFVEAAAPIFGLNIEWNEKYFRPAEVESLLGDATKAKEKLGWEPKTTFKQLVEDM---------------- 306820754 --NKKVLITGMTGMVGSHLADYLLDNTDWDIHLDNINHLMDRINSKDRVHYGDLNDQASLINVLKEVKPDYIFHLAAQSYPLTSFTAPEDTLNTNIIGTTRLLEAVRIELNPIIHVCASSEVFGPREKLPINEECSFHPASPYAISKVGTDLVGRYYAEAYGMTVMTTRMFTHTGPRRGDVF-----AESTFAKQIALIEAGLIDPVVKTGNLDSLRTWADVRDAVRA--------YYMLVTVNPIAGEYYNIGGSFSCSVREMLDKLLSLSTVKDIKVETDPRPIDADLQVPDTTKFKNHTGWQPEIPFNKTMDDLLNYWRDRIKKIGN--- 242247583 ---KVILVTGGSGLVGSAI-RTIVETQNEAL--------PDEKWIFTNSKEADLNDVQATRDLFEKYKPTHVIHLAAMVGGFHNMDNNLDFLRKNIHINDNILETSFQYNVKKVVSCLSTCIFPDKTSYPINETMIHNPPHPYSYAKRLLDIANKAYYQKHGVIFTSVVPCNVFGPHDNFDLKNAHVVPALIHRLHIALDNGADK-------FVVLGSGKPLRQFIYSLDLARLFVWVL-RNYDDVEPIILSVDEKDEITIRQLAEMIAKSFNFQGILEFDTSAADGQIKKTASNDKLRKLLNFK-FTHLETAIADTVEWFKKN--------- 171912157 ---KRALITGITGQDGTYLAEHLLSLGYEVHGLVRQTN--LESLEGGRTHAISLDSFPGLHRLIKKYKFDECYHLASVSFVGEHLADGFHTMFANISGTHFLLATLQDQPDCRFYFAGSSEMFGRPTEAPQSEQTPFLPRNPYGISKVTSHHLVRNYRESYGMYC------------VTGILYNHESPRRRPEFVTRKITRAVARIASGQKQHLELGNLDALRDWGYAPDYVRAMH----LMVTQPAPKDYVLATGHLRTVREFCAAAFSQVGLDWQEHVVSFRQEDAVPLVGDSSLIRAELGWSPKCTFDEMVREMVEY------------- 307820171 IESPKVVVCGAGGFIGGHLVKDLLAQGVRVVRAVDVKPLKDWHQVAAGVENLSLDLKQKRHCLTATRGVDQVYQLAADMGGMGFIEKNKALCMLNVMTNTNLLLAACETGVERFFYASSACVYNADRQTHLKEQDAYPPEDGYGWEKLFSERMCRHFEEDFGLICRVARYHNVYGPNGTWRGGREKAPAAICRKVIEARMSGL-HEINIWGDGHQTRSFTFIDDCIYGT----------QRIMNSNINEPINLGSSELVSINQLVDIAEEIAGIRLRRTYDLTAPRGVNGRNSDNTMIMDRLGWEPSILLRDGMEKTYRW------------- 140589870 -----------------------------------------------------------------------------------------------------------------IIFSSSCSVYGNREDT-VSENSKLKPKSYYAFTKLKSEEIIKKYSKKFNYKYGILRYFNVAGASKSGKIGEIEKSHGHLIKNIAIQSQKAKPQINVYGNDYNTKDGTCVRDYIHIMDLAEIHLKILKNISISKKSLILNCGYGKGYSVQEIIEV-FKKLKKNVKVKYKKRRPGDVAQVFANISKIKKVLKWKPKYNINKIIKSAIDWEKK---------- 254456793 ---KKILVTGGAGFVGSHLCERLADSNNDVYSLDNYFTGSKDHVANVTYIEGLTADIDKLID----FAPDMVYHLGEYSRVEQSFDDIEKVWKFNKDGIFAVLEFVRKH---------GCKILYAGSSTKFGDGGLGRSASPYAWTKATNTELVQNYGAWFNVPYAITYFYNVYGSR------------EIQTGKYATLIALFKEKMKNGEPLTIVSPGSQKRNFTHIDDIID----GLVLVGENGYGDEFGIGSPEAYSIKE----IAEMYDGE--IQMLPERKGN--RMTADVISAKTELGWKPTRTIKEYIERAYNW------------- 290343515 ----KALVTGGCGFIGSNFLNIMKERHPDIINIDKVDYCSNVHNVKATLVRRDLCNVGFLENLVKEHKFDYVFHFAAQSHVDNSFISPLGFTLDNTYGTHALVEMCRRHTPNVFIHFSTDEVYGESDEPFTEETGVLRPTNPYSASKAAAEMIIRSYIESFDMNIKIIRCNNVYGPNQYP----------EKLIPKFIRLLKNNEKCTIHG----TNSANVRRAFMHVEDVVDAVEVVWKRGVR---GEVYNIASDDELSVMDIIKTLKNTEDYDEWIDYIEDRPFNDQRYYICAKKLKA-LGWSQKRTREDLIK-----YIQH--------- 302537023 --GKTALITGVTGQDGSYLAELLLSKGYTVHGLVRRSSSRIDHIYQDPQHHADLSDGVALVNLLRDIRPDEVYNLGAQSHVRVSFDAPLYTGDVTGLGALRLLEAIRASGVDTRIYQASSSEMYGSTPPPQNEDTPFHPRSPYGGAKVFAYWTTVNYREAYGMFAVNGILFNHESPRRG------------ETFVTRKITRAVARIKAGLQDHLYLGNLDAVRDWGYAPEYVDAMWRMLQ----QDEPSDYVVATGVAATVRDFVESSFAHAGLDWHVRYDPKRPSEVDALIGDASKAREILGWKP--------------------------- 140776719 ----KILITGGCGFIGSHLVPYLLEKEFAVKIVDNFSNGEPDNLGKNLHHETDIVN-ENIDWDLIFSDVDRVVHLAGPISVAESITNPDKYFNNIVTGTYNILKYSRLNSVKKLVYAMTAACYGDANFFPTSEEHKKCPDSSYALMKLLAEEMLINWGKIYNLNTISLRLFNLYGERSGALF------------------------------------------------------------------------------------------------------------------------------------------------------- 134404170 ---QRIIVTGGLGFIGSNLVHLLVKKKYHPIIIDKFSYASNLYNLKGTVHKFSIENKKKILNLLNKYKPKAIFNLAAETHVDRSIESPDMFIKSNILGVFNILECIKEYNKIKMVHVSTDEVYGDIKKNKKSENDRYFPSSPYSASKASADHLITSYVRTFNLPIVISNCCNNFGPR--------QFPEKLIPKTIFNLVNNKDIP--------IYGKGINEREWIYVDDHCEGLIKILKK---GKIGQSYNIGSGNVVKNLDLIKKIIKVYK------------------------------------------------------------ 141374416 --------------------------------LENLEHSINKKKENWKFIKCDIFDEEHLKKVFENFNPEIVINLAAQAGVRYSIDNPKSYINSNIVGFNNVLECCRKNKIKHLIYASSSSVYGGNSKLPFEEDGVNHPVSLYAATKRSNELMAHTYSHLYNLPTTGLRFFTVYGP----------WGRPDMGYFIFTSKIIRGETIEIYNEGNMYRDFTYIEDVTNSLCTLDFSSHDLHPGNSWAPFKIFNIGNSSPINLLEFITIIEKNLGVNAKKEFLPMQLGDVKSTSANVDLLNNWIGYSPKT------------------------- 167837598 ----RILVTGANGFVGRAACESLLNRGHHVTGLVRRAGGCVHGVVEWVDDRADFVGLDD-NAFRSGEVIDCVVHLAARVHVMRDRDPQAAFDATNVAGTLRIARAARQLGARRFVFVSSIAVAERDGGVPLTEDAEPRPEDAYGRSKWKAEEALRQLGRASGMEIVIIRPPLVYGPGVRANFLSLMRVVAR-------------------GVPLPLGMISARRSLLYVGNLADVLTCCVD--DPRAANCTFHVADDDAPTVTDLLKIVGEQLNKPARLFPVPTRAGRVMSLRVDASLIRRTLDWRPPFSTREGLCATARWYR----------- 136600126 MKIKKIIVTGGLGFIGSNLIKLLIEKNFYVINIDKVSYSSNQKNKNYKFIKCDILNKNKISKILKKEKPDALFNLAAESHVDRSIESPYPFIKNNIVGVFNLLESVKCYYKFKFIHISTDEVYGDIIKGRTKEAHPYKPSSPYAASKASSDHLVYSYYHTYKMPVIITNCSNNYGP--------KQHPEKLIPKLIYNIINDIDLP--------IYGDGKNSREWIYVEDHC----------------------------------------------------------------------------------------------------- 137650089 ----KILITGAAGQIGSGLSKLLIENGHDLTLVDNLRNGYLENLKDDPFYEVDITSGEFFLKCGDQY--DVIIHLAAITSLPDCESNPLETLRINVSGTANVLEFAREFDVPHVIFASTSAIYENNDTEVFTEDLEVNPRLYYSLSKKMSEDLIESYRENYGMTVTILRFFNVFGPDGD---------------------------------------------------------------------------------------------------------------------------------------------------------- 304392545 MTASKFLVLGSNSFSGASFVDYLAEQGHDVMATSRSNEPHDAMLPYKWFQRIDINDLDALDALMKAEKFTHVVNFAAQSMVGQSWDHPDDWMRTNVVSTVRLHVLLRNLDFLDRYVHVTTPEVYGSTDGWVKEDTPFNPSTPYAVSRAAGDMSLKTFVDTYDMPAVSTRAANVYGPGQ-QLYRIIPRTVYAAMTGEKLRLDGGGKSVRV---------------FIHMRDVSDATLKIAMAGTN---GDTYHISGYELVSIRQLVEMVLEKLGKDECVELGPERPGKDTAYTLDSFKLRTELGWQDRISLSDGIDDVIEWAQRFQSELPN--- 159186320 MVDKRALIIGVTGQDGAYLSELLLAKGYMVHGLKRFNTGRIDHLYQDPFEEGDLTDATNLCRVIQEVQPDEIYNLGAQSHVQVSFETPEYTANADALGTLRLLEAMRILNRCRFYQASTSELFGGMGTVAQHEGTPFYPRSPYAAAKLYAYWMTVNYRESYGFHASNGILFNHESP-----IRGETFVTRKITRGVAAIERGLMSKLRL-GNLDAKRDWGHARDYVEGMWRIVQQEIPEDYVLATGEAHTVREFVELAFGVIEWHGDGSDEVGYDSIIEIDPRRPAEVDFLLGDPSKARRKLDWSHSTSFCDLVQEMVE-------------- 141559898 -----------------------------------------------------------------------VFHQAAVVSVPLTVEDPVGSAQVNEIGTLNVLAACRKNRIESAVLASSCAVYGDDPQLPKTEEMTPRPMSPYAVQKLAGEHYAGVYFDLFGLNAVCLRYFNVYGPRQD------PSSPYSGVISIFMDRAVKGQPPVIFGD------GRQYRDFVFVSDVVRAN--LLAASADRTGGAVFNIGTGRRMRILRLWEQIGALSGCGLKPEHRKERDGDIKESLADITRAKTQLGFSPEVSFD---------------------- 142882862 ---------------------------------------------------------------------------AAETHVDNSIKNVVPFVDTNVIGTLNLLNLSVKYDVEMFHHISTDEVYGNLTDPPFTEESPYDPQNPYAASKASSDHFVMSYHNTYGLPVLITNCSNNYGPR--------QHREKLIPKTINNILDGKKIP--------VYSKGENIRDWIYVEDHCAGL---LGVYHVGKIGQKYNIGGECEMRNIDIVKLIIKLMNSEDLIEFVEDRPGHDLRYAIDNSKILKDVTFHPQYDIEKGLKKTIEWYEKN--------- 301062692 -KEKSALILGVSGQDGSYLAAYLLAKGYRVVGASRDSFANLKRLKIYGRVELELNDFRSVLQILKKVQPNEIYNLAGQTSVGLSFDQPVESFESISIGTLNLLEAIRFLNIPRIYNAGSSECFGNTEGAAATEETPFRPRSPYAVAKAAAFWHMANYREAYGLFAC------------SGLLFNHESPLRPERFVTRKIVSAACRIAKGSGELLQLGNIEVERDWGWAPEYVEAMWRMLQ----QENPEDFVIATGETNKLADLIREAFGVVGLNWKDHVTTFRPTDILTVRADPGKAKRKLGWKAKFKMKDVVRMMVEEELKN--------- 94970839 -----IFVAGHRGLAGSAIVRRLQRAGY-------------ERLFLKTHSELDLSDEIEVRKFFDRYRPECVFLAAAKVGGILANRDYADFFIQNARIQNNVISTSFQFGVKRMVFLGSSCIYPKLAPQPLKEEYLLGPLEFTNRSKIAGIELCWALNRQHGTKFLAAMPTNLYGPG-DNYDRNGSHVLPALIRKVHEAIEGRQETVTVWGS------GEPRREFLYSDDMADACVFLMEFVSDPERPPLLNIGCGEDLTISALAHLVAKELGYEGEIVFDPSKPDGTPRKLLDVSRLFQ-MGWRPKMSLAAGIREAYA-------------- 138201662 ---KKIIVTGGLGFIGSNLIDLLLKKNFYVINIDKRTYSSNLYNTKDFKRKLDIANK-KIEKILMKYKPSGVFNLAAETHVDRSIDNPGSFIQSNVVGVYNLLECFSKKNKSKLIHISTDEVYGDVLSGRTDENHSYKPSSPYAASKAASDHLVSSYIRTYNLPAIVTNCSNNYGP--------KQHPEKLIPKLIYNIMNNRPLP--------IYGKGTNSREWIYVKDHCE---------------------------------------------------------------------------------------------------- 152986904 --KKSLLVTGLNGFVGRHLRQRI--------------ESHDLPWSLLPHPAFDLAVPESLES-WRCEMPDAVIHLAGQTFVPDSFLDPRRTFEVNFLGTLSLLQAKRNGFAGTFLYISSGDVYGQVPELPIHEEFLPRPRNPYAVSKLAAESLCLQWGISEGWRVLVARPFNHIGPGQ-----------KDSFVIASAARQIARMKQGLQAHRLEVGDIDVSRDFLDVQDVLSAY---LRLLSHGEPGAVYNVCSGQEQKIRDLIELLADIAQVELEIVQDPMRRAEQRRVCGSHARLRDATGWKPEITIKQSLRAILDWESR---------- 27376742 -KGRVALITGVTGQDGAYLAEYLLSLGYVVHGIKRFNTARVDHLYQDPHHYGDMTDSTNLIRLVQQIRPTEIYNLAAQSHVAVSFESPEYTANADAIGVLRLLEAIRMEKETRFYQASTSELYGLVQEIPQKETTPFYPRSPYGVAKLYGYWITVNYREAYGMFASNGILFNHESP-----IRGETFVTRKITRGVARIEVGLEQTLYL-GNLEAKRDWGHARDYVEGMHKILQADKPDDFVLATGEMRSVREMVHVGRRIAWRGKGVEEETSGKIVVKIDPFRPTEVDLLVGDASKAREVLGWTPKRSFAQLVEEM---------------- 137927553 -ENPKILITGVAGFIGSNLLEALLKLDQKVVGVDNFLTGKNQNLIDFTFIEGDIRDISLCKQ--ACAKVDYVLHQAALGSVPRSIDDPLQTNEVNIDGFLNMLVASNEAQVKSFTYAASSSTYGDHPDLPKKEEVIGNPLSPYAVTKFVNELYADVFSRVYDFHSIGLRYFNVFGKRQDP--------------------------------------------------------------------------------------------------------------------------------------------------------- 139162078 ----RILITGSSGFIGFHLSKKLLDNKNKIHGIDSMNNYYDVKLKQRRYNRINIENKKHIQKIFKKFNPDIVIHLAAQAGVRYSLEKPHVYLNTNVTGTFNVIEASHINNVKHLIIASSSSVYGSNTKMPFTEIDKTETLSIYAATKKATESMAHSYSNIYKIPITMLRFFSAYGP--------WGRPDMALFKFTKGILNNK--------SIDIYNNGKMYRDFTYIDDVVKAISLLIKKKPNIKQYGKY---------------------------------------------------------------------------------- 136552521 ----------------------------------NLDWARLLEPKHIKFLNFDLLDGQTLRQFLKKNNYESVIHLAAQPGVRTPLNRSNEYIENNVQAFTNVLQLVTELEVPHFLYASSSSVYGNSKNIPYSETEDIHPVSIYGATKRANELLAPAYVRESKTRARGLRFFTVYGP----------WGRPDMAYFRLINSGINKTEFTRFGDGNV------KRDFTYISDITNQISGLLNKSRERGFSDIVNIGGGNPHSLNDLIESVNSGLGSNIQILNSGMNPNDTLYTCADVSLQKSLTGFIPKVSLEIGIEETINWYTELGRWINS--- 226311345 ---KTAFLTGITGQDGAYLAKFLLEKGYHVVGLDRWRLEYLNIADQVEYKDGDLLDVSSLTRALKACKPAEVYHLGAQSFVGSSWEQPILTAQVTGMGVLHVLEAIRETDPAIKMYQASSSEYGLIQEPQQSEQTPFYPRSPYAVSKLFGYWMTKNYRESFQMFSTNGILFNHESPLRGLEFV------TRKVTHAVARIAANKQKELRLGNIQAKRDWGFAGDFVEAMWLMLQQDKPDDYVIATGKTSTV------EEMCKIAFDYVGLNYLDYVVIDPELYRPAEVDILLGNPEKAKRELDWTPRTKLEELI------------------- 144216608 ----NILITGGAGYIGSHIVEQLIKAKKKVFVVDNLSTGYKRLLHKKANFFLDIRNFKRLDRIVKQNKIQSIIHLAACLSVGESERKPKKYFSNNVIGTRNVINCCKKNDIKNLIFSSTCAVYKDKIKS-VKENSKLEPKSVYGKTKLKGENIIKKNLIKNETNFAILRYFNVAGA------------------------------------------------------------------------------------------------------------------------------------------------------------- 238026542 ----TLIVTGAAGFIGANLVKALNERGERIIAVDNLTRADKFKNL-VDCEIDDYLDKTEFVERFARGDFGKVRAVFHEGACSDTMETDGRYMMDNNFRYSRAVLDTCLANGTQFLYASSAAIYG-GSTRFVEDREVEAPLNVYGYSKFLFDQVIRRVLPQAQSQIAGFRYFNVYGPR------ETHKGRMASVAFHNFNQFRAEGKVKLFGEYNGYGAGQQTRDFVSVEDVVKVNLFFFDHPEKSG---IFNLGTGRAQPFNDIVNTLRALDGEPPLTLDEQVRQGDALRTQADSSRLRAA-GYAPFLTVQEGVDRYVRW------------- 152978085 -----IIVTGGAGFIGSNIVKSLNDLGRKDIVVDNLKDG----TKFINLVDLDIADYCDKEDFIAQIDIDAVFHEGACSATTEW---DGKYIMHNNYEYSKELLHYCLDREIPFFYASSAATYGDKTE-FVEERQFEGPLNVYGYSKFLFDQYVREILPNASSPVCGFKYFNVYGPR------EQHKGSMSSVAFHLNNQILKGENPKLFA-----GSEHFLRDFVYVGDVAAVNIWAWQNKI----SGIFNCGTGHAESFKAVAEAVIKHHGKGAIETI--PFPDHLKSRYADLTKLRAALKFK---SVAEGVAEYMAW------------- 288574152 ---KRIVVTGGLGQIGTELIRRLRKEGNDCVLATDVKEEGTERLGEGPFELLDVTDGNKLSELVKRHRADTVLHLAGILS-ANAEKNPQLAWSVNMNGLYNALEVARQESCSFFFPSSIAAFGPGTPQDKTPQDTVQRPNTIYGVAKVSGELLCDYYHGKYGLDTR--------GVRFPGLISYDALPGGGTTDYAVHIYYDAIRK----GSYTSFIAPGTYMDMMYMPDALDSVVGLMEADPSRLKHRCCFNITAMSFEPDQLAAAIEKHVGFVLNYDVDPARQA-IAESWPDDSAAREEWDWNPKYDLEDMLEK----------------- 143271505 ----KYLVAGAGGFIGGHLVQTLMKEGHDVVCADIKPLEYWFQIFDNKNFSLDLKNYENCLKV--AEDIDYIYNMACNMGGMGFIENNKAECMLSVLINTNLLRACVEKKVKKYFFSSSACVYNASKQENLKESDAYQPEDGYGWEKLFSERMCRHFSEDFGIETRVARYHNVYGP-LGTYDGGREKAPAALCRKIINAKLNNETSIDIWGDGEQTRSFMFIDDCI----------KGTTKLFNSNESEVFNIGSEEQVSINQMVSIIEDIGEIKLNRNYQLDKPKGVRGRSSNNDLIRKKINWDTEVKLAQGLKMTYDW------------- 238753668 ----RVLILGVNGFIGNHLTERLLDDGYEVYGLDIGSDGRFIDNPRFHFVEGDISIHSEWIE-YHIKKCDVILPLVAIATPIEYTRNPLKVFELDFEENLKIVRDCVKYN-KRIIFPSTSEVYGMCDDKEFDEDHIVGPINKQRWSKQLLDRVIWAYGEKEGLKFTLFRPFNWMGPRLDNLDSARIGSSRAITQLILNLVEGSPIKLVDGGE--------QKRCFTDINDGIEALFRVIENRDGRCDGQIINIGNPNEASIRELAEMLLSSFEQHELRDQFPPFAGDVEHRTPSIENARRLLDWQPKIAMQQTVTETLDFFLR---------- 294637912 -----IIVTGGAGMIGSNIVKALNDKGYTDIVVDNLKDG----TKFVNLVDLDITDYMDKEDFIAFGDIEAVFHEGACSSTTE--WDGKYVMDNNYQYSKELLHYCLERQIP-FLYASSAATYGM-TDKFVEDRRYEGPLNVYGYSKFLFDQYVRRILPHVESQICGFRYFNVYGPR------EGHKGGMASVAFHLNTQINNGEEPKLFEGSENFR-----RDFIYVGDVADVNLWFWE----NGVSGIFNCGTGNAESFQAVADAVVDYHQKGNYIPFPDKLKGRQAFTQADTTQLRAA-GYQPFKTVAQGVREYMEW------------- 141295346 ---QRILLTGGAGFIGCNTALVLLEKGFDVIIFDSFINSSEESIYRLKVIKGDLRNKDLVDNIFENKKISGVIHLAGLKAVGESVINPLNYWDSNVYSTINLLRIMEKYSCKTIIFSSSATIYGEVNDNLIDENSLINPVNPYGNTKASIERMLEDLFKPNEWRISSLRYFNPIGAHPSGMIGE----------------------------------------------------------------------------------------------------------------------------------------------------- 142091458 ---KRVLITGGAGFIAHHLIGHILETTDWEIVLDNLNRLHDLMIPFMPKRRVKIVHHDLKAELNEIGKVDYILHLAAGSHVDRSIEYPMEFVLDNVVGTCNILNFARTVDDELFMYFSTDEIFGAPDGIKYKEDDRYNSTNPYSATKAGGEELAVAFQNTYDLPIYITHTMNVFGERQ------------HPEKYIPMTIKNVRDGGMVTIHSDSTRTIPGSRHYIHAEDVASNYKGDFEPTWGGAKCPKFNIVGSEELNNLQLAQIIAEAQDKELKYEFHSARPGHDLRYALDGEKMKSI-GWTPSKSVRERIADVTKWTLANRRWI----- 116620146 MASKCALLTGITGQDGSYLSEWLLSQGYDVHGIDHRLSRLKEVRERLHLHAASLESYGSIHRVVHEVQPDECYHLAAQSFVSYSFDDEFSTLNANINTHYLLSALKALTPDCRFYFAGSSEMFGMAETVPQNEDTRFHPRSSYGISKVAGFDLTRNYREAYGMHASSGILFNHESPR------RGFEFVTRKIAAGVARIVAGKSRELRLGNLDAKRDWGHAREYVTAMWMMLQQTTPDDYVVATGDCHSV----------REFAELAFSHVGLDYTKYITTDRPAEVNLLKGDAAKAQRVLGWRHRVTFPELVREMVD-------------- 167461283 -KGKKVFITGHTGFKGAWLCLWLYTLGAKPVGYALHPPTNPSLFGLGPTIYADVRDGGRLRQALADADADTVIHMAAQPLVRESYQDPVYTYQTNIMGTVHLLEAVRHPTRTKSIINVTTDKCYDNREWIWRENDPLGGSDPYSSSKACSELVTAAYRYSYFHPSEYDQHICLATARAGNVIGGGDWARDRLIPDCIRSF--------PQGDKITIRHPQSVRPWQHVLEPLGGYLLLAQRAYEEGPIYAQSWNFGDAKPVEWVVRCLCDKWGAVGYEVDDQSHPHETAMLKLDCSKAVEQLGWMPRWNLNQALDKVVEWMHAYQK------- 143372280 ---RRALITGITGQDGQHLAEFLHEKGYEVHGLIKGQNNPKAEFPYVRIVNGDLTDFSSLVAALRTVQPDEVYNLGAISFVAMSFTQAELTSNVTGLGVLRMLEAIRGKNPIRFYQASSSEMYGKVREIPQTELTAFHPRSPYGVAKVFGHHMTVNYRESYGLHASSGILFNHEGPR------RGHEFVTRKISSSVARIKLGLQDKLLLGNLAAKRDWGYAGDYVKAMWLMLQQDAPDDYVIATGETHEIT----------EFLRLAFECAGLGDWQKYVGFRPAEVDLLVGDASKAEKVLGWKREVDFPTLVEMMVR-------------- 71906921 -----IVVTGAAGFIGSNIVKALNERGITNIIVDNLTKADKFKNL----IDCDIVDYLDKNDFIERIQIDAILHEGACSDTME--TDGRYMMENNYRYSMILLDWCLDQDVQ-FLYASSAATYGS-SGTFKEERQYEGPLNVYGYSKFLFDQIVRQRLAQNPSQIVGFRYFNVYGPR------ETHKGRMASVAFHNFNQFRADGKVKLFEGSHGYPDGDQQRDFVFVGDVAKVNLFFLDHPEKSGNDVAVAAVNGCRKARSESALSLEELRGLLEYVAFPEALKGKQAFTQADLGKLRAA-GYAPMATVEEGVSQYIEWLQRN--------- 139582543 -----------------------------------------------------IEKKSSLEKIFKERKVDIIVHLAAQAGVRYSLENPNSYIQSNLVGFANILELARKLEVSNFIFASSSSVYGDNKFIPFEEDHIVDPISLYAATKKSNEVMAYSYSHLYGIPTTGLRFFTVYGP----------YGRPDMAPMIFLKSILNNNPIKIFNNGKMRRDFTFIDDLIRCCNKPAIKSKNHLLKTSSAPFEIFNIGNGNPVNLMDFIETLEDKLKIKARKVYLPMQKGDVSETFASTKKLKEWVNYSP--------------------------- 142286445 -RGKRVLITGHTGFKGSWLSLWLSQ--YSTVIISDKNYLYNRINLDHLKVDSDIRDYSQVRRVISEFNPEIIFHMAAQPIVTHSYTDPVETFTTNILGTINILEAVRAENTKTTIVNITTDKCYENKEWPYREIDSLGGQDPYSASKACSEIITNSYRSSF---SEKNSLFAVASARAGNVIGGGDMSEDRLVPDFLKALKSNK--------SITLRNPNSIRPWQFVLDPISGYLQLAQALYSNPMEFSSAWNFGPSEEAKSVGYVIQRLIDLSEAKSYKTSQPHEEKCLMLDSARARTLLNWRSKLDLRSCLEWTMDWH------------ 135983688 --KKKALITGVTGQDGAYLAELLLGKGYEVHGVDHLYQDKHRDDVNFYLHHGDLTDSTNLIRIIQEVQPDEIYNLGAQSHVKVSFEVPEYTANSDAIGTLRLLEAIRIEKKIKFYQASTSELYGKAQQIPQSENTPFYPRSPYGVAKLYAYWIVKNYREAYGMYACNGILFNHESP-----LRGETFVTRKITRAVAKISLGLQEKMYI-GNLNAQRDWGHARDYVEGMWLMLQQEKPEDYVLATGVTTTVRDFCSMAFS--EVGIAIKWEGGKNQEKGIDEYRPTEVDLLTGDATKAKEQLNWQPKVNVAT--------------------- 218130781 -KNAKIYIAGHHGLVGSAIWKNLQEKGYT-------------NLIGKTHKELDLLDGVAVRRFFDEEQPEYVFLAAAFVGIMANSIYRADFIYKNLQIQQNVIGESFRHNVKKLLFLGSTCIYPRDAEQPMKEDPLEYTNEPYAIAKIAGLKMCESFNLQYGTNYIAVMPTNLYGPNDNFDLERSHVLPAMIRKVHHCLQQGNWDAVRLDMNQRPWGTGTPLREFLWSEEMADASVFVMEHVDFKDRNCHINIGTGKEITIRQLAELIVDTVGYQGKLTFDSTKPDGTMRKLTDPSKLHA-LGWHHKIDIEEGVQRMYRWYLGN--------- 141793115 MKDMNVLLTGGAGYIGSHVALSLLDLNHKVFIIDDLSTGNEYLIKNAEFIKSNINDIKKIELILKKNKFDAVMHFAGFVQVEESVQFPEKYFINNTENSIKLFEVCIKNNLKNIIFSSTAAIYGNNKENFISENSQINPINPYGESKYKTENFLL--KQNKKINFIILRYFNVAGADPKLRSGLVSKKATHLIKIASEVVAGKREKITIYGNDY----------------------------------------------------------------------------------------------------------------------- 138646927 ---------------------------------------------------GDIRDYDSVYKSLKGCH--SVFHLAALIGIPYSYQSPLAYIRTNIEGTYNILEASKNLDLNQILITSTSETYGSAQYVPIDENHPLVGQSPYSASKIAADQFAISYYKSFELPVKIVRPFNTYGPRQS---------ARAIIPTIISQIVNGNNLVKI-------GNTTPTRDLTFVLDTARAFIDI--YNSSTLFGEICNVGMNSEISIKDLVKLISEISGEKIQIKSSQDRISEVDRLLCDNSKLLKNTSWEPKFNLHDGLKVVFDWICNNKSYYKSE-- 136166232 ------LITGITGQDGSYLAEFLLAKDYEVHGLIRFNTGRIDHIYQDPHHYGDLTDGVGLTNLVRDICPDEIYNLAAQSHVMVSFSMPQYTAQVDAVGTVAILEAIRASKLDTKFYQASTSELYGSTPPPQNEESRFRPRSPYAAAKLMAYWATVNYREAFGIHATNGILFNHESPRRGETFVTRKITRAVAAINLGHQKKLY------------LGNLDAVRDWGYAKEYVESMWLMLQ----KPESSDYVVATGIGATVRDFAEAAFSHVGMDWYVEIDPKRPTEVEALIGDASKAKQELGWQAQTDWK-GLAK----------------- 255559142 ---------------------------------DNLSRGNFVAVKRLQLIHADLGD-AKVNKIFAENAFDAVMHFAAVAYIGESTLGPLSVAGNCCLDHLVLLEAMAAHHVKTLIYSSTCTTYGEPEKMPITEETHQKPINPYGKAKKMAEDIIIDFFKTNGMAFMILRYFNVIGSDPEEHLGESPRPALCEHGRCFDAARGIIPGLKIRGTDYKTADGTCERDRIDITDLVNAHMKALAHAKPAKLLLDHDTFSSRCRSVKEFVEACKKATGVNIKVDYLSRWPGDYAEVYSDPSKIRRELN------------------------------ 83310168 -QGMNIVVTGGAGFIGSTLVRRLLDLGCSVSVIDDLSGGREENLPNHPGHRLRITDTADVEAVVA--DADMVYHLASPIGVALAHQARYEVVENILSSGIAVVRACKAHRRP-LVLTSSSEIYGGGLPRPLREADPIAPRWGYASAKMALEQMGAGLCLEHGVPVWLIRPFNIAGIRQRS--------ETGLVVASFVAAAMQNRPLDVHGD------GSQLRSFLHVEDAADALMAVTSAASLAGRPINI--GSESPITIGALARLVLDIVGSASPIIYDDMFDGHATSRIPDIGLIKGATDWRPHRSLDDAIRDCWR-------------- 229065166 -----------------HVTKELIARGYEVTIFDKFKPS-----IDVPFEEIDILDIATLRE--KLINVDGVIHLAALVGVDNCRSNEEDVVRVNFEGTKNIVEVCIENGIGKLLFSSSSEVYGDGVSVPFKENDVKIPKSAYGKAKLMSEDFLKEY-ANNSFKVRVVRYFNVYGS----------QQNDNFVISKFLKQTHNGENITIYGDGQ------QIRCFSYISDIVNGTILAFEY--EGENFADFNIGNNKPISMEELAGKINELMGNKSEIEFLNLGDEGVRRRIPSIEKAQLLLNYQPVISLNKGLEIIIE-------------- 310620116 -----IIVTGGAGFIGSNIVKKLNERGIDDIVVDDLTDGRKCRNLQSLQF-ADYMDYEDFADLMADCGPVDVIFHEGACSDTMN-YDGRYMMKNNYEGSKDILHYCLERRIP-LLYASSASTYGSGKNGFREVSDCEEALNPYAFSKLQFDRYVRRILPYAQSQVAGFRYFNVFGP------QENHKDRMASLIFQKYHELKEKGKITLFEGTAGYENGGQIRDFIYVNDVVKVLFYFWEYPELSG---IYNCGTGTGHTFNEFVKGIIDYCGTGS-IEYVPFPKGKYQSTTADTTKL----------------------------------- 213621840 ----RVLILGVNGFIGNHLTERLLEENYEVYGMDIGSNAISRFLLHPRFHEGDISIHSEWIE-YHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYR-KRVVFPSTSEVYGMCTDASFDEDPVNKPRWIYSVSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDSLNAARIGSSRAITQLILNLVEGTPIKLIDGGQ--------QKRCFTDIRDGIEALFRIIVNEGDRCDGKIINIGNDNEASIQELATLLLDSFDKHPLRCHFPPFAGDVAHRKPSIDNARRCLDWEPSIAMRDTVEETLDFFLR---------- 254416233 LKDRRVLVTGASGFIGRHVVEMGQAAGVDIHTL----SRHSIDKLGITSWTGNLTDDNRIAEIITNLRPDGIIHLAA-GGVAYGTGKAPDLLRVNTVGLGVLLETVTSLKLQPSIVIAGSGFEYAPQNRPLKETDPIAPPSAYGVSKAASTLLAQLYATQ--LPITILRLFSIYGPG---------EKEPRLTPYIIAQAQRGQPVEITAGE--------QRRDYTYVKDVAQAFWRALAIPPDNQQLRVLNVASGQTITLRDFIQAIADELSRYHITTDKPYRPNELMNYTADISQLQDHLNWLPPTSLQQGLAEMVEEQL----------- 196002303 -----ILVTGGTGLVGCAIAEQIKKE-----------SNPEENWVFLSSKDADLTDANATAAVFEKYKPTHVVHLAAMVGGFKNLNYNLEFFRKNMQINDNVLYYSYKYQVEKCVSCMSTCIFPDKTTYPIDETMIHNGPPHYAYSKRMIDTLNRGYSQQYGCKFTCVIPTNIFGKYDNFNIEDGHVIPGLIHKMYLAKKNNEPFP--------VWGSGSPRRQFIYALDLARLFIWVL-REYEETEPIILSVGEEEEVSIKEVVDMVVEAMEFKGQIVYDTSKSDGQFKKTASNAKLRKYLPDFAFTPIKQALAETCEWFSKNYETVRK--- 296163244 ----RVLVTGSNGFVGRALCRALRDSGNTVTGLVRREIQRECGVDAWVDPSVNFAGIDA--GWPAPLQVDCVVHLAARVHVMDATDPEAAFQATNVEGTLRVARAAWRHGVRRFVFVSSIKAMTEADSGPVREDDSPAPQDPYGRSKRAAEEALIRLGGQTGLEIVIVRPPLVYGPDVRANFLSLMNAVWK-------------------GMPLPLGALRARRSLIYVDNLADALVHC--ATDARAAQQCFHLADNDALTIAELARALGRHLGRPARLLPVPERTAQVDRLVLDTSRIRAVLGWQAPYSTEEGLAATARWYR----------- 118581749 --GKSVFLTGHTGFKGSWFSLWLTSMGAQVTGYANL-YDLARIGELLTSHSADVRDYERLVSAMQTARPEIVFHLAAQPLVLDSYSNPVETYSTNIMGTVHLLEAVRQTPSVRAVVNVTTDKCYENREWVWRENDSLGGYDPYSSSKACSELVTSAYRSFFNLNTYSQHGVAIATVRAGNVIGGGDWAKDRLIPDCMRSLFA--------GEPINIRNPHAIRPWQHVLEPLRGYLMLAQRLYVDGESWNFGPLDSDARPVAWVVDRLCDLWGNNALYESREQCPHEACYLKLDCSKAKIRLNWKPSWSLEQALQSIVDWTRAY--------- 135495308 -KKKTILVTGGCGFIGTNLIRKLLDKNNYIVNIDKITYASNQQGLKDIDKKIDIANNRAIRRLILTTKPDYIFHLAAETHVDRSIDSPNVFISSNVLGTYNLLTSSYEYWTFRFIHISTDEVYGSDKDPKFKELNRYKPNSPYSASKASADHLVRAWHMTYGLPAIITNTCNNYGP---------FQFPEKLIPLVINKCLNNKD-------IPVYGSGKQIRDWIYVDDHI----------------------------------------------------------------------------------------------------- 142651322 ----------------------------EVLVVDNLLTGKKENLLSLDLENGDVGSEETLK-IIKNFNPDVCFHLAAQSSVVISVEDPLLDFEHNLLQPVQLIKTLLETDCKQFIFTSSGGTFGEPEVIPTSEDDYAEPASPYGLAKKKLNELIKVMLQNETMSYSILNLSNVYGPRQD------PHGEAGVMSIFTGKILNNETPT-------IYGDGEQTRDYVYVLDVVDA----LIKSSESEENLFLNIGTGIETSVNELVSILSKKISWDGEPNYEPIREGELLRSVLNYKRAKDQIGWEPNYTLDTGLDELISWFSK---------- 212217810 ME--TVLVTGAGGYIGSVLVPKLLNKGYHVKAVDRFYFG-SDKLSQHPHLELINEDVRRLQPSLFT-NVDYVIDLAAVSNDPSGDIFEKATWEINHQARVQSTTLAKQQKVKRYILPSSCSIYGF-QKGAVDETAKTNPLTTYAKANEKAEKEILP-LATDDFTVTVMRQATVYG----------YSPRMRFDLAINGMVYGAWKDKCI----PLMRDGTQYRPMVHVQDTTDVMVLLLQADASEINGQIINVGCEQNYQLQPLGQLIAEVVGQKLDEKIAIEWYGDPRSYYVSFDKIKRILNWQPQWDAAKGIEKTLNWYQELEKW------ 136748027 MRTKKIFITGVAGFLGSNLADFYLKKGFTVSGCDNLVGGDMDNVPKVNFVKMDCEDLQKMIEITKNV--DVVIHAAAYAHESLSIFSPHLICSNIVGGSTSVFSAAIQNKVKRIVFCSSIARYGEVA-IPYIETGPTKPSDPYGISKLAAEKILVNLCETYGVEYNIAIPHNIIG------IKQKYDDPFRNVASIMINLMLQNRQPIIYGDGEQKRSFSDVDDCIYSIDKLATDPNITSEIVNIGP------GPENEITINKLFEIISNELKFNLEPINKPERVNEVRDSICSSKLSESLLNAESNQNINEIIKKMIH-------------- 136667496 ---------------------------------------------------------------------------------------------------------------FRFLHISTDEVFGLGASGQFTETTPYSPNSPYSASKAGSDHLVRAWHETYGLPVVITNCSNNYGP--------CQFPEKLIPLMVLKALAG--EPLPVYGEGI------NVRDWLYVDDHARALEAVL---LTGKVGETYTVGGNCERKNIDVVHALCTIMDHTNLITYVKDRPGHDLRYAIDASKIKRELGWEPQETFESGLKKTVDWYLQNRDW------ 135202334 --KMKILITGASGYIGSCLYIYLKKK-YDISGIDKIQS------KLIPIRKYNLLNLNKLNAFLKKEKPDLIIHLAAQSLVDE-TINKKRYYLNNVIATKNLIYAMKKNDLNNLLFSSTAA-LYMKKNKAISEIDKIKPKSTYAKTKYECEKII----QKSKINSVILRFFNVCSSLKNKIIGEFHNPETHLIPTIVYKNLLDKKIY-IYGNDYNTKDGSCVRDYVHIEDICSAIKRSIQYKKNKNKFEIINIGSATKKTNFEILDKIEKITGKANKFQVVKRRKGDGDFLTCSIKKAKKKLNWKPLFSSEKIIKDEIRW------------- 136174351 --------------------------------------------------EADLTVAGVAEDLFRTHKPSHVIHLAAVGGIGYNMVAPAQLYLDNMVMGLHTIEAARTCGVEKTVLLGTVCSYPKFTPVPFREESPEETNAPYGIAKKAMLVHAQVNEAQYGQHFAFVIPTNLYGPG-----DKFHESVSHVIPALIKKCVEAKERGDDKVSVWGTG--TASRDYLYVEDAAEAIVLAAQLRTS---TEPMNLGNNREVTIRETAETIARIVGFTGELVWDSTRPDGQPRRRVDASRAERELGWHAHTDFEDGLRTTVEWYLAN--------- 136202125 ---KNSVITGGAGFVGSNLVDRLVSIGHKVIVLDNFVSGKKSNLSHHKIIKIDISDK-NLDKYFK--KADYIFHLAALAEIIPSFKDPNKYFKNNVIGTLNVLKAAKKVKIKKLIYAASSSCYGAPKKFPTSEKDKIDLKHPYAETKFIGEELVMRYASIFKMPNISFRFFNVYGPRLN---------------------------------------------------------------------------------------------------------------------------------------------------------- 143562607 -----------------------------------------KKNKKYIFYKLDINNKTKLLKIIKKFKPDGIFNLAAETHVDRSIDSPYAFLKTNILGVFNILETLRSFNVIKLIHVSTDEVYGDPKHKQVNEDYNYNPSSPYSASKAAADQLLKAYFRTYSSKIVIANACNNYGPR--------QLPEKFIPKIIYNILKNKSIPL--------YGKGTNVREWIYVKDNCEAL---LKIFLKGKIGKNYNIGTGKRIKNIEIIKNILSIAKKKLKIKYVKDRPGHDKRYAVNSNKIRKELKWKPKISLSVGLNKTLRWYKKFFEQISKKN- 135559114 -SSKKILVTGVAGFLGSHLAEKLSDLNYEVIGVDNMSGGYKDNIPKKNFFNFDCCDLKKMTKVMKDVQ--VVYHCAATAHEGLSVFSPVEITKNNYMASVSVFTAAIANKVKRIIFCSSMARYGD-QKTPFTEDMNPKPIDPYAISKVAAENVLKNLCDLNNIEWVIAVPHNIIGPRQ------KYDDPFRNVVSIMINRMLQKKAPIIYGD------------------------------------------------------------------------------------------------------------------------- 143858408 ME--KIVVTGSCGFIGYNFIKS-NSSNFKIIGIDSLNNAYDKKLKDFTFKHLDLSNEIALDKESEIFEVSTVLHLGARAGVRQSFLDPKSYILDNTAATANLALNVKKYKIKKFIIASTSSIYGDTGVNYAEEDELENPPSIYAATKSFGEVLARNILEDTNTTIQIPRFFTVYGP----------FGRPDMSILRFIHWISTDQEVIIYGDGEQKRSFTFISDII----------SGLNKLFSHNDSGIFNFGSNETWSLNQVINLIEKKLNKKAIIVNRERAMKDVDIVLPSLKLSKSLLNWEPTTRIEDGIDATVEWYKNYKDELKE--- 268593323 -----IIVTGGAGFIGSNIIKALNAIGRTDIVVDNLKDG----TKFANLVDLDIADYADKEDFIDFGDVDAVFHEGACSSTTE--WDGKYMMDNNYQYSKELLHYCLDREIP-FLYASSAATYGGRSDNFIEERQFEKPLNVYGYSKFQFDQYVREILPEADSQVCGFRYFNVYGPNED------HKGSMASVAYHLNKQINEGQNPKLF-----EGSDTFRRDFIYVGDVAAVNLWFWENNV----SGIFNCGTGRAESFQAVADAVTEFHKDKNYIEFPEKLKGRYQSTQADLTKLRAA-GYTPFKTVAEGV------------------- 142939117 ----KILVTGVAGFIGYHTARLLLNKKNKVVGIDSINNYYDKKLKFQRFKKINLCQKNSLVNLFRSHKFDKVINLAAQVGVRYSLKNPNAYFESNLSGFFNILETCKKFKIKHLLSASSSSVYGANKKIPFNVKDIDHPIQFYAATKRCNEILAHSYSYCYNLPITLIRFFTVYGP----------WGRPDMALFLFVKNILKNKPINVYN------FAKHSRDFTYIDDIVDGIIKTLDPSTSFAPFKIINLGSSKKIKLMEYIKTIEKVLQKKAIIKF----------------------------------------------------- 139243728 ------------------------------------------------------------------------YHFAAESHVDNSIASDAEFLSTNINGTYNIVK-CIQKFGGRLIHVSTDEVYGSMDDPSFTEDTPYNPRNPYSATKAASDHLVRAYVNTHGIDAIVTNCSNNYGPR----------QHAEKFIPTVIRHIKHNKPIPVYGSG------TNVRDWLFVEDHCEALMVIGEMAKTRAR---YNIGGGFETSNLELAKMILNMMDKPESISFVEDRKGHDLRYSMNSDKLYRELGWKAQTEIYDGLKKTLEWYLR---------- 138514711 -----------------------------VINIDSLSYAGNEGNKNYQFVHGDICSKSDISKIFQENDLDAVLNFAAESHVDRSIKKPDSFIKSNIFGTYNLLECLREFHNIHFHHISTDEVYGDKNDSPFTEKNKYFPNSPYSASKASSDHLVRSWHKTFNLPVTISNCSNNYGP--------FQFPEKLVPLTIFNILNGKEIKL--------YGDGMNIRDWLYVIDHCDAIEKILVYGEN---GHTYNIGGNNEISNVDIVNTVCNEIEIQDSVKFVEDRPGHDFRYSIDSSKIHNDLNWLPKESLNQ--------------------- 137688006 ----NILVTGGLGFIGSNFISKIIDNVNLVVNIDSETYANFESNQNYRHYNVDISDYQKVNEIIKKYSFDRIFHFAAESHVDNSIESSFEFCNTNVIGTLNLLESYRKLDKKHFIHVSTDEVYGSVKEGFFDENSNYKPNSPYSASKASSDHFVRAYFETHNLPAIITNCSNNFGPYQN---------------------------------------------------------------------------------------------------------------------------------------------------------- 150400818 --SKTILLTGATGFLGSHLLEMLVKEGNNVIILRSFSNISRIRHLLGDVKYYDI-DKVELKTPFAENEIDMVIHTA--TSYGRKNEKISEIVETNLMLPLKLLELCTFFNTDTFFN---------------TDTILPKNLNYYVLSKKQFLEYGKRISDECKLRFINMKLEHMYGPKDDNTKFIPYIIEKMLKNEKEINLTKG----------------EQKRDFIYVEDVANAYATILSKINSDKKFYDIEVGTGNPVKIKDLVMLIKNLCNSNIKLNFGPYRKNEIMNSDANPEFLRN-LGWFPKFSLDEGLRKTISYYQKH--------- 136423384 ---KNIFITGGAGYIGSHVAEILIKNKKRVIILDNLSTGFKRLIKKAEFFKADIKNTKKINQILNKYKIDAVIHLAANLVIGEGQKYPKKYFNNNVLGTKSVLEALKNTKVKNFIFSSTAAVYKDGLYR-VKETSPIRPKSVYGKTKLKAEKLIQSYCKKLKINYGILRYFNIV--------------------------------------------------------------------------------------------------------------------------------------------------------------- 23015346 MEKKRALITGVTGMVGSHMVDFLLENTDWDIWLDNLRHGRINARDRLFLTDFELRDTISMIRMVEEVKPDYVFHLAAQSYPKTSFTAPLDTLDTNIMGTARLLEALRPGDGPVIHVCASSEVFGPAEKLPIDEECTFHPASPYAISKVGTDLVGRYYAEAYGMRVMTTRMFTHTGPRRGDVF-----AESTFAKQIAMIEAGIIPPVVKTGNLKSMRTWADVRDAVRA--------YYLLVTKDPQPGAYYNIGGTFSCTVEDMLHHLLSLSTVKDIRVETDPRPIDADLQVPNTAKFRAHTGWEPVIAFEKTMADLLEYWRDAIRS------ 90417248 --GKKVFITGHTGFKGSWLAFLLVGWGAQVKGYALPPSSGSDLSLDIDHVEGDIRDYGKLSEALSDFQPDIVIHLAAQALVRHAYDDPFYTYETNVMGSLNLLRAVDSTPSVKSLVYITSDKCYENLEWVWREHDQLGGHDPYSASKACAEIVFSSFVRSY----WSKRANFSASARAGNVIGGGDYSKDRIIPDCVSASLENKNVY--------LRNPNATRPWQHVLEPLSAYLKFLGQIEEQPSSWNFGPNIEKEITTGELANMVLETLGSGTVSVDISEHPHEAGLLQLNCDKANLKLDWQPRWSGTEAIQMTANWYK----------- 141643097 --------------------------------------------------------RTSLENVFSRVKFDTVFHLAGRIEVSESFKEPTEFWEVNTGGTTSLLNMMKKYDVKNIVYSSTAGVYFSG-NIKIPENECTTNNHVYGNTKLASEQAIED----SGLNYIIFRYFNLAGADPDGEMGEDHEPETHLIP----RILRNLNNFEMFGDDYETPDGTCIRDYVHVSDVAEAHILAANYLNQNNQSEIINLGTGNGYSIKEIICLIELKLGLRVNYTVLPRREGDPCSLVADSSFAE---------------------------------- 240171768 ---KRALITGITGQDGSYLAELLLSKGYEVHGLDHLYVDRHDPHARLFLHYGDLTDGTRLVTLLSTIDPDEVYNLAAQSHVRVSFDEPVHTGDTTGMGSIRLLEAIRLSRVECRFYQASSSEMFGASPPPQSEQTPFYPRSPYGAAKVYSYWVTRNYREAYGLFAVNGILFNHESPRRG------------ETFVTRKISRAVARIKAGLESHVYLGNLDAVRDWGYAPEYVDGMWGMLQTS----EPEDFVLATGRGYTVREFAQTAFDHAGLDWHVRFDERRPTEVDSLIGDATKAAQSLGWKASIHTAELARIMVD-------------- 140879660 ----KVLVTGADGFIGSHLTELLVEQGYDVKALSQYNSGWLEDVSCKDKIEVDVRDPHYCKHITR--DVDLIYHLAALIAIPYSYIAPDSYVDTNVKGTLNICQAALENKVKRIIHTSTSEVYGTAQYVPIDEKHPLQPQSPYSASKIGADAMAMSFYNAFDLPLTVARPFNTYGPRQS---------ARAVIPTIITQIANGAKEIKL-------GDVSTTRDFNYVQD------------------------------------------------------------------------------------------------------- 303240043 ----RFLITGGSGFLGINLTRFLVNKGYDVTVYDIAEFNYPDMMGIVKSVKGDVRDRETLKNAIK--DVDIVIHGAAA----LPLYSKEDIYSTSIEGTKNVLSLSMEKGIERVIYISSTAVYGIPDHHPLIETDRLTGVGPYGEAKIKAEEICNEYRKKRMV-IPIIRPKSFIGPERLGVFALLYDWASSGKNFPMIGNGKNRYQLLDVEDLCEAIYLSAIVDKVLCNDTFNFTTMREDYQAVLDEAGFGKRIIGFPAKLVVVALKILEAMKLSPLYEWVYETAG--KDSFVSIEKAQKILGFNPKYSNKDALLRNYKWYRENKDKFEDK-- 139834070 ----KVIVTGGAGFIGSHLVGKLIEKKFKVVVLDNLSTGRKENIKHFMFIKCDISKKGVWMREFKNTNI--VFHLAALADIVPSIENPNKYFMSNVIGTKNICNLAKKFKIKKIIYTASSSCYGVNKNYPTNENALIDPQYPYALTKRLGEEILIHYGKVYKIDFTSLRLFNVYGTR------------------------------------------------------------------------------------------------------------------------------------------------------------ 141151483 ----KVLVTGGAGFIGSHVVEHFHRE-HEVVVLDDLRSGHLRNLLRHTMIRGSILDPEALEKACRGA--DRIFHLAALVSVPESVSRPEECALLNVEGTKRVLDAARRHGAQKVVLASSAAIYGDNPTVPKLETMTPEPKSPYASTKLEGERLLSEASAG-GLSTASLMFFNVFGPRQDP--------------------------------------------------------------------------------------------------------------------------------------------------------- 143838943 ----TALVTGITGQDGYYLSKLLLEKGYTVHGIDELISKYRDQNKLILHYS-DLLDPSSINTLINNIKPDEIYNLAAQSHVAVSFENPMYTSQTGTIGPLSMLEAIRFDKKIKFYQASSSEMYGGGLKEKLNENSEFDPRSPYAVSKVFAHNITKVYRDSYGIFATNGILFNHESP------MRGETFVTRKITRAIGRIVNNLQSKVTLGNLEASRDWGFAGDYVEAMWLMMQQDNPDDWVIATGETHTVREFAEVAF------KKVNLNYEDYIQISEKYFRPNEVDFLLGDSSKAKEKMNWKPKTSFNDLVELMVN-------------- 254253060 ----HVVVTGASGFVGRALCRVLVERGHRVTGIVRRPAGIDEYVYTGR-EFSDIG----THWPAARGAVDCVVHLAARVHNDSASDPDAAFRAMNVDGTLRVAEAAQQHGARRVVYVSSIAVAETDDGQPLREDCPAHPEDPYGRSKRDAEVLLRQFGESAGVETVIVRPPLVYGPEVRANFLRMMDAVAR-------------------GIPLPLGSIAARRSILYVENLADALLQCASAPRAAGE--CFHVADDDPPSVTDLLRLVGDAVGKPARLLPVPAAALHAGSLQLDTSRIKRVLGWQPRYTTREGLEATAAWYR----------- 134889686 MKKNNVMVTGGAGFVGTNLIKRLLKDGNEVTSLDNYSTGKKENEQDGCYIEVDISDSSRKHKAFQVEKPEVIFHLAAKARIVPSIQNPTKSLFNNIDSTINVLEYARVNNIPV-VYAGSSSAHGDIY------------ANPYTFTKWNGEELCKLYSNVYDLPIAICRFYNVYG------DGQLTEGAYCTVLGVFERQFKAGKPLTITSDGKQRRDFTDVMDIVDGLVRCGRSLLIPNEYNARVSGETFELGNGMNYSINELADAFGD-----YPREYIPERPGEVR-------------------------------------------- 281420620 MNRKVALITGITGQDGSYLAELLLEKGYDVHGTIRRSSAHLEGRPNFHLHYADLGDSMSIIQVMNKVKPTEVYNLAAQSHVQVSFDSPEFTADVDATGVLRILEAIRQCKTCRMYQASTSELYGKVEEVPQNENTPFHPYSPYAVAKQYGFWIVKEYREAYDMFCCSGILFNHESERRGETFVTRKITLAAARIKQGKQDKLY------LGNLDSLRDWGYAKDYVECMWLILQAEKPEDFVIATGKQHSVREFHYVGIELKWCVEGPEELIGKTVEVSPDFYRPTDVVNLWGDPTKAKAKLNWNPTTSFEDLVKIMVE-------------- 139440521 ----RALVTGGAGFIGSNLVKHLLNLQNPEFVLDKLTYSGTLANLHGIFIEGDIVDPEVARK--ATSNIDVVFHLAAESHVDRSIDSSRVFVETNVLGTQSLLEASFKNRVKTFVHVSTDEVYGSINEGSWTEEFPLLPNSPYSASKAASDLVALSYFRTHGMDVRVTRCSNNYGPNQ----------------------------------------------------------------------------------------------------------------------------------------------------------- 142355790 ----NILITGGAGYLGSVITKKMLDAGMKVTVLDNLTFKQLSPLQHIPYIYGDVRNKQLLQKLVADN--DVIIPLAAVVGFPACKADPQLAWDINYYQIKNILDVIDDHHIILYPNTNSGYGIGEGQ-TECTEQSPLNPISVYGESKVAAEKMLLE-------------NSSAVCFRLATVFGVSPRMRTDLLVNEFVYKALTDKYITVFERHF-------KRNFIHIQDVANVFLWALNKYDKMKHNVYNVGLSNANLSKQELLEKIQEHI-PDFAITYSDFYEDDKRDYIVSNAKI-EATGWKPQYTLDMGIEELIKGYQ----------- 140435825 ----KYIVTGGAGFIGSNIVKKLVSRGDNVTVIDNLNTGKEENLASIRDKIVDILNVELLEK--HAEGVEGIFHQAALASVQDSFSKPEEYNNVNVCGTENIFRLAKKIG-FKVVYASSSSVYGNPEKIPIKEEDSKNPINPYAETKLKKEELAIKYSQM-GVKVIGLRYFNVFGKGQSKEY------------------------------------------------------------------------------------------------------------------------------------------------------- 220905862 --SQVILITGGAGFVGSSLGIGLVQRDWKIIALDNLKRRGSELNLGIQFYHGDVRNPEDLDSI--AFQPDLILECSAEPSVLAGYNSPGYVLQTNLIGTINCLELARQTHAD-FIFLSTSRVYGVSEEGIAEEFPLDQPRSLYGATKLASELLIAEYADAYGLRTIVNRCGVITGP--------WQMGKVDQGVFALWMAFHYFQKSLKYIGYGGTG--KQVRDLLHIADLLDLIDIQIHNLENKGQTFNVGGGTSNTLSLYETTQLCQEITGIQIPIVPESERSGDVPIFITDARKIMNLTGWKPQRDATITLTDIYQWLRQY--------- 139623404 ----NILITGGAGFIGSHIVKTYIDAGHSVTIIDDLSTGEMKLVNPKANFYKLCIHSPEVKNVLEKEKISVINHHAAQISVTESVADPLLDANSNIIGTLQLLRNAVSLGIEKFIFASTGAMYGEQKFFPASEDHPCRPLSPYAISKLCAEYYINYFGTAHGLNTTVLRYSNVYGPNQNP--------------------------------------------------------------------------------------------------------------------------------------------------------- 144072473 --KKTALVLGAGGFIGSHMVKRLRAEGYWVRGVD-LKNTEFSSTQANEFVTGDLRDVRFVRECIQFYQFAADMGGAGFVFTGE---NDAEIMHNSCTINLNVLEEVRWTECNRPKLDQPTKIFYSGSACMYPEYNQLDPDNPDCWEKLFSERLYLAYNRNHGIPICIARYHNIFGPEGTWDGGREKAPAAICRKVAYLPTEG--------GAIEVWGDGEQTRSFLYIDECIEATWRLMQSDFQ----GPVNIGSEEMVTINQLVDTVAKVAGKDVNKIHIDGPLG-VRGRNSNNDLIRENLGWDYQMTLEEGISKTYAW------------- 171463125 -KGKRVFLTGYTGFKGGWLSLWLASMGAKVTGYANFFEVAKVHSDLECSHIADIRDLENLQKAITAAKPEIVIHMAAQPLVKYSYINPVETYQTNVMGTVHVLESIRVLDCVRAVVVVTTDKCYENKEWPWRENEPMGGHDPYSNSKGCAELVTDAYRQFFSSERFDDHRVAIASARAGNVVGGGDWSEDRLVPDAIKAFEAK--------QSLLIRNPLATRPWQHVLEPLSGYLVLAQALYEKGGGWNFGPKDEDARPVQEVINLLIKHWGGANWIQDIGEQPHEAHSLKLDCSKARQYLNWVPRWGLEEAIEQIANWQR----------- 218663787 -KGRRVFLTGHTGFKGSWLSLWLERLGADVAAVDPSLYLKLAPWDDRGHHIIDIRDAGALLDVFQGFEPEIVIHMAAQALVRRSYENPAETFSTNVVGTANVLDAVRQPSVQTVLVITSDKVYNSGSGIPFIETDTLGGKDPYSNSKACTELVCQSYRDSF----FKGRDIRVATVRAGNVIGGGDWSKDRLIPDFIRAFES--------NQPILLRYPEAVRPWQHVLEPLGGYLAFAEADRKLPDALNFGPHPESFATVSELAEALGRAHRVEDVWRLAPEHLPEAPALTLSSALAFDTIGWRPRLSLQQTIDWTAAWYRANREG------ 193693064 ---KVALITGITGQDGSYLAELLLDKGYEVHGIIRFNTGRIEHLYLDPKHYGDMTDSSCLVRIIGMVKPTEVYNLAAQSHVKVSFELSEYTAEVDGVGTLRLLDAIRACQLERFYQASTSELYGKVVEIPQKETTPFYPRSPYACAKLYAYWIVTNYREAYNMYACNGILFNHESPRRGENFV------TRKITRSVAKIAIGSLDCIQLGNLDSKRDWGHAKDYVEAMWLMLQQNVPQDFVIATGEMHSIREFKHIGKTIIWEGTGLNEVGKEESTNVIKYFRPTEVELLLGDATKAKTVLGWVPKVNFEDMMEADLALMKKNP-------- 242241410 ----RVLILGVNGFIGNHLTERLLEDNYEIFGLDISSDAISRFLPRFHFVEGDISIHSEWIE-YHIKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIIRDCVKYK-KRIIFPSTSEVYGMCTDPMFDEDPINKPRWIYSVSKQLLDRVIWAYGEKEGLSFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLVDGGR--------QKRCFTDIKDGVEALFRIIENRDGVCDGQIINIGNDNEASIRQLAEMLLESFEKHPLRHQFPPFAGDVEHRKPSIRNAKRLLHWQPTIEMEKTVAETLDFFLK---------- 144102848 --TKRALVLGAGGFIGSHMVKRLREEGYWVRGAD-LKYPDFEKSAANEFIICDLRDYSWVDRLIKFYQFAADMGGAGYIFTGEHDMHNSASINLNLLQAQHKFNELKGTTHTKIFYSSSACMYPDPDNPDCREESAYNPDSEYGWEKLFSERLYLSFQRNHGIPVRVARYHNIFGPQGTWMGGKEKAPAAICRKVAYLPPQG--------GAIEVWGDGLQTRSFLFIDECIEATRRLMDSDF----VGPVNIGSEEMVTINQLVETAARVANKNVEKIHVDGPTG-VRGRNSNNDLIREKLGWDYSQTLEEGIRKTYEW------------- 289547908 ---KKALITGIRGQDGAYLAKFLLEKGYEVWGADRRSGDSSNWRLKELGIEMDLMELTNIMRVIEKIQPDEVYNLAAQSFVSASFEQPILTAEVNAIGVLRILEAIRSKPDTKFYQASTSEMFGKAQEIPQNEKTPFYPRSPYGVAKLFGHWITVNYRESFGIFACSGILFNHESPLRGLEFVTRKITYTLARIKYGLADKL------VLGNLDAKRDWGYAPEYVEGMWLMLQQENADDYVLATGEAHSVREFVEKAIWEGEGVNTKGKDRKTGKVIVEVSPRPAEVDILIGNPEKAKKRLGWSPKTKFSDLVAIMME-------------- 29654027 ---KKAFITGITGQDGSYLAELLLRKDYEVHGMIRRSSSFNTERLSDIYEEGDITDGLVLNKLIHEIKPHEVYNLAAQSHVRVSFDIPVYTMETIGLGTLNILEAIKNADNIRFYQASSSEMYGDVKSVPQTESTPFNPRSPYACAKVFAHYQTINYRESYGLHASTGILFNHESPRRGETFV------TRKITSGIAKILAGLEKKIYLGNLEAKRDWGYAKDYVEAMWLMLQQDTPDDYVIATGETWSVKELLEYSFNLVNLNWRDFVVIDPKYY------RPAEVDLLLGEPKKAKEKLGWQPNTSFHKLIKIMLE-------------- 139660061 -------------------------------------------------------------------------------------------------------------------FSSSCTVYGEPDQLPITENECKTALSPYGNTKQICEEILADLTKVNNIPVIALRYFNPIGAHDSGEIGELPLGPQNLVPFITQSAAGIQGPIKIYGNDYPTKDGTCIRDYIHVMDLADAHVVSLKHLNSLSDKTFFNVGTGKGTSVLEIIQTFERVNNVSLNYSIGERREGDVVEAYADTEKINKKIGWQASKTLDDSLISAWNWEKKL-RGIS---- 134475892 MKKNKILITGADGFIGSHLTEELVKSGFNVRAFTFYNSFGSWGWLDHCDKEGDIRDENSVRK--AMNDCNKVIHCASLIGIPYSYQSPASYVDTNVKGTLNILQAAKDLNISKIIHTSTSEVYGSVKKVPINENYAVIGQSPYSASKIAADQLAMSFYKSFNLPVTILRPFNTYGPRQS---------ARAVIPTIITQCLNNNATVKV-------GNLSPTRDLNYVSDTV----------------------------------------------------------------------------------------------------- 136018285 ----KILVIGSNSFSGSNFVLESLELGYEVIGVSRSEESKRVFLPYKWFYKINLNDLPEFLGIIDDFKPEIVVNFAAQGMVAESWLNPTHWYQTNVVSQVAHDELRKKSFIKKYVHITTPEVYGSTDDGWINEETTFSPSTPYAVSRAACDLHLKSFFDSYDFPVIFTRAANVYGPGQ-QLYRLIPRTFLSCFTGLKMNLHGGGLS---------------KRSFIHIKDVVKATLKLAE----NGEPGTTWHISTNEIFIKQIVEKICKITNTNFNVEISSERLGKDQNYLLDSQSLRKNFNWKEEISLDDGLKDTMQWIQSNLDNL----- 292670417 --TKKALLTGITGQDGSYLTELLLEKGYEVHIIRRHSTPCTERIDHLVSHYGDLTDSSNAHALIREIQPDEVYNLAAQSHVAVSFEVPEYTAEATGVGTIRLLEAVRQSGPIRFYQASTSEFGGLPDTAPQSETTPFYPKSPYGAAKLYSFWITKNYRESYDMFAVNGILFNHESPRRGETFV------TRKITLAVARILSGKQEKLSLGNLDAKRDWGFAGDYVEGMWRMLQQKNPDDYVLATNETHTVREFREAGIEIAWSGSGVEEKGYDAQSVDVDPRRPAEVELLWGDASKAERELGWQRKVSFADLVRMMVR-------------- 292487571 ----RVLILGVNGFIGNHLTRLLLDDNFDVYGLDIGSDAISRFIERFHFVEGDISIHSEWIK-YHIKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIIRDCVKYK-KRIIFPSTSEVYGMCTDATFDEDSVVGPINKQRWSKQLLDRVIWAYGDKEGLRFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLVDGG--------GQKRCFTDIHDGIEALFRIIENRQHNCDGQIINIGNDNEASIRQLAEQLLASFERHPLRNRFPPFAGDVEHRKPSISNAKRLLNWQPKVTMDKTIDDTLDFFLQN--------- 144210940 ----RVLVTGSAGFIGSALSIKLLARGDEVVGIDNHNEYYDPALKLARHIRMDIEDTQGVTKVFDDFEFDGVINLAAQAGVRYSIENPLAYINSNVVGFANILEGCRHNEINHLVYASSSSVYGSNIKMPFSHDNVDHPLSLYAASKKANELMAHTYSHLYNLPTTGLRFFTV---------------------------------------------------------------------------------------------------------------------------------------------------------------- 136306819 ------------------------------------------------------------------------------------------------------------------------------DSELISEDAEIEAINPYGNTKLVNEKFLNDIFNSDNWKIINLRYFNPIGAHPSGLLGEAPKGEPNNIFPLLINTAAKEKKYKVFGRDWPTADGTCIRDYIHVMDVAEGHIRALNYLENANPNVYVNLGTGKGASVLDLISVFEKTNNVKVNYVFAGRREGDVSKVVANNFYAKKLLNWVPSRTLEQMCRDGWNWKLKNPNGF----- 142823778 ----TLIVTGAAGFIGSNLVKALNERGERIIAVDNLTRADKFKNL-VDCEIDDYLDKTEFVERFKRGDFGKVRAIFHEGACSDTMETDGRYMMDNNFRYSREVLDVCLAQNIQFLYASSAATYG-GSSRFVEEREVEQPLNVYGYSKFLFDQVIRRVLPTAKSQIAGFRYFNVYGPR------ETHKARMASVAFHNFNQFRAEGKVKLFGEYNGYAAGEQTRDFVSVEDVVKVNLFFFD---NPDKSGIFNLGSGRAQPFNDIASTVVNTLRALNNIEYIPFP--DALRTQADLTKLRAA-GYAPFLSVQEGVDRYVRW------------- 145225332 ---KRALITGITGQDGSYLAELLLSKGYEVHGLIRFNTSRIDHLYVDPHHYGDLSDGARLVTLLADIDPDEVYNLAAQSHVRVSFDEPEHTADTTGTGTIRLLEAVRLSGVKTRFYQASSSELYGATPPPQNEDTPFYPRSPYAVAKLYSYWITKNYREAYGMFAVNGILFNHESPRRGETFVTRKITRAVAAIKAGAQNYLY------------MGNLDAIRDWGYAPEYVEGMWRMLQVD----EPDDFVLATGVGITVREFLEVAFEHAGLDWHVRFDERRPTEVDALIGDPSRAAKKLDWIPTVKSRDLARLMVD-------------- 169862535 -KRKVALISGITGQDGSYLTEFLLAKGYQVHGISSFNTGRLHHLYEDQHERGDLGDSTNLVYIIAQVQPTEVYNLGAQSHVKVSFEMAEYTGDVDGLGTLRLLDAIRTCKHVRFYQASTSELYGKVVETPQSETTPFYPRSPYGCAKLYAYWICVNYREAYGMFACNGILFNHESPR------RGRTFVTRKITRAVADIKLNKQSCLYLGNLDALRDWGHARDYVEGMWLMLQQDKAEDFVLATGETHSVREFVEKAFAVVGTKIRWEGKGVDEHAIDVDTFRPAEVDLLHGNPAKAERVLGWKRKVDFDSLVKEMVE-------------- 137772433 ---KRVLVVGGAGYIGSHVAWVLQESGYIVRIFDDFSNGLRRRVFFEDVFVGDVLDRDELAA--AMNEVDSIIYLAAKKAVGESVLDPLKYYSNNVGGILNALAAMSLKGVRKIVFSSTAAVYSPSSTDAINESDQLSPLSPYGETKLLSEKLISKVAEAEGISAISLRYFNVVGA------------------------------------------------------------------------------------------------------------------------------------------------------------- 139019008 LELMRVLVTGGAGFIGSSLVRHLLDEGTHVTTLDALTYGHLENLPRHKFVRGDVCDGHLLREVMK--EVDLVFHLAAESHVDRSIEQDQPFVRTNVVGTAMTLAAALETSVSRFINVSTDEVYGVDDRERFTEDTPLSPRSPYAATKAAGDHLSHAYHVTHGLDVVITRCSNNYGSRQYP--------------------------------------------------------------------------------------------------------------------------------------------------------- 143273818 -----ILITGCCGFIGFSYSKFLLNKNIQIIGIDSIDDYYSTNLKKKRLEILNLKNFNKLENIFKNKKINKLFHFAAQAGVRYSFIKPRKYIDSNIISFFNILELSRKYNVKKIFFASSSSIYGDTKKLPSIENDNSQEKNLYAISKNFNEKYAKIYSEKYNMKIIGLRFFTVYG--------EWGRPDMFMIKYMLSSKLKKDFNLYNFGNH--------KRDFTYIKDVVNLVYKVSNSKIKK-NYDIFNVCSSKPLHLNTIMRHLNKRLG-KVNIFKKKRDFADVLDTHGSNKKIQKLVG---KYNFEDGINNLVNWFNNYYKN------ 135438988 MQVKAV-VTGGAGFIGSHLSEKLIEENFEVTILDNFSTGRMQNIKHKKNVEIVNCDLSIKGKWLKHIENAYVFHLAALADIVPSIQNPISYFNSNVLSTLNVLTSISKDKIKKIVYAASSSCYGIPDQFPTSENNKIDPQYPYALTKRLGEELIEHWGQVYDLPVISLRLFNVYGTR------------------------------------------------------------------------------------------------------------------------------------------------------------ 142126680 ----RILITGADGFIGSHLTEKLLSLGHHVTALSFYNSGWLNDVKKKPNIKGDIRDLQFIETSIK--NIDVVFHLAALISIPHSYESYSSYVETNIIGTTNILTACKKNNVKKIFITSTSEVYGSAKKVPMSEEHSLNAQSPYAATKIAADQISISFYKSFNLPITIIRPFNTFGPRQST--------------------------------------------------------------------------------------------------------------------------------------------------------- 142833450 ----KVLVFGSGGLVGSSLIRKLN------------NSNNFTEVVASTREDTDLFSFKSTKNTIDEIKPDCLINAAAVGGIMANNTYRTEFIIENLKINLNILESCIDYKNIKIINLGSSCIYPLNAKNPISEDSFEPTNSPYAMAKISAIEIGRSMNLQYNHDILNLMPTNLYGPN-DNFSENDSHVIPGLIHRMHQAKINKDKKFKIWGSGKPL------REFLYVDDLANAIEFLILENIQED---LLNIGSGEEISIKDLAIEISKIIDYKGEIEFDNSKPDGNPRKLLDSSKINKI-GWTHKVNLKDGLRKTYSWYLDN--------- 34762786 -KGKKVLVTGHTGFKGAWLSKILLEAGAEVTGYSLEPNTEPSLFKILDLEKGDIANLEKLKKVFEEYKPEIVFHLAAQPIVLDSYKEPVYTYMTNVMGTVNLLECVRETKTVKSVINVTTVYENMEWEWGYRENERLNGYDPYSNSKSCSELVTHSYK----VSFFNDTKVAVSTARAGNVIGGGDFANFRIIPDCVRAMKN--------NEIIEIRNPNSTRPYQHVLEPLFAYLLIAKMQYEDASSYNIGPSEDDCVTTEELVNIFCEKWGDANWRIINFDAPHEANFLKLDCSKMKKVFKWENKWNIEKAIEETVKWSKAYFN------- 301307894 ---KTALITGITGQDGSFLAEFLLDKGYDVHGIIRRSSAHLEGKPHFHLHYADMGDSMSLVKLVGKVQPTEIYNLAAQSHVQVSFDAPEFTADVDAVGVLRILEAVRTNHTCKIYQASTSELYGKVEEVPQNENTPFHPYSPYAVAKLYGYWIVKEYREAYNMFCCSGILFNHESERRGETFV------TRKITLAAARIAQGKQDCLYLGNLDSLRDWGYAKDYVECMWLILQQDKPQDFVIATGVQHTVREFHYAGIELRWEGEGIAKTGKVLVAVSEDFYRPTDVVNLWGDPTKAKNELGWNPQTSFEELVKIMV--------------- 139869316 -KNKSVIVTGVAGFIGSKIAERLISEGLSVIGLDNLSTGKIKNIPKKKFIKLDLSKKDQLKKIPKNIK--YIFHLAGQSSGEVSYYDPLGDIEKNTISTINLIKYGIKNKSKTIFFASSMSVYGATKKKHFTENDICKPLSNYGNSKLISEHYLKIYSKQ--LPYVNFRMFNVYGPGQD------MANLKQGMLSIYLAQALKNKKVIVKGSLKRT------RDFIYIDDVVDCW-------------------------------------------------------------------------------------------------- 141946741 --------------------------------------------KKYNFHKVDLIDKKKLENIFKKYKIKIVINLAAQAGVRNSITNPTKYFDYNILGFFNIIDLSNKYKIKHFLFASTSSVYGLNNTFPLNENLNTNPLSFYAASKICNETMAFSYANIFNLNCTGLRFFTVYGP--------YGRPDMALFKFVDNIQKNKTIELYNYGNHI--------RDFTYIDDIVESIFRLINKPKTKIPFEIFNIGSNSPQPLSRFLKIIEKELNKKAKIKKIKIQKGDIHKTHADTKKLFRRIHYKPKTNIYNGINKFIIWYKNYY-------- 142125987 -------------------VDKLIENKNEVIIIDNFITGKKSNLNPKASVELDISNLSNNDKIVHYMRGADVFMLAAKARVQPSIENPLEYEINNTIGTLNILKCASDAKVRRFVYSASSSAYGDSKKLPLKENFDANPMSPYGAQKFYGEVMCKVFAKVYQIQTVSLRYFNVYGERQN------IDGAYALVMGIFVHQRLNNQPMTINGD------GEQRRDFTYVGDVVNAN-ILASSSENVGNGEVINIGNGDNRSVNQIAEMI-----GGPKINLDP--ILEPNETLADNSLAKKLLDWEPTQKIED-------WVKKYKKEIG---- 136845484 MAVTKYFIAGHRGMVGSAIVRKLLMEGNSNIV-------------TRTHRELNLTDQLAVRDFMQTEKPDVVVLAAAVGGIYANKTYPAQFIYENLMIECNVIHQAFDAGVKKLLQLGSSCIYPKFTPQPMREDATLEPTNPYAVAKIAGIKLCESYNRQYGVDYRSVMPTNLYGPG-DNFHNDNSHVIPALIRRFHEATRDGLDEVVIWGSGSPRREFLHVDDMAAAALFVINLQKDIYEDNTDPMLSHINVGTGTDISILELAQLVAKITGYTGQIGMDRTKPDGTMRKLMNVDHLAQ-MGWSAHIQLAAGLADTYQWYLAN--------- 270261570 MKRRRVLILGVNGFIGNHLTERLLRDDYDIYGLDIGSDAISRFLPRFHFVEGDISIHSEWIE-YHIKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIVRDCVKYN-KRIIFPSTSEVYGMCDDKEFDEDHIVGPINKQRWSKQLLDRVIWAYGAKEGLKFTLFRPFNWMGPRLDNLDAARIGSSRAITQLILNLVEGSPIKLMDGGA--------QKRCFTDINDGIEALFRIIENRDGLCDGQIVNIGNPNEASIRELAEMLLESFNNHPLRDSFPPFAGDVEHRTPSIKNARRLLGWQPTIAMQQTVADTLDYFLR---------- 135294258 ----KYLVTGGAGFIGSNIVAAFADAGHDVIVSDWLRTDERWKNLAKHVVQ-DILHPEQLAGWLRKNGADGVIHMGAISLTTETDVDAL--IDNNIRLSIDLWTFCAEAKVP-FLFASSAATYGDGTAGFTDFEDKLHPLSAYGWSKHSIDKRIARLRDNNGLTPPQWKFFNVYGPN------EYHKGPMKSVIAQNARKIAGGETLHLFKSYHPYKDGHQLRDFIYVKDCVKIIQWFFAHPEKSG---LFNIGTGSARSWLDMAHAMFAAADMPEKVEFIDMPQDLRAKYQANMAKLRAA-GYAPITSLEDGIRDYMQNYL----------- 94264217 -----IIVTGGAGFIGSNLVAEFNRRGNDIVVVDNLIHG-VKALNLADCVIADYHDKDDFFARLARNKVEAVFHLGACSSTTE--WDGRYMMRNNYEYTKVLFHACQHWRIP-FIYASSASVYGGGR--VFTEDANERPLNVYGYSKLLFDQHLRRHLGELVAPVVGLRYFNVYGPR------EQHKGSMASVAYHFQNQLAETGRVRLFEGCDGYADGEQQRDFVDVRDCVNVKLWLLEHPEVSG---IFNLGTGRARSFNEMARAVIKAWG-AGEIEYIPFPAHLRGRYQADMSALRA--------------------------------- 310635460 --GKKALITGITGQDGSYLSELLIQKGYDVYGISSFNTARIAHIYQDPHEEGDLTDSSSINRILKVVRPDEIYNLGAQSHVKVSFEVPEYTGDVTGLGTVRLLEGMRELDLNKFYQASSSELFGKVVETPQTELTPFYPRSPYAAAKAYSFYITQNYRESYGMFAVNGILFNHESPRRGETFV------TRKVSRAVAAISAGLQKCLYLGNIDAKRDWGFAGDYVDAMYRMLQVEEPEDFVVATGETHTVREFCGLEIHWEGSGVDERGVDQDGRTIRIDPRRPAEVDLLLGDCSKAKAKLDWEPKVTFEGLVEMMVD-------------- 138711577 --KKIILVTGCAGFIGYHIALRLLKKKYIVIGIDNINNYYSRKLKIDRFFKLDLNNKNKLENILKKYKPSKIIHLAAQAGVRYSLIYPEQYLKNNINCFFNILECCRKFKIGSLIFASSSSVYGNLNKKRFSEKDSTDPIQFYAATKKSNEVMAHAYSSLYQINCIGLRFFTVYGP--------WGRPDMSIFKFTKNILKNKKIDIYNYGKH--------KRDFTY---------------------------------------------------------------------------------------------------------- 304392543 ----KIFVTGACGYKGTVLVPKLLEQGYEVTAFDIQWFGNQRDHPALTVVQGDIRNVED----YDLTGHDAVIHLSSIANDPAGDLNPKLTWEVSCLATALLADKCKRHGIERFIYASSGSVYGIKDEEHVTENLELVPITEYNKTKMCGERILLSYSDDMVVQIVRPATVCGFSPTMRNDV----------SVNILTMLALENGKITVFGG-------DQVRPNVHIDDITDLYIFLLENPEHDG----IFNAGFENISIMDIARKVQEHVDAEIVVT----PSNDPRSYRVNSDRLLA-TGFRPKKTVDDAIKELVGLYRQ---------- 238928051 ---KKALITGITGQDGSYLTELLLDKGYEVHGIIRRCTERIDHLLSDVQYDGDLTDSSNAHALIREIQPDEVYNLAAQSHVAVSFEVPEYTAEATGVGTIRLLEAVRQSGPIRFYQASTSEFGGLPDTAPQSETTPFYPKSPYGAAKLYSYWITKNYRESYGMFAVNGILFNHESPRRGETFV------TRKITMAVAKILAGKQEKLSLGNLDAKRDWGFAGDYVDGMWRMLQRDTPDDYVLATNETHTVREFVETAFHETGIVLEWRGTGGKEKGYDVDPRRPAEVELLLGDASKAERELGWTRKVEFPALIRMMVQ-------------- 187735742 -KNSRIFVAGHHGLVGSAIWKSLEDKGY-------------SHLIGRPHKELDLEDPAAVREFFDREKPEYVFLAAAFVGIIANSRYRADFIFRNLQIQQNVIGESFRHGVSKLLFLGSTCIYPREAPQPMKENALLTSNEPYAIAKIAGLKMCESFNLQYGTNYIAVMPTNLYGPNDNFHLENSHVLPAMVRKIHLAKCLMEGKYGITPGSVELWGTGTPLREFLWSEDMADACVHVMEQVDREVRNCHINIGTGKEISIGGLARLIAATAGYRGNLAFNADKPDGTMRKLTDVSKLHS-LGWKHRVELEEGVERIYRWYL----------- 137421740 --------------VGSAICRQLQKQADVEII-------------TRTREELDLCDQRAVHVFMQLEKPDEIILAAAVGGIHANNTYPAEFIYQNLQIQNNVIHTAHINDVQKLLFLGSSCIYPRAVEQPMKEEAVLEPTNPYAIAKIAGIKMCESYNRQYGRDYRSVMPTNLYGPG-DNYHPENSHVVPALIRRFHEAKENNLDEVVVWGSGTPMREFLYVDDMAEASLFVHKFPHSDFEESTQPMLSHINIGTGTDVTIRELAETIKNVIGFSGEVSFDQSKPDGTPRKLMDVSLLSN-LGWKASVSLKSGLELSYADFL----------- 134338714 ---RKALITGITGQDGSYLAEFLLSRGYEVHGLIRRSSTFNTQRLEHLYVDGDLSDSSRLTTLLQTVAPDEVYNLAAQSHVRVSFDEPEHTGDTTGLGSIRLLEAIRLLGLECRFYQASSSEMFGASSPPQNEDTVFYPRSPYGAAKLYSHWVTKNYREGYGMFATSGILFNHESPRRGETFVTRKITRAAARIAAGLQDTLY------------LGNLDAIRDWGYAPEYVQAMWLMLQA--DEPDDFVIATGTNNSVEDFLGFAFEHAGLDWKKHVKFDERRPTEVDALVGDSSKAESVLGWKPQV------------------------- 115350817 ----RLVITGANGFVGRAVCRRALDAGHTVTALVRRPGACIDGVREWVHGSA---DWEGLDAAWADLVADCVIHLAARVHRDDSPDPDAAFDATNVAGTLRLAEAARKYGVRRIVYASSIKAVGESDSGPLSESWPADPQDAYGRSKLRAEQQLARFGTSAGLDVVIVRPPLVYGPHVTANFLRMMDAVARGMPLPLGSISARR--SIVYVDNLADALLQCATDPRAAGEC--FHVADDDAPSVTGLLRLVGDALGKPARLLPVPTAALRALGKLTGRSATIDRLTG--SLQLDTGRIKRVLGWQPPYTTRQGLEATAAWYR----------- 213515196 ---KVAVITGITGQDGSYLAEFLLEKGYEVHGISSFNTGRIEHLYQNPQHYGDLTDSTCLVKIINQVKPTEIYNLGAQSHVKISFELAEYTANVDGVGTLRLLDAIKTCNSVKFYQASTSELYGKVQEIPQKETTPFYPRSPYGAAKLYAYWIVVNFREAYNLFAVNGILFNHESPRRGANFVTR-----KISRSVAKIHLGQLESFSL-------GNLDSKRDWGHAKDYVEAMWLMLQ----QEEPEDLVIATGEVHSVREFVEKSFKHVGKTIVWEGKDERPTEVEYLQGDSTKALTKLGWKAKITFEELVKEMVD-------------- 135170288 ----TILLTGAAGFIGYHTTRALTEKGYAVIGLDNLNDYYRENLENFTFVKGNVSDGHVLDRITAEHDIDVIIHLAAQAGVRYSLENPESYEHSNLSGQMRMLELARRLQNKHFIYASSSSVYGANTKLPFSEDRVDHPVSLYAATKKAGEMLAQSYAHLYRIPSTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 140530419 ---KRVLITGGAGFIAHHLIGQILEKTEWEIVLDRLDYSGNLNRLHDLMKRVKIVHHDLKAELNPLIRVDAVLHLAAGSHVDRSIDYPMEFVLDNVVGTCNILEFARTCKNERFIYFSTDEIFGPAPNGIYKENDRYNSTNPYSATKAGGEELAVAYQNTYNLPVFITHTMNVFGERQ------------HPEKFIPMCIKKARDGESVTIHSDSTKTVPGSRHYIHAEDVARWNPDYDAPTWGNAKCPKFNIVGSEELNNLELAQIIAEAQGKEEMVDFHSSRPGHDLRYALDGSKMR-ELGWTPAKSVRD--------------------- 223934383 LTDQRILVTGGAGFIGSALIWELNRRGCEQLVVTDFLGETEKWRNLTPLRFADYLEADDLLPRLNANNFDLVLHLGACSATTE---RNATYLIRNNFEYTKELAAWSLAHKARFVYASSAATYGDGSAGMSDEPDIERPLNMYGYSKHLFDLYAKKHGFLN--QIVGLKYFNVFGPN------ENHKGDMRSLVNKACAQVLQTGKIQLFKSYRPYRDGEQKRDFLYIKDAVK---MTLHLATTPAANGLFNLGSGEAHTWIELATAVFKALECEPKIEFIEMP--DVLRTQADITKLRSA-GFKERVQLSDSVADYVKNYL----------- 138701988 FKLKKIVITGAAGFIGSNLVDRLINEGFFVYGIDNLVTGSLDNLSHNSINNFDFINHDVTKYIKIKDKIDYVFHFASPASPIDYLKYPIETLKANAIGGHNALGLAKKNKA-KFILASTSEVYGDPLEHPQKESNPIGPRSIYDEAKRFIESMTISYHHYHKLRVSIVRIFNTYGPRM----------------------------------------------------------------------------------------------------------------------------------------------------------- 143912329 MKNK-ILITGSSGFIGFHVASFFLKRNYTVYGIDNHNDYYDIKLKIKRCIKKDIQDK-KLSTLLGKIKPDVIIHLAAQAGVRYSYKNPFKYIDYNITGFLNLLEAMQKNKLRNLIYASSSSIYGNVKKYPINENFKFNPENFYGLTKVFNEKLVDIHHKNYRI--------NSIGLRMFTVYGGLGRPDMFIPKIINNLKSKKIIELYNNGNH--------RRDFTYVEDVSKVIFKLSKAIINNKKNLLLNICSGKSISIIRIIKLISQSINIKPKIKKLSFQKGDMLKTHGNNKKLISLIG---KLNFTEGIKK----------------- 77461902 --TKSALITGITGQDGAYLAKLLLDKGYKVHGLVARRSSDSRWRLREMGVEADIADACSVQRAVIKSAPDEVYNLAAQSFVAASWDQPVTTGIVDGLGVTHLLEAIRQFSPHRFYQASTSEMFGLIQAEQQDENTPFYPRSPYGVAKLYGHWITVNYRESFNLHAS------------SGILFNHESPLRGIEFVTRKVTDAAARIKQGKQQELALGNIDAKRDWGFAGDYVEAMWLMLQQDKPDDFVVATGVTTTVREMCRIAFDHVGLNYRDYVKIDPAFFRPAEVEVLLGNPAKAQRVLGWKPKTDLDTLIRMMMD-------------- 136026060 --------------------------------------------------------------------------------------NPHAYIESNINGFLNVIEGCKKSEVQHLIYASSSSVYGGNTVLPFSEDQRVEPLSLYGATKISNELMARVYSDLYDIPTTGLRFFTVYGP----------WGRPDMSLFRFTKNIVANEPIDVFNDGNHKRDFTYIDDIIKTLNLAPSRPPSEPDKSNSPPYQIFNIGNSRPIELLEMIKILEDALGRKAKLNFRPRQPGDVYETHANVELLEQSTGYRPSINLETGISRFLEWYKEFYR------- 187731066 ----RVLILGVNGFIGNHLTERLLEDHYEVYGLDIGSDAISRFLNHPHFHEGDISIHSEWIE-YHVKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLRIIRYCVKYR-KRIIFPSTSEVYGMCSDKYFDEDHVNKPRWIYSVSKQLLDRVIWAYGEKEGLQFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGG--------KQKRCFTDIRDGIEALYRIIENAGNRCDGEIINIGNENEASIEELGKMLLASFEKHPLRHHFPPFAGDVEHRKPSIRNAHRCLDWEPKIDMQETIDETLDFFLR---------- 140840737 ---------------------------------------------------GDIRDYEFLNK--KTKRVDAIIHLAALIGIPYSYHASRSYIDTNVTGTFNILDCAKNNYVSKIFVTSTSEVYGTAQKVPIDETHSLNAQSPYAASKIGADQLALSFNKSFGLPVTILRPFNTFGPRQSARAIIPTI-----------------ISQILKSDKIKLGNLTPKRDFTYVEDTVEAFVKALKANKISGEVINI--GNNFEISIKDTLEIIKEIYGKEVKLIKDKKRISEVNRLLASTKKAQRLLKWKPQ-------------------------- 142403397 --KRTALVLGAGGFIGSHMVKRLRSEGYWVRGVD-LKYPEFSETEANEFIQGDLRNVDFVRRVIQFYQFAADMGGAGFVFTGENDADQRKLNETFDGVKKGWTEANRPKLEWKTKIFYSGSACMYPENPDCSEESAYDPDSEYGWEKLFSERLYLAYSRNHNIPVRIARYHNIFGPEGTWKGGREKAPAAICRKVAYVPPSG--------GSIEVWGDGKQTRSFLYIDECIEATRRLMDSEF----IGPVNIGSEEMVTIDKLVDTAAKVSGKVVRKVYNLNAPRGVMGRNSNNDLIREKLGWDYEQSLEEGIRKTYNW------------- 160889828 ISKQNILITGITGQDGSFLAELLLEKGYDVHGTIRRSSVHLEGKPHFHLHYADLGDSMSIIQVMNKVRPTEIYNLAAQSHVQVSFDSPEFTADVDATGVLRVLEAVRQCSTCRIYQASTSELYGKVEEVPQSEKTPFHPYSPYAVAKLYGYWIVKEYREAYNMFCCSGILFNHESERRGETF-------VTRKITLAAARIAQGKQDKLYGNLSSLRDWGYAKDYVECMWLILQNKQPEDFVIATGEQHCYLAFKHAGIELEFQGEGIDKVTGKVLVEVSEDYRPTDVVNLWGDPTKARTELGWNPKTPLTELVRIMVD-------------- 136199762 ----------------------------------------------------------------------------------------------------KFLNTCFENNLKKIIFSSTASVYGNPKKQNVSEDDKINPLNPYAKTKLKLENYLVKESKRQNIKYIILRYFNVAGADEKLRSGLISKFSTHLIKIASEVAVGKKDKLIINGDDYDTKDGSPVRDYIHVSDLADIHFVSARYLFNKNKSNIFNCGYGKGFSVKEVIKTYNKILDKKIKYEIGERRPGDSKLVVANPEKFKKTMKWKPKFDLNYILKTAYSWEKK---------- 138890092 ------------------------------------------------------------------------IHLAAQAGVRYSNENPRAYLESNVNGTFELLEAARQFPPKHMLLASTSSIYGANSDMPFRETMKADHMSFYAATKKSTEHMAHSYAHVFELPITIFRFFTVYGP--------WGRPDMALFKFTQAILDGKHIDVHNYG--------DMKRDFTYIDDLVNGVHKILDSKSDVAPFRIVNIGSSKPKKLTEFIDALEKSIGAKAVKNMLPMQVGDTPSTWADTSLLEAVTGYKPQTNLSDGIQKFVNW------------- 135335763 ------LITGITGQDGSYLAELLLSKDYKVVGLKRFNTNRVDHLYVDPLEHSDMSDGSSISNIINKVKPDEIYNLAAQSHVKVSFEIPEYTANSDALGALRILEVIRSSNKIKFYQASTSEMYGNCKNTPQNENTIFNPDSPYAAAKLYAHNITRIYREAYGIFASTGILFNHESPRRGETFVTKKIVKGLAEIKF------GIKNKLSLGNLNSKRDWGHAKDYVEGMWKIMQHSKPDDFVLATGKQITIRNFIIKCLKFMNFKYKFEKVLNHNNNVIIDIDRPLDVENLKGDSSKARKILRWKPKYNIDDLVKEM---------------- 144081712 ------LITGITGQDGSYLAELLLEKGYEVHGIVRINTHRIDHIFNKIKHYGDLTDSTNIVRVIQKVQPTEIYNLGAQSHVKVSFEMPEYTADVDAIGALRILEAVRMDDRVRIYQASTSEMFGKVEEIPQRETTPFHPRSPYGVAKLYGYWITKNYREAYGMYACTGILFNHESPRRGETFV------TRKITRALKAIRDGKQDVLTLGNLDSKRDWGHTKDYVRAMWMMLQQETADDYVISTAEQYSVRTFVEKCAPYFGMHIVWDGEGEDEIGIDTNTKRPAEVETLLGDYTKAKTELGWEPEITFSQLVEDMCE-------------- 135492158 MQLKKILVTGAAGFIGYHTCQKLLSKNIKIYGIDNLNNYYDVKLKKERLRKVDISNYDKLSKIFRDKKVDYIIHLAAQAGVRYSIKNPKIYTNSNLVGFANILEISRIKKIKHLIFASTSSVYGENKKFPAKENSTDHPISYYAATKKSNEVMAYSYSYIYNIPNHRF--------------------------------------------------------------------------------------------------------------------------------------------------------------------- 136037998 MKKEKILVTGAAGFIGMHVCMSLLKRDYEVIGIDNLNDYYDIELKKSRLKEIELQNFKKLNEFFQKHNFKKIIHLAAQAGVRYSLINPHSYIESNINGFLNLLESIKNLEIDHLVYASSSSVYGSNSLKPFNEDHVDHSLSLYAATKKANELMAHSYSHLFNIPMTGLRFFTVYGP----------WGRPDMALFIFCHAISNDLPIDIYNHGNMQRGFTYIDDIV----------------------------------------------------------------------------------------------------------- 134342258 -KKKTAVVTGGAGFIGSHLCERLVRDGYKVISLDNYFAGSKNNHVKGVVYR---RGHTKHIEKHIREKPDVIYHLGEYSRVAASLEEPDVVWDLNMDGTFSVLEFWRKNKCKLVYAGSSTKNVGPREDGVVGRD-----LAPYTWGKAVNTELVVNYGRWYELSYSIVYFYNVYGPRERPIWEYGTVIESFKQKYLEQKPLEVRSP------------GTQTRCFTHVDDTVEGIILVAE----KGNGDEYGIASNCIFSLLE----VAKMFGGKT--KMLPPTKTTRSESVVDTVKIKS-LGWKPVHTLKKYIED----------------- 116074506 -------------------------AGEEVLCLDNYFTGRKANIQWIGHPRFELIRHDVTEPI--KLEVDRIWHLACPASPIHYQTNPVKTAKTSFLGTYNMLGLARRVKA-RLLLASTSEVYGDPELHPQPEMNTIGPRACYDEGKRIAETLCFDYRRMHGSEIRIARIFNTYGPRM--------LADDGRVVSNFIVQALRNEPLTLYGDG------SQTRSFCYVDDLIEG----LIRLMNGDHIGPINLGNPNEFTIRQLAEQVRSRINPDLPLMEEPLPADDPRQRRPDIGLAQRELGWTPSVALEQGLDPTIRWFRQ---------- 144068118 ----KILLTGSSGFIGQALTPRL-EKDYEVFHLAS-----------------DLTDHAAIKAELNRVDPNIIVHLAARTEVEKSFYEQISFSEVNYIGTLNLIESAKTLKHLKFVFASTMEVYGWQPRVAFDENTIPNPNAPYAVAKYGCEKYLEYAHRCLGLPFTAIRQTNAYG-RHDNDFFVTEAIISRMLKNPHEIDMGYAEP---------------WRNFIYVDDLVNAWMSVITNPDKVNDGKILTIGPDNALSIKDYAKKIASKINWQGEIQWNKRRDGEIYWLNSNHNLITQLLGWKPIVKLDQGLDKTIEWKEKFKNAI----- 138663343 ----KILITGTAGFIGFHLAKKLLERGETVIGLDQINDYYDIELKFHIFYKGSIEEKELIDFIFKNEKLDAICNLAAQAGVRHSLKNPRLYIDSNVVGFLNILEACRNFNVKNLSYASSSSVYGLNKKLPFTSDNVDHPISLYSASKKSNELMAHTYSHLFGIKSTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 15677985 --------------------------------------------------------------------------------------------------------------------------------MPYTEDMRPDTANPYGASKAMVERMLTDIQKADPRWSMILRYFNPIGAHESGLIGEQPNGPNNLLPYICQVAAGKLPQLAVFGDDYPTPDGTGMRDYIHVMDLAEGHVAAMQAKSNVAGTHLLNLGSGRASSVLEIIRAFEAASGLTIPYEVKPRRAGDLACFYADPSYTKAQIGWQTQRDLTQMMEDSWRWVSNNPNGYDD--- 225570154 FKDKKILITGHTGFKGSWMCKMLTMAGAEVTGYANLFT-LCKIADGMRSVLGDVRDLPHLKEVFTQIQPEIVIHMAAQPLVRESYQNPVYTYEANVMGTVNVLECIRMTPSVKSVVNVTTDKVYLNREWEWRENEMLNGYDPYSNSKSCAELVTSSYVNSY----FNNMDIAVTTCRAGNVIGGGDFASDRILPDCIRAAAK--------GMDIIVRNPFSTRPYQHVLEPVAAYLLIAQMQYDKKEGPDESECWTTEALVDLFCKKWEKMTGNAIWINKYDGGPHEANFLKLDCSKVKKVFGWRAKWDIDTAMDKIIEW------------- 136132144 MHMKKALVCGAGGFIGSHLVKRLKSEGYWVRGVD-LKYPEFSKTEADEFLIGDLRNVETVKKLKDFTTFDEVYQLAADMGGAGYIFTGEHDADVMHTINLNVAHVSCFFDKKPKVFYSSSACIYDPENPKCSEDSAYAPDSEYGWEKLFSERLYLSYARNYGLNVRIARYHNIFGPEGTWRGGKEKAPAAICRKVA---------ECEPGGTIEVWGDGQQTRSFL----FIDECIEATRRLMNSDFEGPVNIGSEEMVKINQLVEIVDSVANKKVNIKNIKGPTG-VRGRNSDNALIQEKIKWSPKQPLLAGIEKTYKW------------- 307133266 ----RVLILGVNGFIGNHLTERLLEDNYEVFGLDISSDAIERFLPRFHFVEGDISIHSEWIE-YHIKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKTIRDCVKYK-KRIIFPSTSEVYGMCTDPVFDEDNIVGPINKQRWSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLVDGGR--------QKRCFTDIKDGIEALFRIIENKDGVCDGQIINIGNDNEASIRQLAEQLLESFEKHPLRNQFPPFAGDVEHRKPSIRNAKRLLHWQPTIEMEKTVAETLDFFLQ---------- 140941225 IRGKKLLVIGGAGLIGSHTVDALLREDVEVIVYDNFVRGRLENLVGACQDPGDILQTDILEAAMQGV--DGVFHLAALW-LLQCHEYPRSAFDVNVRGTFNVMEACTKVGVKRLVYSSSASVYGDALSEPMTEDHPFNNKNFYGATKICGEAMLRAFHHRYGLDYVGLRYMNVYGPRQDY--------------------------------------------------------------------------------------------------------------------------------------------------------- 139089317 ---KKIIVTGGYGFIGSAIIRKLIKTNKHILNIDSLTYASPSQPKNYQFIKLNICNYNNLKKTILRFKPDLLIHCAAETHVDNSINSPYKFIKSNIIGTYNLLQICTEYYKNYFHHISTDEVFGELTNDKFNEESLYKPNSPYSASKASSDHLVSSWRRTYGLPANITNCSNNYGP---------YQNKEKLIPVIIKNCFFQKK-------IPIYGKGLQRRDWLYVDDHANAI---LKISKNKIVNENFCISGNYETSNIELCKKICIIMDDK---------------------------------------------------------- 139584361 ----------------SWISKKLLEDGATVVGLDNFNNYYSVDLKEYRSEQVDVCNSTLLNIMFDKYSFTHVVHMAAQAGVRYSVTHPQKYVTANIMCTTNLLEVIRQRKPPIYVYASSSSVYGHSKLQPFSEAHALDPSSLCAATKRTCEDLAYVYHHLYGIRATGLRFFTVYGP----------WGRPDMAVWQWVEAALSKRPIRLY----EKPGYQLERDFTYVDDIVDGVIKSLYL---GSPFDIFNLGKGQPDKIENLIKYIENQIGTKTIIDRVPIPKADVISTFANISHAKKLLNYSPKIS------------------------ 304437732 -----IIVTGGAGFIGSNIVHALNARGYRDIIVDDLEDGENYKNLRGLHF-IDYQHKDDFLHLFDGTDIDAIFHEGACSDTMEYDVNY--MMKVNYSYSKALLHFAMGARIP-FFYASSASTYGGGAHGFTEGDACEDALNPYAFSKLAFDRYVRQVIPEARSPIVGLRYFNVYGP------QEHHKGKMASIFYQLYHQILDVGEARLFRGTDGIEDGEQRRDFVYVGDVVRVNLHFFE---NGGESGVYNCGTGTAHTYNEAAHAVIAALGKGQIV------------------------------------------------------- 20090040 --TKVALITGITGQDGTYISKLLLDKGYVVHGIKRESSTRTEDNTNLHFHFCDMTDYSKLLRIIQEIRPDEIYNLAAQSNVQTSFDRPEYTAEINALGTLRLLEAIRTKKKTKFFQASTGDLFGNSLEIPQNENTPFHPRNPYAVSKLYAYWIAINYREAYDMFVCNGILFNHESPRPENFVTRKITRAAVRIKKGFQEKL-------YLGNLNAKRDWGFAGDYVEAMWLMLQQDKPDDYVIATGETRSVQEFAFREVSIELEWEGERNAVTGEILVEVDPRRPLESSLLVGNPSKAREKLGWIPEVNFEELVKMMVK-------------- 291543445 ---KNALITGITGQDGSYLAELLLEKGYNVYGIMRRDYGNVDHIKDKHFIYADMTDEISLINAMRISQADEVYNLAAQSFVATSWEQPLATAEIDAIGVTNMLEAIRTVKPCRFYQASTSEMFGLVQAVPQCETTPFYPRSPYGVAKLYGHWITKNYRESYGLFACSGILFNHESERRGKEFVTRKITDAVARIKQGVLD------HVELGNMDAKRDWGHSKDYVRAMWLMLQQDAPDDYVIATNETRTVRQWQGTGV---DEIGIDKATGKTIVKVNKDFFRPAEVQLLLGNPAKAEEKLGWKREISFAELVQRMVD-------------- 138172257 ----KVLITGCAGFIGFHLSEKLLKKNFKIVGVDNLNSYYSVKLKKFKFFKIDISNYKKLKKIFNENKFDIVINLAAQAGVRYSIINPKDYVKSNILGFINVLELCRISKIKKFFYASSSSVYGDKKIYPVSEKSEIIPKNIYSFSKKSNEDIAEIYWKQYSMKSIGLRFFTVYG--------EWGRPDMLMMKYM----------------------------------------------------------------------------------------------------------------------------------------- 158421677 ------LLVGGTGFVGGHLAPALVAAFPRHRRV-MLSRGEASARPDWETLEGDLSDAVAMDRIVAELRPEVVIHLAAQASV---VSDPNATWVVNCGGTFNLARAVRHVPRGLFFFSSSGEIYGESNDGPAREDTAPRPMNAYAGSKLAAEAVLRALLPQE-WRLVIARAFNHTGPGQDERFVLPSFAAQIAR-----IEAGQIAPRIRVGNLAA------ERDFLHVKDVVAAYIALLHYEGPE-RRILVNVASGHVYGISDLLERLRGSARRPFEVEIDPMRPSDIPCAAGDPSLLKQLVNWTPRHSIQETLDELLDWWRA---------- 126464448 ---KRILVTGSAGLIGSEAARHYDALGHEVVGVDNNDTSWQRQRLEQSCSRIDIRDRAAVTRLFEEFRPEAIVHCAAQPSHDLAAKIPFDDFEVNALGTLNLLEATRQHTPEAFIFTSTNKVYGDGPNTLESRYDFADPRSLFGASKVAADVMVQEYGRYFGMNTVCFRGGCLTGAGHSG-------AQLHGYLSYIFKAAAQGRHYTIFG-----YKGKQVRDQIHSRDVIGAFDAFL-ANPRQGAVYNLGGGKANSISILESIDRIEQLSGQKLSWSLEENRIGDHIVYYTDLARFRADYDWHLATSIDDIFEEFAQ-------------- 135575642 ---KKIIVTGGSGFIGSNLIEYLIKKKYFVINIDKLSYAANSYNLNYRFIKADINNRKKILKILNKFQPSAIFNLAAETHVDRSIDDPKDFINSNILGVYNLLETIKAYKKLKLIHISTDEVYGDILSGRSNENFAYKPSSPYAATKASADHLIKAYIRTYNIPAIITNCSNNFGPRQ----------------------------------------------------------------------------------------------------------------------------------------------------------- 138722468 ----RVLVVGGAGYIGAHVCEELVNSGYAVRIFDDFSNGLRRRTKFDDVVVGDVLNRSAL--VSALDKVDAVIHLAGKKAVGESVENPLKYYQNNVGGILNLLAAMSIAGVRRIVFSSTAAVYSPSEKSAIEERDPTVPLSPYGATKLLSEQIISKVSAAEGFSAISLRYFNVVG-------------------------------------------------------------------------------------------------------------------------------------------------------------- 142514807 ----NWLVTGAAGFIGSHLVQFLLDNNQNVLASDNFSTGLKKNIAFFKFIESDIRDYDKCLQITD--GIDFVLHQAAIGSVPRSIKDPLTSHDSNINGFLNIIHSSKENNVRNFVYASSSSVYGDSEKLPKKENHRGKLLSPYALTKSVNESYAMVFSEVYDFNSIGLRYFNVFGLRQDPNGQYAAVIPKWIDAIMKGDDL------------FINGDGSTTRDFCYIANAVQANIIAAIPKKQEALNRVYNIAANYQITLIELYKII----------------------------------------------------------------- 140994538 --NQKVLITGSAGFIGFHVSQKLLQQGWQVIGIDSMSDYYDVSLKQAREQILIIDDPGVLLEIFQKKQPDIVVHLAAQAGVRYSLENPRSYAESNILGTFELLEAVKVHRPLHLLMASTSSVYGANINMPFKEEDKADMLSFYAATKKATENMAHSYAYLFDIPTTVFRFFTVYGP--------WGRPDMALFKFTKAMLKNEAIDIYNYG--------NQSRDFTFIDDIVN---------------------------------------------------------------------------------------------------- 136449683 ---KSALVSGAAGFVGSHLVDRLLEEGFAVVGVDNFITGKEENLNSAKNSKFSFLDLDVVSEIPEGMKFDYIFHAASPASPPRYFEYPIETMMVNSIGTKNLLDLAIRNKA-RVIFFSTSEVYGDPLEHPQRESYWIGPRSIYDEAKRFGEALVAQYVREKKVDAGIIRIFNTYGPRLD--------PHDGRVVSTFIRQAINGEALTINGD------GNQTRSFCYISDLIDGILKMAE----SNEFGPINLGNPNEMTLNQLIKKL----------------------------------------------------------------- 143726972 ---KTALVLGAGGFIGSHMVKRLKKEGYWVRGVD-LKYPEFSNTEADEFIQGDLRDKSFVERVIQYYQFAADMGGAGFIFTGD---NDAEIMQNSASINLNLLDAQQWSECNRPCLDWTTKIFYSSSACMYPEYNQLDPNNPDCWEKLFSERLYLTYSRNYGIPVRVARYHNIFGPEGTWDGGREKAPAAICRKVAQLPPEG--------GSIEVWGDGLQTRSFLFIDECIEATWRLMQSDFM----GPVNIGSEEMVTINQLVDTAAKVSGKTVGKNHIDGPLG-VRGRNSNNDLIREKLGWDYTQTLEEGIRKTYNW------------- 144099010 MNKRSILITGGAGFIGSHLVRLMVNRNTKFVNLDALTYAGDTAKLKDIAFQGDITDTALVRKLFTQYDFDGLIHLAAESHVDQSIKNPFNFAQTNVIGTLVLLETALRAWGKLFYHVSTDEVYGLGTSGKFTETTAYDPRSPYSASKAASDHFVRAYFYTYGLPVVLSNCSN----------------------------------------------------------------------------------------------------------------------------------------------------------------- 135572640 -KNKTALIFGVTGQDGSYLSKLLLNKGYKVHGVKRLNTERIDDIYQDFHHYGDLTDGQSINNLISKIKPDEIYNLGAQSHVAVSFELPEYTMMTNALGALKILDSIKNINPLIRFYQAGTSEMFGASPPPQSETTSFYPRSPYAVSKLTAHWMAVNYRESYNLYACNGILFNHESP------VRGETFVTQKIIQGLLNIKNNKQKKLYLGNIYAKRDWGHAEDYVKAMWLMLQQKKPKDYVIATGEQYTVKDFINLSAKKLEMSIIWRACIDNKTIIEINPKRPSEVDSLMGDPLKAKKELKWKPTHNIHSLIDDMIN-------------- 257456208 ---KTILVTGALGQIGSELVMHLRKTGSNVIASDVVKKDMPEVLESGPFEQLNVLEPQKVADVCKKYKVDTVIHLAALLS-AVAERDPQMAFNINIHGLYNMLEIARENKYRFFVPSSIAAFGPGTPKDKTPQDTIQRPTSMYGVTKVSGELLCDYYHKRFGLDTR--------GVRFPGLISYTTLPGGGTTDYAVDIYYEALKNKK----YDCFIKEGTYMDMMYMPDALKAVQQLLEADESKLIHRNAFNIASMSFTPEIIAAEIKKHIEFQMTYKVDPMRIADSWPNSIDDTCARNEWNWKPEYTLEDMLEK----------------- 143919817 -KNKKVLVTGATGFKGAWLSLWLNMLGAKVFAIKNKNLFHSLNLNNKIKINIDVRNKKKLSNYIDKIKPELIFHLAAQPLILESYKEPFKTYEINTFGTLNILEISRNSKFVRSLICVTSDKCYESNFSTFKESDRLGGVDPYSGSKAAAEIIIKTYIESFQKKQNRLG---IASARAGNVMGGGDESPNRLIPDIVNSLNNKKK--------IILRNPDFNRPWQHVLDPLYGYLILAAKLYRDPKKYSGPWNFGTEKNVLKIVKYAIKNWGHGELLINKEKQLYEQTNLQLNIDKSKQILKWKPKYKIQDSVKLTIEWYKQ---------- 135884002 MKKNLIVVTGGAGFIGSCLIKYLLKLKEKIISIDNYSTGNKKNHVKDKYIKGDNKDIDLLLKKFRK-KIKVIFHFGEFSRIFQSFKNYKKCFEYNIHHSSKVIEFAKDNKIKLIYSATSSSLGNSGKD---------ENLSPYAWSKSKNIELIRNYNKWFGLKYEFVYFYNVYGPGQ------------ILNSPMSAVIGIFEEQFKKKKPLTVVSPGKQRRDFTHINDIVRGCYLAW----KKGNQNHYMLGTQKTYSIIEIAKMF------KCKIKYLHARPGERFGSTMLNNNAKKILGYKAKIDIKSYIEDFIN-------------- 141959815 ----RILVTGAAGFIGFHLCLRLLREGFIVLGFDNLNSYYDTNLKQARLKELNLLDFELLEKLFDEFKPECVINLAAQAGVRYSLENPKAYIQSNVVGFQNLIELCKKNEIKNFIYASSSSVYGGNLKMPFKETDTVHPVSIYAATKRSNELIAHTYSNLYNLPSTGLRLF------------------------------------------------------------------------------------------------------------------------------------------------------------------ 143724599 MKSKKILITGGTGFIGYNLAKKCLKLNWSVTSLSSKKPKKLRKLKKVNYLICDISDRKKLKKKIKT-NYDYVVNLAGYVDHS----HKLKTMKSHYNGCKNISSLFLKTKIKKFIQIGSCVEYGKISSPQKENDHNKHTYSVYGSSKLLSTKFLLKLKKKFDFPVSILRLYLVYGPNQD---------ENRVVPITIKNAMRDNNFDCSSGL--------QFRDFLYIDDLIEAILKILKNKKSTGE--IFNIGSGKPIKVKSLILKICKIIGHKPKFGIIKFRKDEIKMLYPSIKKAKRILGWKPLVNINLGIKKTIRYYKNN--------- 114321956 -----IIVTGGAGFIGSNLVHELNRRGRDVIVVDNLTRG-EKALNLADCVIADYYDKDDFITLIEADEVEAVFHLGACSATTE--WDGRYMMRNNFEY---SRALFHWCQDRRIPFIYASSAAVYGGNSVFTEHEHERPLNVYGYSKLAFDQYLRRYLDDLSAQVVGLRYFNVYGPR------EQHKGGMASVVHHFSRQLRESGQVRLFEGSDGYADGEQRRDFVDVSDCVRLKLWLLDHPEVSG---IYNCGTGRARTFNAMAHAVIDWFGHGE-IEYIPFPEHLKGRYQADLSQLRADLAFN---DIETGVRNYLD-------------- 260888492 -RGKRVLLTGHTGFKGIWISKLLLGAGAHLTGF-SLASPTVDGARLMRSIEGDVRDLASLRAAFREARPEIVVHLAAQPLVRESYRTPVETYAVNVMGTAHVLECVRESDTVRFLNVTTDKVYENKEWEWYREDERLMGFDPYSNSKSCAELVTASYRQSF----FADGGVRISTARAGNVIGGGDFSPERIVPDCIRAASE--------GRAVVLRNPASTRPYQHVLEPLSAYLRIAHAQASDAGSYNVGPADSDCVTTGDLAELFCRAWGEGWRAEEECAAPHEAGFLKLDSSRIKARLGWQPRWHIEEAIAHTVDWAKSW--------- 134820531 ---------------------------------------------------LDLLNQKSVNDFFKKNNIDQVFLAAAVGGIHANNEYPAQFIYENLMIQSNIIHAAHSLDVQQLLFLGSSCIYPKFAEQPMKEDSLLSTNEPYAIAKISGIKLCESYNRQYGRDYRSVMPTNLYGPNDNFHTENSHVIPAMIGRFHTAVQNNK-------NEVVIWGSGNPMREFLHVDDMAAIHVMNLDREIYSSHTEHINVGTGIDITIKELAEIISEVTEFKGELVFDSSKPDGTPRKLMDVSKLK-DLGWQHSISLNDGLAQTYAWYKNN--------- 142153331 ------LITGITGQDGAYLTELLLEKGYEVHGIDNLYQDPHETDVRLTLHYGDLTDSTNLLRIVQEVQPDEIYNLGAMSHVQVSFDSPEYTADTDALGATRLLEAIRIQEKTKFYQASTSELYGLVQEVPQKETTPFYPRSPYAVAKLYAYWITVNYREAYNLYACNGILFNHESP-----LRGETFVTRKITRAVAKIALGLQDKLYL-GNMDAKRDWGHAKDYVEGMWLMLQQDHAEDYVLATGETTSVRDFTGEGVDEMGIVSEIKDLSVGDRVVGVDPRRPTEVDLLIGDPTKAKTNLGWTHKYDLQALCSEMVA-------------- 134480558 -SRQRILVTGGAGFLGSHLCDRLIEQGHEVLCVDNLFTGNIEHLLGHPHFEFVRHDYVEVDQIYNLACPASPIHYQH---------DPVQTTKTSVHGAINMLGLAKRLGAKIFQ-ASTSEVYGDPVVHPQPETYWIGMRSCYDEGKRCAETLFFDYNRQHGLEIKVARIFNTYGPRM--------HQNDGRVVSNFIMQALRGESITVFGDGKQTRSFCFVDDLIGWDRCTDGHPQGVHRPNESGQS------------------------------------------------------------------------------------- 135446903 --------------------------------------------------------------LFSIYEPEYVIIAAAVGGIVANRDNSADFIRDNLLIQTNLIETAYRSGVKKLLFLGSSCIYPKMCPQPIKEEGELEPTNDAYAAKIAGLKMCQAYKKQYGFNAISLMPTNLYGPNDNFDLETSHVLPAMIAKYHEAQEGGYYIDMPFYARVTLWGDGSPMREFLHVDDLAEACYVAMQEYD---GDIALNVGTGEDVTIKELSETISSVVRFEGETVWDTDKPNGTPRKLLNIDRIK-ELGWAPKISLRQGIASTYAWYKE---------- 289665403 --SKNALITGITGQDGAYLSRLLLSKGYKVHGIDTLWRLRELDIADDVVLDGDLTDLSSLIRAMHASKADEVYNLGAQSFVGSSWQQPILTAQVDGVGALNVLEAVRIVNDKHFYQASTSEMFGLIQAEMQSESTPFYPRSPYGVAKLMAHWATVNYRESFGMHASSGILFNHESP-----LRGIEFVTRKVTDAVARIKLGKQRELRL-------GNIDSKRDWGFAGDYVEAMWLMTQ----QAEGGDYVVATGLTTTVRDMCKIAFGHVGLNMDIDEKFFRPAEVDVLLGNPGKAMEKLGWKPKTSLEQLITMMVD-------------- 288573340 ----NVFLLGANGFIGSHLIDRILEKTDWTVTAFDLRDDNLRDNPRLSIKLGDLYEEDRWIE-DEIARSDVVIPLAGIAKPAYYITNPLMTFELDFEQNLKIVRMCAEHGI-RIIFPSTSEVYGMSTGDWLMEDESLNSRWIYSCSKQMMDRVIAAYGQEKGLPYTLFRPFNWIGPRLDTFRDAENRKARSITQMIYDVSVGRPITLVDGGR--------QRRSFTYVTDGVDALIAIIADVKKSADGEIFNIGNPDSNHMKDFPKFAEAASKAKDSTEYYGRGYEDVQDRKPSISKAEELLDWHPQVDFHEAVRRTVAFYADRP-------- 141898245 ---QRVVVTGGAGFIGSNMVDRLIAEGKEVIILDNFSTGKRECNTKATTYEVDLTDY--LEPFLEGV--DVVFHFAALARVQPSIEDPMTFNKHNVDSTLRLLMACHKQGVKRVVYSASSSAYGNTDVLPTPETTTTNPLSPYGLQKLVGEQYCKMFSEVYGLDTVSLRYFNVYGERM----------------------------------------------------------------------------------------------------------------------------------------------------------- 134424040 ------------------------------------------------------------------------------------------FIKTNVIGTHLLLECARKYDITKFVHVSTDEVYGLGSEGYFTEETPIAANSPYSASKASSDLIARAYYKTYGYPVTITRCSNNYGP--------FQFPEKLIPFMIYRA--------KEDKTLPVYGDGMNVRDWLYVEDHCSALDIVLQR---GKPGEVYNIGGNNEWHNIDIVKLIKELKKPETLIEFVEDRLGHDRRYAIDSGKIKEKLGWEPLVPFKSGVNQTIKWYCNNLKWIEQ--- 142743045 ------------------------------------------------------------------------------------MKDPINSNKSNIDGFLNILVASKDAKVDRFVYASSSSVYGDHPDLPKVEENIGNPLSPYAVTKIVNEIYANVFSNTYGLQTIGLRYFNVFGKRQDPN-----GAYAAVIPKWIAAFLKND-------EVIINGDGKTSRDFCYVDNAVQMNLLAATSSNHQALNQVFNVAMNNRTSLNELFEMIKKKLKNKKTPVYKDFRPGDVRHSQADIKKAKALMGYKPEYTISDGMDEALDWYLSN--------- 141182226 -----------------------------------------------------IKNYNLLKKIFHKDKIDIIYNFAAQAGVQYSIKNPKKYMDSNCMGFFNILELARKSGVKTIFYASSSSVYGDSKKFPVKENFDLKPKNFYGFSKKANEEMAEIYSRYYGIKTIGLRFFTVFGP--------WGRPDLVINKLIDSFFKNKIFYLNNFGKHV--------RDFTYIDDVIKIILKLSINNKNVKDCEIFNICGSQPVSLLYLIKLFKKKVGKPKIIK-RAFQKGDILKSYGSNRKLNKVLG-KLKFTFDDGFVDTLEWYKKY--------- 296272478 ---KTILITGAAGFIGSNLCFYFQNNYAKIIALDSFSFGHYKNLLGGTVISGDINDKELLNQIDEDYDFDFIFHEAAISDTTASEQDLMVKTNVNAYEDLLKMAINHNAN---MIYASSAATYGDAASPQTVGNE--NPGNVYGFSKLMMDNITYDYLKKDDISIVGLRYFNVYGPR------EYYKNKTASTVIQFGHQILAGNTPKLF-----EGSDKILRDFIYIEDVIQANI----KAATPKKSGIYNVGTGKARSFQDIADILQKELGTNLGTNYIPNPYIGQYHTEANIEDTKTYLEYAPKYEMEDGIKDYI--------------- 15895449 ---KKAIITGITGQDGSYLTEFLLKKGYEVHGISSFNTKRIDYLFEDPKHHGDLTDSSNINRLLEKVQPTEIYNLAAQSHVQVSFEVPEYTVETDAVGTLRFLDAIKELGICKFYQASTSEFGGMPGTAPQSEKTPFYPRSPYAAAKLYAYWITVNYREAYGIFACNGVLFNHESPRRGETFV------TRKITRAIANIIEGNQDKVSLGNLNAKRDWGYAGDYVEAMWLMLQKDTPKDYVIATGETHTVREFVEKGIKIKWIGQGIEELKTGKTLVDVNPRRPTEVELLLGDPSMAERELGWRRKVNFEELV------------------- 298490676 --TKTALITGITGQDGYYLSHLLLNQNYRVVGLVNLSK-LGELADKVEIYTVDLRDSAGLFTAVEQLQPQEIYNLAAPSFVPDSWHDPLGTLELITGTATRLLDAIRQVGSTRFYQASSSEMFGNVTVSPQDEETPFRPKNPYAAAKLHAHWTMVHHRQRYGLFAC------------SGILYNHESPLRPPQFVTRKVSLAVASIKLGLAQTLEIGNLDAQRDWGFAGDYVNAMWQMLQVDQ----PEEYVIGTGKLHSVKELTSTAFDAVGLDWIVNTNLLRADEHFQLVANPDKAKKNLGWEPQVSFEQLLDNMVQ-------------- 290560051 -----IIVTGGAGFIGSNIVKALNDKGITDIVVDNLKDG----TKFVNLVDLNIADYMDKEDFLEFGDVEAIFHEGACSSTTE--WDGKYMMDNNYQYSKELLHYCLEREIP-FLYASSAATYGGRTSDFIESREYEKPLNVFGYSKFLFDEYVRQILPEANSQIVGFRYFNVYGPR------EGHKGSMASVAFHLNTQLNNGESPKLF-----EGSENFKRDFVYVGDVADVNLWFLE----NGVSGIFNLGTGRAESFQAVADATL-AYHKKGQIEYIPFPDRYQAFTQADLTNLRAA-GYKPFKTVAEGVTEYMAW------------- 138733237 -----------------------------------------------------------------------------MAGVRPSIEDPRLYYDVNINGTYNILDVCAKIKPEKVILASSSSVYGNNEKVPFSEIDNVDPISPYAATKKMNEVMAYNFYHLHRVPICCCRFFTVYGP--------FQRPEMAIHKFTSMIDEGVSIPVYNFGNCE--RDYTYIDDIIHGLDQIIASSFTYD---------VVNLGESATISTNDLIALIERELGKSAKKEMMPAQIGDVDKTYADISHADKTYGYKPSTKISDGIKKFIQWYK----------- 113461749 -----IIVTGGAGLIGSNIIAALNDMGRRDIVVDNLTDG----TKFVNLVDLDIADYCDKEDFIAFGDIDAIFHQGACSATTE--WNGKYLMQNNYEYSKELLHYCLLREIP-FFYASSAATYGDKTD-FIEERQFEGPLNVYGYSKFLFDEYVRQILPQATSPVCGFKYFNVYGPR------EQHKGSMASVAFHLNNQMLKGENPKLFA-----GSEHFLRDFVYVGDVAKVNLWAWQH----GISGIYNCGTGRAESFEQVARAVLNYHGKGEIETIEHLKSRYQEYTQANLTKLRAA-GYQAEFSVAEGVAEYMQW------------- 136123086 MDDRRILITGGAGYLGSVITQALFSRGMVKVVFDNLSSANFAHYKNFEFVYGDVRDKKLLKRYVD--ESDVIIPLAAIVGFPACEKDKDLATSVNYEHVRYICELIKDTD-KRIIYPNTNSGYGIGEDGTCTEDSPLNPISHYGITKVNAEKEVL------GVGGISLRLATVFGSSM---------------RMRMDLLVNEFVYKALTDKYITIFEKDFVRNYIHIRDVAHTFYMFMLERYNEYSGETFNVGLSDANLSKEQLVELIKTYISDFAITYSDYYKDDKRDYIVSNEKLEK-TGWRPIYSLDDGIEELIK-------------- 226492850 ----RIVVTGGAGFVGSHLVDKLLARGDSVIVVDNFFTGRKDNVAHHGNPRFELIRHDVVEPIL--LEVDQIYHLACPASPVHYKFNPIKTIKTNVMGTLNMLGLAKRVGA-RFLLTSTSEVYGDPLEHPQKESYWIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRM--------CLDDGRVVSNFVAQALRKQPMTVYGDGKQTR-------------------------------------------------------------------------------------------------------------------- 170782820 -------------------VQAALEEGRRVRVLDSLRAGAPEIDPRVELVHGDVTDPDAVARALDGV--DVVCHQAAKVGLGVDFLDAPDYVATNDGGTAVLLAAMTRAGIDRLVLASSMVVYGEGAPTLIGEDVPLDPRNVYATTKLAQENLASSWTRATGGRAAALRYHNVYGPGM------PQNTPYAGVASLFRSALARGEAPRVF------EDGRQRRDFVHVRDVAGANLAALVWTAERGSFRAFNVGSGTVHTIGEMAEALAREAGGSAPVTTGEYRLGDVRHITASSDRLRAELGWEPRMTFEEGMREF---------------- 218130782 ---KKALISGITGQDGSFLAEFLLQKGYEVHGIMRFNTGRIEHLYFDEWVRGDMTDSSSLIRIIQQVQPDEIYNLAAQSHVKVSFDVPEYTAETDAVGTLRMLEAVRIEKKTKIYQASTSELFGKVQEVPQKETTPFYPRSPYGVAKQYGFWITKNYRESYGMFAVNGILFNHESERRGETFVTRKITLAAARIAQGFQDKLY------LGNLDARRDWGYARDYVECMWLILQHDTPEDFVIATGEMHTVREFEEVGIPLRWEGAGINETGKVLVEVDPKYFRPSEVEQLLGDPTKAKTLLGWNPQTSFEELVR------------------ 137081396 ----HILVTGGAGYIGSHTCLNLIDQGHEVTIIDDLSTGNFELIKKAKFVECNINEKKIISNLIKKNKFDAVIHFAAFIQVEESVKNPNKYFENNTENSIKFLETCYENDLANIIFSSTAAVYGNSGKTSILETDPIQPLNPYAESKIKIENYLF--NNNNLFNFIVLRYFNVAGADP----------------------------------------------------------------------------------------------------------------------------------------------------------- 140078355 ----KYIITGGAGFIGSHLVEALVKKKKKIVVLDNLSTGRFDNIKKFKKNITFICDISKKGKWMDQIDGCYIFHLAALADIVPSIQKPEKYFDSNVKGTLNILEACRHAKIMKFLYSASSSCYGVPKKYPTLEDEKISPMYPYALTKKLGEDLIIHWSKVYKIPFISLRLFNVYGTR------------------------------------------------------------------------------------------------------------------------------------------------------------ 138834473 ----KILITGAAGQIGSGLSKRLVDK-HELTLVDNLRNGYEENLKDDPFYNIDVATDELFSHCGTKFDSDVIIHLAAITSLPDCESNPLETLRINVGGTANVLEFARKLNVPHVVFASTSAVYENNDVETFTEDLEVSPRLYYSLSKKMCEDLIQSYRENYGMTATILRFFNVFGPDGD------QTRPNPPLLNFVARELNKGQSPILSGD------GEQVRDFIWVNDIVSMLELCLEKQPND----VFNVSSGVAVSVNQLSTWVAEALGKEH--------------------------------------------------------- 170698760 ----HLVVTGANGFVGRALCRRALQAGHTVTALVRRPGGCVDGVREWVHGAADF-DHLD-EGWPADLAADCVIHLAARVHRDESPDPDAAFDATNVVGTLRLAQAARNHGVRRIVFASSIKAIGEGDDGPLSEAVEPDPQDAYGRSKLHAERQLAQFGASAGLDVVVVRPPLVYGPAVRANFLRMMDAVARGIPLPFGAVSARR--SVVYVENLADALLRCAIDPRAAGEC--FHVADDDAPSVTGLLRLVGDALGKPARLVAVPPVLLRVLGKLTGRSAAIDRLTG--SLQLDTGRIGRVLGWHPPYTTRQGLAATAAWYR----------- 139812138 ----NIIVTGGLGFIGSNLIELLLEKKFNVLNIDKYNTKNFNKNPYYKFVKCDLNNSSALRKIIFNFNPECIFNLAAETHVDRSIDSPKNFIESNILGVFNLLEVFREFTKKKLIHISTDEVYGDILKGRTDENHKYRPSSPYAASKASSDHLVYSYIKTYKIPAIITNCSNNYGPR--------QHPEKLIPKLIYNILKNRHLP--------IYGNGRNSREWIYVTDHCE---------------------------------------------------------------------------------------------------- 134987167 --NMNFVVTGGNGYIGLHICYKLIFMSN-VIIIDNLSNSNIKTIKKLQFYNSSLLNRDELSNIFHLHEPDAVIHLASFKSVKESIENPIEYYNNNITGLLNLVYVMEKFDCYNLIFSSSATVYGDNSPPFLETDTIGNNINPYGETKVMCEKILQDLCKSNYLWVISLRYFNPIGAEPDGNFGETINEYSSNNNNNICDYGECYNKMQIFGKKYNTSNGTCERDFIHVEDLADAHIKAIE--------------------------------------------------------------------------------------------- 196228056 ----KILVCGAGGFIGGHLIADLRRQGHT-----RLRAVDKKPLAEWYQRFDDVENHQNLEHLGDCEKCDVIYNLAADMGGMGFIELNKALCMLSVLINTHLLLAAKKFGASRFFFSSSACVYNDPNVTALKEEDAYPPEDGYGWEKLFSERMCRHFREDFGIQTRVARYHNVYGPHGTYDGGREKAPAAVCRKVINAKLSGKH-------EIEIWGSGEQTRSFMYIDDCL----YGTQRLLNSDFIEPINIGSNELVSINQLVDIVEAIAGVKLKRNYNLSAPKGVNGRNSDNTLIEKVFGWQPGTKLRDGMEKTYRW------------- 224539291 -KNAKIYVAGHRGLVGSAIWKNLQDKGYT-------------NLIGKTHKELDLLDAVSVRKFFDEEQPEYVFLAAAFVGIMANSIYRADFIYKNLQIQQNVIGESFRHNVKKLLFLGSTCIYPRDAEQPMKEEPLEYTNEPYAIAKIAGLKMCESFNLQYGTNYIAVMPTNLYGPNDNFDLERSHVLPAMIRKIHLAHCLKQGKYGISNSEVKLWGTGTPLREFLWSEEMADASVFVMEHTYKQGDNCHINIGTGKEISIRELAELIVSTVGYQGQLTFDSTKPDGTMRKLTDPSKLHA-LGWHHKVEIEEGVQRMYNWYL----------- 139862473 ----KYLITGCSGFIGYHITQHLLNENNLVIGVDNLNRYYDYKLKTDRFYKFDLSNKNKLKKIFKDFKFDYVIHLAAQAGVRYSMKNPYTYINSNISATTNLFECCKEYKPKKILLASSSSVYGTQKIFKFKESMKIDPISFYAASKISMENIAYYYSDLYKMPVRVLRFFTVYGP--------WGRPDMAYYKFTKSIINNKK--------IDVYNNGNHFRDFTYITDIVKF--------------------------------------------------------------------------------------------------- 224012849 -TKSTIMVTGGSGLVGQAIKTYTLA-----------NPLPNETWIFLSSKDGDLRDRKETDAIFDRVKPTHVIHLAAVGGLFANMKQKVEFYRENTLINDNIMENCRIHNVTKLVSMLSTCIFPDKTTYPIDETMHDGPPHPYAYAKRLIDTMNRAYAEEYGCNFTSIIPTNIYGPNDNFSIENGHVIPGLIHKCYKAKKDGT--------DFTIWGSGTPLRQFIYSGDLAELTVWVM-RDYHSPDPITLSVDESAEVAIKDVAYAVAKAMKFEGKVVFDTSKADGQFKKTACNKKLR---GLRPDYSMEDGIQKSVDWFLENYE------- 298207168 MSQKIALITGVTGQDGAYLSEFLLKKGYIVHGIKRFNTDRIDHLYQDPHVEGDLTDSTNLIRIIQEVKPDEIYNLAAMSHVQVSFEMPEYTANTDGLGALRLLEAIRMENNVKLYQASTSELYGKVQEVPQTENTPFYPRSPYAVAKLYAYWATVNYREAYNMFACNGILFNHESP-----IRGETFVTRKITRAASKIALGLQDKMYL-GNLDAKRDWGHAKDYVKMMWMILQAEEPEDWVIATGKTTSVRDFEGKGIHEKGIVTAVSKLGQEVIGIDEKYFRPTEVELLIGDASKAFKKLGWKPDHKLEDLV------------------- 302351613 FEKKNVLVTGGAGFIGSHLCERLLKE-AKVICVDDFSNSDPEFINYDISKPLDIEQFEELNKFKIKFQIQEIYHLACPTSPKDFEKLKMNTLAANSLSMFNTLDLAVRYKA-KYVYASSSVVYGDAANSNIFKETDLGIVNCYDEGKKFAETCVETYHQVYGVDAKIARVFTTYGPRMR-LFQGLLIPDFIVSAI-------EGEPLVVYGD------EEFSTSLCYVDDVV---TAFTKLMSSDPEVKVANIGDDKIVKIADVARKIIEKTNSSSKISFEPSILFLSKKGGANLTYVKDVLGWMPLVRLEDGLDKTIEYVVANKEAL----- 134902981 -------------------------------------------------------------------------HLAAQPGVRVSIQNPHNTLSQNLTAFSNIIELARILKIKKFLYASSSSVYGDTKMFPFKENDKKNPVSIYGATKLCNEIIAEAYSRNFNLEAIGLRFFTVYG----------EYGRPDMAYYSFLNNLYKNSKITIFNKGKM------HRDFTYIDDIIEGIINLINIKKKLGHCV-INLGKGRPDKLFDLTDNIQKHTKKKFKIQYTKNIPGDIKKTYADTNKAKKLINWKPKTSLKEGIIKFIDWYKIYY-------- 139392759 ----KILITGGSGFIGTNFVQQAIEKSYEIYNIDNLSLFSKDTNTAHKNYKIDIKNEYEISTVLEKFKPDKIINMAAESHVDNSINQPQIFFETNILGTYQLLKASLKFWTFCFHHVSTDEVFGSIRDKPFEENSNYKPNSPYSASKASSDHLVRSWNKTFGLPVSITHCGNNFGP--------YQSPEKLIPKTITKCLNMKKIP--------VYGNGENIRDWIYVKDHVNALLMIMEK-------------------------------------------------------------------------------------------- 142080105 MRGRRVLVTGHTGFKGSWLALMLHDAGARVLGY-ALAPEHDEGHFAVDHEVGDVRDADRLARVVAAARPEVVFHLAAQALVRRSYADPRGTWETNVLGTANVLEAVRTVDSVRSVVVVTSDKCYENVETPYREDDRLGGKDPYSASKAAAEMVAAAWSRSWFGPGSRVALATV---RAGNVVGGGDWCEDRLVPDAIRSLRR--------GEPLVLRHPGATRPWQHVLEPLAGYLLVADALESRRHEAAEAWNFGPDEHEAQTVMDVAR--ESVRIWGSGEVRVGEAASLHLNCDKARDRLGWGPRWDFARTMHETVSWYRR---------- 226330383 -RRKRVLILGVNGFIGNHLTERLLDDNYDIYGMDIGSSAIERFIPRFHFIEGDVSIHTEWIE-YHIKKCDVILPLVAIATPIEYTRNPLRVFELDFEENLKIVRYCVKYN-KRIIFPSTSEVYGMCDDKEFDEDNIVGPINKQRWSKQLLDRVIWAYGAKEGLKFTLFRPFNWMGPRLDNLNSARIGSSRAITQLILNLVEGSPIKLVDGGE--------QKRCFTDINDGIEALFRIIENRDNKCDGQIINIGNPNEASIRELAEMLLDCFEKHELRGHFPPFAGDVEHRKPSIKNAERLLDWKPSIETRQTVEETLDFFLR---------- 134902122 MKFMKILITGGCGYIGSVLTNKLLNNGYDVIVYDTQWFGNYLKKNKKLKIIKD--DIRNIRK-YNLKNIDTIIHLANIANDPGVELNQTLSWEVNVLATQMLIEKAIKDKVKHFIFSSSGSVYGIKKEKKVTEDLELVPISAYNKTKMVAEKVIESYSHL--IKTHIVRPATVCGVSPR--------MRLDVSVNMFTFQAIKNGQMTVFGG-------KQVRPNIHINDIVEVFYFFLKNPKLKSGS---YNAGFENIKILDIAQKVKDVTNAKIIIS----KSNDQRSYRQDSSKLIKN-GFVKKYSVLDAIHEVKEW------------- 135714869 ---------------------------------------------------GDIRDYDSVYNCIK--RCDSVFHLAALIGIPYSYISPLAYIKTNIEGTYNVLEASKIHSVNNIIITSTSETYGSAVKVPMNESHRLVAQSPYSASKIAADNLSLSYYLSFNLPIKIIRPFNCYGPRQSS---------RAIIPTIVSQLYNSKVNIKL-------GNLDAIRDLTYVDDLVDAFYKMYNSKKFIGEVTNV--GNGKGYSVKNLFDIISNKTGIEKKIIIDKKRVSEVNKLICDNTKMCKLSSWKPKFSIDKGLHETIKWISENQEKFKSDK- 142785894 -------------------------------CLDNLETSSFENVQEDNKENFIFLNQDVTESIDIESEIHEIYNLACPASPINYQKDPIKTNKTSVLGIINLLDFALRNN-SKILHASTSEIYGNPTVHPQEESYWIGPRACYDEGKRCAETFCFDYIRQFQARVKVVRIFNTYGP--------YMQANDGRVVSNFIVQAINNDPITIYGNG------NQTRSFCYVDDLIDAFTGMM--GSSDKFHGPVNIGNPIEFTMNELAEMVISLTNSLSKIVYKDLPQDDPEQRKPDISLAKKEFGWQPEIPLEDGLKKTIRYFEK---------- 138267426 ----KILITGCAGFIGFNFSKYLLEKKYSVVGIDNINDYYSISLKKNRLKEIDLINQNKLNKIFNKNKFTSVFHFAAQAGVRYSVEYPSKYIESNIRGFFNILEIIRKKNIKNFFYASSSSVYGNSNNFPLKEKQDLKPTNTYSLTKKFNEDLSEIFLKYYKIKPIGLRFFTVYG-------------------------------------------------------------------------------------------------------------------------------------------------------------- 136150040 ----------------------------------------------------------------------------------------------------------------KIVFSSSAAVYSPSEKDAIAESDPTEPLSPYGATKLLSEQLISTVGAAEGFSTISLRYFNLVG---SANIEFGDNSRDNLVPKVFTAFNEGKRP-EIYGDDYPTKDGTCVRDYIHVQDLAEAHLVALKQLEKGNVDEIYNVGSGTGYSVKEMMEQLAKSMGVDLNPVTVSRRAGDSPKLIASIKKIEKDLGWRPKATLKEMIDSAWA-------------- 135046057 -------------------------------------------------------------------EAEIVIHQAAMPGLTKSWEDLKLYMDNNVLALDRVIQHASTGALQNFVQISTSSVYGRTADG--SEDAPTNPFSPYGVSKLAAEKLGFAHLDNFGLPFTVLRYFSVYGPGQRP----------DMAYHRFLKAARDQTPITVYGDGEQRRTNTYVDDIVDATISA----------MERGTPGKIYNVSGAEYSINEALRMIGEISGAELDIRYEPARAGDQRETKGAIDKARRELGYDPKWSLRDGLTAEWKW-LSNLRDYG---- 139754852 ---------------------------------------------------------------------------------------------------------------------------------------------------------IKDLSYSSGLRSVILRYFNAAGAMPDSSFGELHEPETHLIPLVIQAALGVRENIKIFGNDYDTPDGTCIRDYIHVCDLADAHVKALDNPSDKSDSRCFVFGNGNGVSVKEVITTVVEITGKKINIVNAPRRTGDPAILIASSNKIKSILGWQPRYSITQIVKDAYRWHKK---------- 303229570 -----IIVTGGAGFIGSNIVKALNEHGNDILIVDDLTDGRKQNLDYLDYIDCDDFDAAIADGTFNVGPIEVIFHEGACADTMEY--NGKYMMKNNYEGSKNLFHYCQDRAIP-FIYASSASTYGNGTNGFVEKPEAEEALNPYAYSKLLFDRYVRKFEGEYTAQVVGLRYFNVFGPN------EAHKDKMASLVRQMFYKNQETGVINLFEGTDGYENGGQTRDFIYVKDVVNVNFYFWEHPEISG---TFNCGTGHAHSFNEFMQAVID-YNGKGRIEYIPFPEVLIGKYQADTTKLMADKGFTP-------LADAVKEYLDNNEGY----- 136242882 --------------------------------------------------------------------------------------------------------------VKHFIFSSSSSVYGDSETILNAESDIPNPKTPYGRSKLIFENVLKDYANAYEFNFASLRYFNAAGSYEGLGYTLN--PKQHLVPILVDAGLN-EEVFTINGDDYETPDGTCIRDYTHIFDVASAHVSALNYLMDGGDSNIFNIGGGSGSSIKQVIAEVEKQLGKEINVEVGPKRDGDAERTDANIVKAFEMLGWEPQNTLEEIVADEIAYQSK---------- 140992515 MKFKNILITGGAGYVGSVLTKDLLKKGYQVTVLDLMIYGSEVLTKHKNIIKGDIRDQNLLNKVIPGH--EVIIHLACISNDPSFELNPKLGRSINLESFLPLVEISKKKDVKRFIYASSSSVYGIKNEKDVHEDMELNPLTDYSKYKMECERILLKFKSKD---------FTPVIIRPATVCGYSPRQRLDVVVNIFANLGFNNREIKVFGG-------KQLRPNIHMKDMVNAYLILLEAENEKVSGEIFNAGYEN-ISVQDLAKLTKSVLGDDIKLHNIP--------------------------------------------------- 136375601 ------------------------------------------------------------------------------------------------------------------------------DDPAFCETTPYAPNSPYSASKASADHLVRAYNHTFGLPTLTTNCSNNYGP--------YQFPEKLIPLMILNACEGKNLP--------IYGDGSNIRDWLHVED----HCTGILSVLNKGKVGNYCIGGASEKTNLEVIDTLCEILDRKFPKTFVKDRPGHDQRYSINFSKIKSELGWAPSYSFKEGMEQTVDWYLNHQEWCDN--- 135774657 ---KSAIVFGAGGFIGGHLVKKLKKEGFWVRGVDLKFNEHAETLADDFAI-GDARDQNFVKSVVDR-CFEEVYQLAADMGGAGYVFNDANIMHNSALINLNVLDICYKRNIKNIFYSSSACMYPDPNNPNCEESSAYNPDSEYGWEKLFSERLYLAYNRNHGMKCRIARYHNIFGPEGTWDGGKEKAPAALCRKIA---------EAKSGETIDVWGDGLQTRSFLYIDECIEGTIKLLRSNVD----IPVNIGSEEMISINNLAMLIAEISKKKILINNIPGPEG-VRGRNSDNKLIEKLLNWSPKQPLRSGLVKTYDWILK---------- 118468084 ----RVLVTGHQGYLGTVMVPILQLAGHDVTGLD--TGFFADCVLGPPAIQVDLRDVT----VEQLAGFDAVIHLAALSNDPLGALAPQITYEINHLASVRLARLAKSAGVRRFLYASTCSVYGSAGDDLVNEDAPLRPLTPYAESKVRVEDDV-AEMADDSFTPVFLRNATAFGPRLRADIVLNNLVGHGF----------------LTGEVRVLSDGTPWRPLVHARDIAAAFLLALEAPAKKVHCAAYNVGESNNLTVAEIAQAVVDVVGSELMITGETG--ADPRSYRVDFSKVREELGFEAKWSIPDGAAELYKEY------------ 137238362 ---KNILVTGGAGFIASHVVIQLVQQQYKIVNFDKMDYSNLNEVKDAPNYKGNILSADLLRYVFESEQIDTIIHAAAQTHVDNSFGNSFTFTQNNVMGTHVLIETAKTHGIKRFIHVSTDEVYGSENDPRHIESDVLEPTNPYAATKAAAENIVKSYYRSFNLPVIITRGNNVFGPHQYP--------------------------------------------------------------------------------------------------------------------------------------------------------- 142367333 MKNEKVIITGGAGFIGSNLAEHLFKNGTKEIIVDDFSTGNIEHLKNTNIINGRIEDIEDLETRLMGYNFC--FHLAAGVGVKYIMDNVSKALNTNIEATHKIFSVCSKLNIPIL-ITSTSEVYGVSEDEIWTEETKLGPPTKLRWSKLIDEFMALAEFNDGNLNPIIVRLFNIIGPNQSS--------EFGMVVPKFVEAAIDNNDITIHGTGEQTRSFTWVGDVV---------NYFRELALLEPYGEIFNIGQPEEISIKNLAELIITKTNSSSKVEFHEQEYGDPMRRTPSIEKIVSLTGIKPSKKLDEMIEEIVKY------------- 83956011 ------------------------------------------------------------------------------------------------------MEAARRLEVDHLLMASTSSVYGANTEMPFTETEKADTLTIYAATKKANESMAHSYAHLWNLPTTMFRFFTVYGA--------WGRPDLALYKFVAAMIEGRA--------IDIYNHGDMYRDFTYVEDLVRGIRLLIDAVPERPQNGVVNIGNSDKVKLLDFIEAIEDVLGKKAIRNYMPMQMGDVPATWADASLLQSLTGYRPQTDFRDGIARFVEWYREYYN------- 300871909 -----IIVTGGAGFIGSNIVRGLNNLGISDIIVDNLKNASKHKNL-NRVVFGDYIDKEDFDSFVENNKVDAIFHQGACSDTME--TDGKYMMKNNYEYSQHILHACLENKV-RFFYASSASVYGNGENGFVEDEKNEYPLNVYAFSKYQFDRYVNILFRNKKINSQVVRYFNVYGP------QENHKGRMASVAFHLFNQIKAGEKMKIF-----EGSENFLRDFIHVDDVVSVNNFFFE---NENISGIFNCGTGKAESFVEIAKALKEMY-SSSEIEYIPFPDAYQKYTQADLTNLKKV-GYTKEFNVNTGVKKYAK-------------- 294102342 ----RVFITGIGGFIGCHLSEFLAKKGCEVWGFRPTNDMSVPASYAKGLFNIDVRQRSHLEDALQKVRPHVVYHLAAQSYPMVSYKEPEYTVESNVMGTLNLFEAMLHLRGTRVLLASSTAAYGDPSEAPVTESQPFRPAHVYGMSKAAQDLLGSTYFNAHGLDIIRLRIGNCVGLRRTGEVV------------SDFTRRRAQIDLGIIGPEFHVGNLHTKRAFLDVRDAVEGFWALQEK---GKGGEAYNISGADAVSMQQLLDVV--LSGCSKSVQVVRDRSVDELIYWNDLSKIERHTGWKPHRALRETVEDMIEWWK----------- 141027743 -----------------------------------------DNNKNYKFIKLDI-NSKKLTKIFKRYKPIGVFNLAAETHVDRSIDNPSNFIKSNIVGTFNLLESFRRFHNTKLIHISTDEVFGDVLTGRSNENFKYKPSSPYAASKASSDHLVSAYVRTFRIPAIITNCSNNYGP--------CQHPEKLIPKLIYNIINNRELP--------IYGNGKNSREWIYVMDHCEGL---LKVFLKGEIGEFYNIGSNKNLNNLQITKYLIDVIGKKVKIKFVKDRPGHDLRYALNSYKIKSKLKWYPKTKIFDGLNKTFLWYYNNQKYFKN--- 136632595 -----------------------------PAVMRDLTNSEYDLVPISGKSEWDLTNQKHVDYVYNSLEPDIVIHLAACGGIGINQKQPGKFLYDNLAMGMNLIEARKYGNLKKFIMVGTVCAYPKFAPVPFKEEDPEETNAPYGIAKKTLTEMLIAYYNQYGLESTNLIPVNMYGPSDNFNPKSSHVIPALILKIDRAITRGS-------NNIDIWGTGNASREFLYVEDCAEVIRKSIEKETT---PHPINVGTGSEIKIKDLVLMLTKIMGYTGGITYCYDKPDGQPRRCLDVSKAYKIFGFEASMPLYEGLKQTVSWYYRYEKSFAN--- 115523528 -----VLVTGGAGYAGSLLVPQLLNSGYKATVYDTCFFGSDFLPKDNPNVEGDIRDTAKLAQAFQ--GIDCVVSLACISNDASFELDENLSTSINLDAFEPMVLAAKKAGVKRFVYASSSSVYGVSEHPDVTEDHPLLPLTLYNKYKGMCEPLLQKHADDSFVGVIF---------RPATLCGYAPRQRLDLSVNILTNHAVNNNKITVFGG-------GQMRPNLHVQDYCDAVELLMTAPAAKIQKQIFNIGFQN-MSIADLAVLVKQVVEQEFPIGIVTTPSDDNRSYHINSDKVTRVLGFKPQKTIEDAVRDLVAFRQKLPDSMTDDR- 138748874 ---KKVLVTGGAGFIGSHLCERLVARGDDVLCLDNFFTGAKANVAHLLRERHDVTFYVEVDEIFNLACPASPVHYQ---------YDPVQTTKTSVHGAINMLGLAKRTDAKILQ-ASTSEVYGDPEIHPQPESNTIGPRACYDEGKRCAETLFFDYYRQHKLNIRVCRIFNTYGPRM--------HPEDGRVVSNFIMQALRGEPLTLYGDGMQTR-------------------------------------------------------------------------------------------------------------------- 136286592 MNMKKIIVTGGSGFIGSNLVRHLLNKKYFVINIDKFSYSANPYNVKDFFFKLDINKTSEILKILKKHNPIGIINLAAETHVDRSIDKAHHFIRSNIVGVYSLLESIRNKKKMKLLQVSTDEVYGDPKNKQANENHNYNPSSPYSASKAGADQFIKSYGRTYGIKILIAHPCNNYGPN--------QHPEKFIPKMIF--NILNNKPLTIYGDGKNVREWIYVKDNC----------------------------------------------------------------------------------------------------------- 135436914 ---KKILITGGSGFIGNHLCRRLLAEGHYVICLDNNFTGAIENIQ-------DLRHNANFEFIRHYLEVDEIYHLACPASPRSYQINGIKTIKTNIMGTIHALGIAKRTNA-RILLTSTSEVYGDPTETPQREEYWIGIRACYDEGKRVAETLMMEYHRNHGVDIRIARIFNTYGPRMN--------PDDGRVVSNFMNQCIQNKDITIYGTGKQT------RSFCYVDDLVDGL---IKLMATEGVKGPVNLGNPDERTILELAQTV----------------------------------------------------------------- 140544709 LSGKRVLVIGGAGFIGSHVVSELLKTDVRVLVFDNYARGKKSNISESLDDSGDIREVDVLNAAMRNV--DAVVHLAAMW-LLHCKDYPRTAFDVNIAGTFNVLEACVSNGIERLVYSSSASVYGDAVEVPMLETHPFNNRNFYGATKIAGEAMCRAFGDRFGLSYVGLRYMNVYGPHQD---------------------------------------------------------------------------------------------------------------------------------------------------------- 143216192 METKKALVCGAGGFIGSHMVKKLKSEGYWVRGADKFSMSQADEFLRGDLTGQTFCDMVCNIEFDEIYQFAADMGGAGYIFTGEHDMNNSATINLNILRNVKDYKPKIFFSSSACMYPEHNQLDPNTPDCREDTAYPANPDSEYGWEKLFSERLYLSYHRNYNVPVRIARYHNIFGPEGTWTGGREKAPAAICRKV--------AELPKGGGSIEVWGDGLQTRSFLFVDECVEATYRLVQSDF----TGPVNIGSEEMVSINELVDIAAKAAGKDVEKNHIDGPLG-VRGRNSNNDLIREKLDWDYSMTLEEGIKKTYDW------------- 135168596 ------------------MAELLLDKGYEVHGIKRFNTNRIDHLYQDPHEYGDLTDSTNLIRIIQQVQPDEIYNLGAQSHVAVSFEAPEYTANSDALGTLRILEAVRMTAKTRIYQASTSELYGLVQEVPQKESTPFYPRSPYGVAKLYAYWITVNYREAYGMYACNGILFNHESPRRGETFV------TRKITRGLARINEGLDDCLYMGNLDSLRDWGHARDYVEMQWRMLQQEQPEDFVIATGRQESVRRGWGAIHWEGEGLEEVGRRSTGEVVVRIDPRRPAEVETLLGDPTKAREKLGWTPTTTLEELVAEMVA-------------- 143460135 ---KNALITGITGQDGAYLAKLLIEKGYKVYGTVRRSTSEKFVNLKYKFYDFDLLELSNIQNVIKETKPHEIYHLAAQSFVPTSFQVPIVTTDINSLGTLRILDSININKKIKFYQASTSEMFGKVQQIPQTEKTPFYPRSPYGVSKVFSHWITVNYRESYNIHASSGILFNHESPLRGGEFV------TKKITSTLTRIKHGSKEILKVGNINAKRDWGYAGDYVYAMWLMLQQKNPDDYVIATGKTHSVREFINNWVGQGMNERAINKKNGKTIIIDKEFFRPAEVDLLIGNPGKAKRKLKWKPNTSLKKLVELMVDY------------- 139792088 -----------------------------------------------------------------------------------SIDGPAAFIETNVVGTYTVLEAVRSYWAFRFHHISTDEVYGDLDDALFTEDTAYAPSSPYSASKASSDHLVRAWHRTYGLPVIVTNCSNNYGP--------YHFPEKLIPLAILNALKGAPIP--------VYGRGDQIRDWLYVEDHARALVRVV---CEGSIGETYNIGGHNEKTNLEVVETLCDVLQARVVITFVTDRPGHDRRYAIDASKIEHSLGWVPEETFESGISKTVDWYLANRDW------ 138382066 --KQKILVTGGSGFIGSAITKHLVKDKYRVIVFDNNSRGKSRRLKEIKFIKGDIRNKKKLLSI--KGKIDTVIHLAYVNGTKFFYKKPYEILDIAVNGLLNVLDLCRKKRVKNFYLASSSEVYQNPFKIPTDEEDVHNPRYSYGGGKIISELYGIHFGKKFLKKFIIFRPHNVYGEDMGN-------EHVIPEFINRFRKLRNKKNFLIYGTGQEVRSFIHIEDFIVGFDKV---------FKKGKNQEIYNIGTSEKIRISKLAKLIAKILGKSIKFKKTKILKGSPSVRCPNIKKIKKTW------------------------------- 143858406 ----KVIITGGAGFIGSNLVKKLLENGTEKIIIDDLSTGKLKNLEDFSNNKIEIIDIDNLNTMFEKFDFC--FHLAAGVGVQYIMDNVSKALLTNIEGTHIVIEACKENNIP-LLITSTSEVYGVSQDEVWTEETKIGPPTSYAASKLIDEFLALSEFNDKKLNPIIVRLFNIIGPN--------QLSEFGMVVPKFIEAALKNETIVIHGTGDQTRSFTWVGDVVNYFYLLAKNELY---------GEIYNIGQTEEISIKDLASLIIEKCNSSSKIIFKDNKFEDPMRRTPSIEKIVNATNYEPSMTIQQMIEEIIKY------------- 134793260 ---KSIALVGGAGYLGSVLSKQLLAKGYKVIVLDNLLYGSYTNISNDNFRHID-GSMENVSDIIETVRADAVVHLGAIVGDPASAISPSKTLSINLHSTKLVADICKYYNINRMIFASTCSVYGQSDDEGITEEAPMNPVSLYARTKIESEKILL---------SMADRFFSPTILRFSTLFGLSDRMRFDLVVNILCAKAFYDKKITIFGGQ-------QFRPLLSTHDASRSIIATLESNLKKVSSEVFNVGNTKNFRIIEIGEIINKKLPDAVLEITENDE--DTRDYNVSFDKIRKVLGFNTELNLEQGVDEIIKKFQEHFKDYQDKK- 91202734 ---KKALITGITGQDGSYLAEFLLSMGYETHGLIRFNTGRIDHLYRDPHHYGDLSDSGQITNLIYNIQPDEVYHLAAQSHVRVSFDMPESTGDISGLGTTRLLEAVRRSGIKTKVYQASSSEMFGDALPPQNEETHFRPRSPYAAAKVYAYWMTVNYREGYNMFACNGILFNHESPRRGETFV------TRKITRAVANIVAGKQKKLYLGNLAARRDWGFAPEYVVCQWLILQQERPDDYAIGTGESHSVWKGKGAELTSALSSTSALKTGDVLVEIDPRYFRPTEVDFLCADITKAQKKLNWAPRVTFHELVQIMVD-------------- 143648667 ------LITGITGQDGSYLAEQLLEKGYIVHGIDHLISDYDNDKKLILHYS-DLLDPGSVSNLINKIQPDEVYNLAAQSHVAVSFENPIFTSQIGTLGSISLLEAIRNNDKIKFYQASSSEMYGGAIEESLNELSKFDPKSPYAVSKLFAHEITKVYRESYDIFAVNGLLFNHESSRRGETFVTRKITKAVGRIKFGIQNKL------TLGNLEASRDWGFAGDYTRAMWKMMQHKVPEDWVIATGETHTVKEFLEKNYVDLDWNDFVQS--------SEDYFRPNEVNYLLGDPGKAKKLLKWKPEKSFEELVEDMVD-------------- 116327930 -----VLVSGASGFIGKPLIEKFRNQGLSVLALDR--------------KMGDVSDPLTWSKLPET---KSVIHLAGQTYVPDSWKDSRSFINSNVMGTQNALDYALKYDAQ--FVFISAYLYGKPEKLPISETHRIAPNNPYALSKHLAEQVCEFYSKFKNMNIIVLRLFNVYGPGQRGDFLIPTILKQVKTKKEIRVL-----------------DLSPKRDYIYLEDILNSISSALFPIT---GFHTFNIGSGVSYSVEEVISIAQEIAHTSLPVSECKERKEEIFDVVANISKAKEVLGWEPIWSFRNGLAE----------------- 138674889 ------FITGGAGFIGSNFAHYISDIWKDVIILDKLTYAGNMDNLYYPVKGVDLAYESRLEELFKQYKPKIIYNFAAETHVDNSINNVAPFIDTNVIGTINLLNLSVKYDVEMFHHISTDEVYGALKEPAFTENSAYNPQNPYAASKAASDHFVMSYHNTYGLPVMITNCSNNYGPRQ----------------------------------------------------------------------------------------------------------------------------------------------------------- 136235747 MINMRILVTGGAGFIGGALIRKLLKANNTVINLDDLTSINKQLKEESCHDRVNLIDSELTKQAVENSKPDVIMHLAAESHVDRSIDSPKAFIESNIIATFNLLETARNYFRFRFHHISTDEVFGLGPNGSFSEVTPYDPRSPYSSSKASSDHLVRAWHHTYGLPIVLTNCSNNFGP--------WQFPEKLIPLVILKAISNEQIP--------IYGDGSNIRDWLFVED----HIDALIKVVNEGVGESYCIGGNNEATNLNIVESICKILDLKCKSNYPKR-------------------------------------------------- 135949383 MKSKKIVITGAEGFIATNLIFKLSNKGYKLFGIDSSIAKSSNKNFTKNIIRIEKIDISSSENLLNLIDGADIVHLAAKGNVIESISNPLENFNSNAYSTLVLLETMRKANVQNIVFASTGGALMGNTIPPVNEKSLPLPISPYGASKLCCEGYLSSYANSFNINSITLRFGNVYG---------NFSSHKKGVINKWIRNSIKNRPIEIFGDGKST------RDYIHVDDICDGIIASIARLLNNKSHERYHLGNNQEISLIELSNIIEEFSKKKLLRNFKGLREGEVIRNCADYSLA----------------------------------- 135856519 ---KKALVLGAGGFIGSHMVKRLRAEGYWVRGVD-LKSPEFTETEANEFVQGDLRDVDFVRRVLEYYQFAADMGGAGFVFTGENDMHNSVSINLNVLECQRKMNEEKGKNNTKIFYSGSACMYPDPDNPDCREESAYNPDSEYGWEKLFSERLYFAYHRNYGIPVRVTRYHNIFGPEGTWEGGREKAPAAICRKVALLPEQG--------GSIEVWGDGLQTRSFLFIDECIEASYRLMQSDF----IGPVNIGSEEMVTINQLVDIAAKVSGKEVEKEHVNGPLG-VRGRNSNNDVVRRELGWDYSQSLEEGIFKTYHW------------- 269926950 ----NILVTGGAGYVGSQLIRDLGEAGSRIIIFDNMQDERYQSLMNLPPYDFVYGDVQNLDDLVDAVQCDVVIHLAALTNAVVSFERIPETERTNFQGTKNVVEAKRSSTVRRVIYASTCSVYGE-TTGIVNEESECHPESPYGVYKLMGEQEVLA------LPSQTNGRISGTALRLATVFGLSPGLRVHTVVNKFAIYGALGMPITVHGT------GEQKRPFIHVKDASSAFIFALLNPATENG---VFNVVGENASVNQVLSYVRPRFPRLKVERKEGRHLNQI-SYEVDGSKFRA-LGWKPTISVEDGVEEFAK-------------- 237751934 LEGKKILITGGVGFIGSNLALYFQKHKAEVVVFDSFSNGHFKSLFKGEVIAGDITNKADLERL-ESYNFDYIFHQAAISDTT--VMDQEAVLRANVNAYKDLLDLCVRQGA-KMIYASSAGVYG-NSPAPNSIGSGEIPENVYGFSKLMMDNLTQKYLQQYNLSIVGLRYFNVYG------NKEIYKGKTASMILQLGLQALEQKRVRLF------KMGEQKRDFVYIEDVIQANIKAME----SKKSGVYNVGSGNARSFNDIIACLKMDLGEFEVEYFDNPYAFFQTHTEANITLTKEFLGYTPRFSLEVGVK------------------ 81298872 -RDRQVLITGHTGFKGSWLTLWLLMQGADVTALD-LANQRQAGWGYFQYRLGEMNDAEALRQWVEQAQPEVVFHLAAQPLVRRSYADPLGTWQTNVLGSLQLLEALKSLQHPCAMVMVTTDKVYENREWVYRETDCLGGHDPYSASKAAMELAVASWRSFCGDAAHQTPYLAIATARAGNVIGGGDWAVDRIVPDAVRSLSA--------GAAIAVRNSHSTRPWQHVLEPLLEHQQSAEKTVNPARAFNFGPAIESNRSVKELITTVLQHWPGQWVDQSDPTAPHEAGLLHLVSDQARQLLGWQPRWDFETTVSRTIHWYR----------- 136241509 ----KAIVTGGLGFIGSHLCNKLIKDGYHISIIDCQTYAHDKKNKNVAIYDVDIRNFTHLSSVINSVRPDVLFHLAAETHVDNSIDNPDDFITTNIIGTYNILKSCKIYYNFKIISISTDEVFGECKNEKFNEFSSYNPRSPYSASKASADHLAKSYFHTYGLPVILTHSSNNFGPNQNA----------EKLIPKVINSLMNKIRIPIYGN------GKNIRDWIYVEDHVD---------------------------------------------------------------------------------------------------- 254775706 ---KRALITGITGQDGSYLAELLLSKGYEVHGLVRFNTSRIDHLYVDPHHYADLTDGTRLVTLLSSIDPDEVYNLAAQSHVRVSFDEPVHTGDTTGMGSIRLLEAVRLSRVDCRFYQASSSEMFGASPPPQNESTPFYPRSPYGAAKVFSYWTTRNYREAYGLFAVNGILFNHESPRRG------------ETFVTRKITRAVARIRAGVQSEVYMGNLDAIRDWGYAPEYVEGMWRMLQA----PEPDDYVLATGRGYTVREFAQAAFDHVGLDWHVKFDDRRPTEVDSLVGDADKAAQSLGWKASV------------------------- 139953841 ---KTWLVTGVAGFIGSNILEKLLQLNQNVIGLDNLSTGYMHNLNEVKFVNGDISRIEDCKNVTKGV--DHVLHQAALGSVPRSIEDPIQTNQSNINGFLNILVASKDADVSSFTYAASSSTYGDHEALPKVENNIGNPLSPYAITKYVNELYAEIFSKCYDFHSIGLRYFNVFGKRQDPN-------------------------------------------------------------------------------------------------------------------------------------------------------- 137900366 -------------------------------------------------------------------------------------------FKNNLKSLESLIDVMNNNNLNNLIFSSSCTVYGSPDNLPVDENTFKKAESPYGETKQLCEKIV----QKSTLFSVCLRYFNPIGSHDSGLIGDRSDKPANLIPIICEVASGKREKLIINGDDYNTKDGTCVRDYIHVEDLAVAHINALEYCTKNKSKSIFNIGTGQGLSVAETVRFFEESNNVKVNVKYGPRRTGDVAEIYSDTKKSTKYLNWKAEKSISDALKSAWKWELN---------- 143830907 ---KNIFLIGGAGYVGSELTTLLLNKGYKVTVFDLFIYGNTLINHKNELIKGDIRDLKFLESKIKNF--ENVIHLACISNDPSFDLNPSLGKSINFDPFEGLIKICKDNGVKKFIYASSSSVYGIKSDPNVNESFSLQPLTDYSKYKVMCEEILFKHNDKNFICSSI---------RPATVCGYSKRQRLDLVVNILTNHAYNKGEISVLGG-------SQLRPNINIKDMCNAYLHLLNVDEKLINGEAFNVGFEN-HPVEYLAEMVKETLDKNVEIKKLPS--NDDRSYHISSEKISKVLNFSPTYSIKDAIVD----------------- 154493005 ---KKALITGITGQDGSFLAEFLLGKGYDVHGTIRRSSAHLEGHPNFHLHYADLGDSMSILQVVKKVKPTEIYNLAAQSHVQVSFDAPEFTADVDATGVLRILEAVRQCDTCRIYQASTSELYGKVEEVPQNEKTPFHPYSPYAVAKLYGYWIVKEYREAYNMFCCSGILFNHESERRGETFV------TRKITLAAARISQGKQDCLYLGNLDSLRDWGYAKDYVECMWLILQHDTPEDFVIATGVQHTVREFRYAGIELRWEGEGVQKTGKTVVAVSEDFYRPTDVVNLWGDPTKAKNELGWNPQTSFEELVRIMV--------------- 138373763 ----TVLVTGAAGFIGFHLANALLDRGQEVIGIDNLNNYYDISLKEARFIEADIADKDKMMSVANNFEIMFVVNLAAQAGVRYSLTNPYAYTHSNIQGQLVFLELCRKKYLKHGVYASSSSVYGTNSKLPFSEDKTDTPVSLYAATKKAGELMAHAYSHIYSVPLTGLRFFTVYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 138322303 -------ITGGTGFIGRSIAENLIKNGFKVVIFDNNFRGKLKSKNKIKFIKGDIRNRSLFFKALK--NINAVIHLAYINGTKNFYSNPNLVLDVAIKGLLNVLDGCVKYKIKELYLASSSEVYQTPLKTPTSENDVYNPRYSYGAGKILTEVMGINYGRKYFEKLIIFRPHNVYGPDMGN--------DHVIPQFIKRMRNLKSKYFKIQGSGREIRSFIYINDFVSAFDLLIKKGKHLN---------IYNIGTGDKTKIKDLAFMVANVFKKKIKIKNFPLKKGSTKIRIPNISKIKA-LGFKKKYTLKSGIEKT---------------- 163782493 ME--TVLVTGAGGYIGSVLIPKLLNKGYKVKAVDRYFFG-KDKLKKHENLQIIKEDTRKMEGIFK--DVDYVIDLVAISNDPSGELFNEATWQINYESRVRTANLSKKYEVKRYILPSSCSIYGFQEN-IVDETSKTNPLTTYAKANEKAEQSVLPANDNFVVTVIRQATVFGYSPRMRFDLAINGMTYGAWKT----------------GKLPLMRDGTQYRPMVHVQDTTDVMILLLSADINKVNGEIFNVGGNNGKLGEIVAKTVEEETGKKVEIEWYGDP--DRRSYKVSFDKIRKILGWEPKWDLETGLEKTLNWYKELERWYN---- 138846451 ---------------------------------------------------------------------------------------------------------MNKHRVHNLVFSSSCTVYGTPKLLPVNEEPFGKAESPYAETKQLCEKLI----EESKINSISLRYFNPVGSHSSSLIGDCSDKPNNLVPIICEVASGKRKSMQIFGNNYKTHDGTCVRDYIHVVDLAKAHTMALNHILNNTKIKTFNLGVGKGVSVLEVIDSFEKVNELKISHEIGPRRSGDVEQIYSDNNKINTELGWFPVMTFESALESAWNWEK----------- 135774848 LKGQNVLIVGGSGFIGSHLAEELIKKKVKRVIVDNLSVGKKKNLKSIFNKIVFIENFDLIERVIKKYKINSIFNLATIA-LPFSFKFPRKTFETNTLIILNLLELLRQKKFSTLCHFSTSEVYGSAKIIPMTENHSLNPTTTYASGKLAADKALESYNKMFGLDCFIVRPFNNYGPRQPILIEEIGIIPKTIKRIYQKK------------SPIIYGSGKQKRDFIFVKDTCKYI-------------------------------------------------------------------------------------------------- 139638058 -------------------------------------------IITASRSELDLTNQRDVYSFIRAKKPDMVIIAAAVGGINANNTYPGEFIYQNLMIQNNIIHSSYLSGIERLLFLGSSCIYPKMSSQPMTESDLLSTNEPYAIAKIAGIKMCESYNRQYNLDYRSVMPTNLYGP-WDNFHPENSHVVPALIRRFHEAKKEGQTEVVIWGTGKPFREFLHVDDMACASLFVLGLDKNLYESNTEPMTSHINVGSESEISISNLSKLIARIVEFDGNIVFDSNKKDGPPRKLMCSKKLNN-MGWKAKIDLEDGIRDTYEWYKKNY-------- 261335449 -----VLVTGGAGLVGRA-----------VEVVTKRNACADERWVFLSRHDADLRSMAATRCVFERHKPTHVLHLAAVGGLFKNMAAPVEMWIDNVSINNNVLECCRTYGVRKAVSCLSTCIFPERATYPIGEETLHDSNEQYAYAKRMIDVLNRAYNKEYGCRFTSVIPTNVYGPHDNYNLQDSHVIPGLIHKFYLAKRENK--------PMVVMGSGRPLRQFVYSEDLAELIVWVLRHY-EEVEPIILSVDECDELCIADVAKLIAQSIGFTGNIVFDPSKADGQYRKTADNAKLRRYL---PDYPVAEGIQRSVEWFIANY-------- 295097091 ----RVLILGVNGFIGNHLTERLLEDNYEIYGLDIGSDGRFLTNPRFHFVEGDISIHSEWIE-YHIKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIIRDCVKYD-KRIIFPSTSEVYGMCTDNNFDEDTVVGPINKQRWSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIEGG--------KQKRCFTDISDGIEALFRIIENKDGRCNGEIINIGNDNEASIRELAEMLLASFERHPLRSQFPPFAGDVEHRKPSIRNAKRCLNWTPTVKMEQTIDETLDFFLR---------- 141780211 ----KILVTGGSGFIGSNLVKYLLEKKYNVINVDKLNYSSTPDAYRYKFINADLLNTKKIFKILKKNKPKVIFNLAAETHVDRSIDSPSTFIKNNILGSLNLIENIKNCYSKKLIHISTDEVYGNVLKKASSEEKAYEPNSPYAASKASVDHILRSYGVTYNIPLIIVNCCNNYGP----------YQFPEKFIPTVIINLLKKKSVPIYGSGKNIREWIHVNDYC----------------------------------------------------------------------------------------------------------- 143262759 ----RVLVTGGTGFIGHHLVDHLLNTDWEFVCLDRLDTSYPDRIKRVSFVYHDLKSEINSFVANKIGKVDYILHLAAGSHVDRSIEDPMSFVMDNVVGTTNLLNFARTLDGLELFFSTDEVFGPAPEGVNYKEWDRYNSTNPYSASKAGAEEMCLAFANTYRLPLIQTHCMNVFGER--------QHPEKFIPMCVSKVLKGDKITIHSSPEGEP-----GKRHYIHARNTSAAVLFLMQGKWDQRE--KYNIVGEKEVDNLQLAQLIAEYVGKPLNYEFHSSRPGHDLRYSLDGEKMRSI-GWELPVNFEKSLESVVHWTLKNKSWL----- 258654311 ME--KVLVSGSAGFIGGYVVEELLRRGYSVVGVDNYSKYGPDDNPNYNLIKGDVQDTELMTELL--LDCEHFIAGAALIGGISYFHTYAYDLRIIASSCDAAITAHKSGKLSKVTYMSSSMVFESTTTWPSQEGDERKPLSSYGFQKLAVEYFARAAWDQYKLPYTILRPFNCVGIGESRALGDVEIDSGNVKAMSHVVPDLVQKVLKGQDPLHILGEGNQIRHYTYGGDLARGIVDAMAHPKALNDDFNLSTPTGHTVTLAQIIWTKIKGPEVFMNLVHDPAFEYDVQRRVPATDKARDILGFQAETTLEDMLDEVIPW------------- 136590174 LSNKNILITGVAGFIGFSLAKELIKKKNKVYGIDNLNNYYSVRLKKKRIEKIDISNQSKLKKYFSFGKFDVVYHFAAQAGVRYSLTNPRIYIESNIHGFLNICNNLLIKKPKKIIYASSSSVYGDTKKFPVKEEENLLPKNIYGYTKLINEITAEYYSNNFGL--------NFIGLRFFTIYGQWGRPDMFIMKFLKTYFQKKEMIINNFGKHY--------RDFTHIDDVIMILKKLITTNIKK--HHIFNISSNRPIFILDIVKIFQKIF------------------------------------------------------------- 303247639 -----------------------------------------HPVLGVEYLTGDISDRNRLRQALAGAKL--VAHFADATLPQTAEDDPLATLSANLDAAFNILTLCAAGGVERLLYCSSGTVYGIPQTLPILENAVPAPISSYGLTKYVIENAIRYFGFKHGLDYVICRPSNIYGPGQSPF-------RQQGIIAVAMLKTLRQEAITVFGDGSVI------RDYLYIDDLTDFFLRCL---GGGPGNVTVNVGSGRGYAVNEVLELIRKVSGQPLAVECLAVRSFDVPANYLDIDLANRLYGWKPRVGLEEGLRLTFAAFKK---------- 140591348 ----------------------------------------------------NILDTKKVREIIIKNNIDSIIHLAANLIIGEGEKQPKKYFKNNVKGTESILSSIKDTKVKNFLFSSTAAVYRDG-MYRVNENSPIKPKSVYGKTKLKAENLIKSKCKKLRINYGILRYFNIVGASPSGKYGLINISDHLF-KNFSTQIIKKNPVLKIYGTTYDTKDGSCIRDFIHVSDIAEIHEKVLNKIDKAKKSIVLNCGYNQGISVKQVANEFKKQAHKNIKIIELKKRPGDLGKIIASNNRLHKFISWKPKYKLSLMVKSSIKWEKK---------- 136760594 ------LVTGGAGFIGSNLIKLLLGKGHKVTSLDNYDSGTMQNHHKGDYITGDIELINTLDK-----DFDIIYHCAALSRIQPSFNNPDETYRVNTLGTQKVCEFARKNGI-KIVYAGSSSKHHDPYQ------------SPYAACKYMGEEVCKMYKKTYGMDIEIARFYNVYGP------AEIVDGDWAAVIGIWRRQAQAKDLITIVGD------GEQKRDFTYVEDIVDGLFRIGFKLEVHEDA--WELGTGINYSINDVYLMFKEKFGTD--YKYIPDQNGNYRETLRENNDALLRLGWKPE-------------------------- 143677211 -----ILICGAGGFIGGHLTKYFVEKKFDIICVDIKPLEYWFQIFDDCNFSFDLKDFKSCLEVSKNVDF--IFNMACNMGGMGFIENNKAECMLSVLINTNLLRASVENKVSKYLFSSSACVYNADKQPGLKEDDAYDPEDGYGWEKLFSERMCRHFSEDFGIETRVVRYHNVYGPLGTYDGGREKAPAAICRKIIEAKINNDK-------TIEVWGDGNQTRSFMYIDDCIKGTM----RVFNSDFKEPFNVGSEEQVSINQLIQYIEEIAQYKISKKYLLDKPKGVRGRTSDNTLIKNKIGWDTEISLKEGLKKTYKW------------- 119944540 -KDKRVFITGHTGFKGSWLSLWLQEMGAIVKGY-SLSVPTTPSLFEEANEEGDIRDFLHLRESISLFKPEIVFHMAAQPLVRLSYDEPMETYSTNVMGTVYLLEAVKQVGGVKAVVNITSDKCYENREWIWREDEAMGGYDPYSNSKGCAELVASSYRQSFFHKDKYQEHGCAASVRAGNVIGGGDWAADRLIPDMLNAFSTNK--------SVEIRSPHAIRPWQHVLEPLSGYITIAEHLYEQGPDFAEAWNFGPREEDAKPVQWIEQWGDKASWYLSDGEHPHEAHYLKLDCSKAKVRLDWQPVWNLEETLSRIVTWQKAWLEG------ 141895136 ----KILVTGGAGYIGSTVSNYFIDRGHEVTIIDNLSTGSIKNLKKATFHKKDISNIRAMKKIFIKKKFDIVFHFAALINNEESLKFPKKYYVNNYQKAKVFFDCCIENNINKFIFSSTAGVYG-NRNKKVNENDKLQPMSPYPKSKLKLEKYLI--KKKDKVRCVILRYFNVAG-------------------------------------------------------------------------------------------------------------------------------------------------------------- 136374082 -KKSKIFVAGHNGLVGSAIVRKLKEKGYKKII-------------TREKKKLDLTNQLKVLKFLKKHKPDFIFIAAAKVGGIYSNEKYAEFIYSNLTIQNNIIHSSYKCGIKNLIFLGSSCVYPRKCKQPILEDQLEKTNEPYAIAKIAGIKMCESYNFQYKTNYKCLMPTNTFGPNDNYHPLNSHFFPALIRKIHIAKINNQKK-------ISIWGDGTPKREFIYVDDLADACIYFMKKRTKE---TVINIGTGKDYSIKEYVKSFLKVINNRLKIIFDKSKPNGTPRKVLNVNLAKRY-GWKAKTDLNKAILITYQ-------------- 135322543 MKKKIALITGITGQDGSYLAELLLKKNYIVHGTSTFNTGRIDHLYIDPHHYADLTDSNSIYNIINKIKPNEIYNLAAQSHVGVSFTNPEYTSEVTGVGTLRILEAIRFLNIKKFYQASTSELYGFDKKKIFNEESKFYPRSPYGAAKLYSYWITSIYREAYNIFACNGILFNHESPRRGETFVTRKITRFLAKKYLGSKDILY------LGNLNAKRDWGHAKDFVEMQWRILQTKKPDDYVIATGKTYTVFKIFWKGKGLKEEGYIINKKNKKETIIKIDKKRPNEVDYLRGDARKAYKKLNFKPKYNFEKLVKEMMA-------------- 126654753 MGQKTALICGISGQDGSYLAQFLLNKGYLVCGTDAQMSSFQNLVRLGIRKQVKLNDFRSVLQTLNKIEPDEVYNLAGQSSVGLSFELPVETLDSIATGTLNLLEAIRFTDAPKFYNAGSSECFGDIGTTPADELTPFRPRSPYAVAKSAAFWEVANYREAYGIFAC------------SGILFNHESPLRPQRFVTQKIVATACKIAKDHSQKLQLGNVKIRRDWGWAPEYIQAMYLMLQQPQADDYVIATGDSYSLEEFVIETFNCLELNWQDYVITDQSLFRPTDISYSCANPSKAEEKLGWKAQYKMPEVVKMMVEHRLSHNKG------ 300715793 ----RVLILGVNGFIGNHLTERLLQDNFDIFGLDIGSDAISRFIPRFQFVEGDISIHSEWIE-YHIKKCDVILPLVAIATPIEYTRNPLRVFELDFEENLKIIRDCVKYK-KRIIFPSTSEVYGMCDDPNFDEDTVVGPINKQRWSKQLLDRVLWAYGDKEGLRFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLMDGG--------KQKRCFTDISDGVEALFRIIENKDNNCDGQIINIGNDNEASIKELAEQLLASFERHPLRDRFPPFAGDVEHRKPAIRNARRLLGWTPEVTMDKTIDATLDFFLR---------- 135057832 ----------------------------------------------------------------------------------------------------SYWVAKGRSENFRFHHISTDEVFGSLPNDPFTETTPYDPRSPYSASKASSDHLVRAWHETYCLPVVLTNCSNNYGP--------YHFPEKLVPVVILRALAGEPIP--------VYGQGENVRDWLYVEDHADALLLVLR---EGQIGESYNIGGENERRNIDLVRSLCGILDSKRQITFVEDRPGHDARYAIDPTRIRTELGWRPSVTVEEGLTLTVQWYLDNEAW------ 134674911 -KPRTWVITGVAGFIGSNLLEALLILDQRVVGLDNFSTGHKRNLEEFRFIEGDICDLATCRLV--CEEADYVLHQAALGSVPRSIEDPIIANRHNIDGFLNMLVAARDAEAQRFVYASSSSIYGDYPGSPKVEGRIGGPLSPYAVTKLANELYAGVFAETYDLKSTGLRYFNIFGRRQD--------PDGYYAAVIPRWIAAMISNEPVF----INGDGETSRDFCY---------------------------------------------------------------------------------------------------------- 136179858 FQNKNVLVTGGSGMVGRALVSKLLKLGANVDIADLHKPSDHESNV--NFINGDLRYLNVCEEICKNK--DYVFNIIGVKGSPKACADQPADFMVPMQFNTNMMEAARKAEVQWYLYTSSVGVY-SPADIFYEDDVWKTFPSNNDWAKRIGELQAEAYKIQYKTDISIVRPANIYG------------KYDNFNPINSMVIPSLIRKAQENDVLEVWGDGSPIRDFIFADDVAESMIKCVENKI----TVPINLGSGKGFTIKELVEIVVKSSGRDLKIKWQTDKPNGDAKRIMDISRAKS-FGIETKTSLEDGIKIVTEWFKENKKHLDNRY- 295687950 --GKVALITGVTGQDGAYLSELLLSKGYTVHGLKRFNTGRIEHLYQDPHEYGDMTDSTNLIRIVQQTQPDEIYNLAAQSHVQVSFETPEYTSNADGTGTLRLLEAIRIEKKTKFYQASTSELYGLVQEVPQSEKTPFYPRSPYAAAKLYSYWIVVNYREAYGIHASNGILFNHESPLRGETFVTRKITRAVAAIKQGFQDKLY------LGNLDAKRDWGHAREYVRGMWLMLQQETADDYVLATGETTLVRDFVEVGITINWSGTGVDEKGTCAETVEVDPRRPTEVELLIGDPTKAKTKLGWVHETKWEQLCAEMVA-------------- 140019454 ----KIIVTGGLGFIGSNLIDLLIKKNYYVINLDKVSYSNLKNSKRHKFIKVDINNQKKLINIFKKYKPEAILNLAAETHVDRSIDNPYSFIKSNILGLYNLLEAFKKYKIVKLIHISTDEVYGDILKGRSDENYPYNPSSPYAASKASSDHLVYSYVRTFNINAIITNCSNNFGPRQ----------------------------------------------------------------------------------------------------------------------------------------------------------- 142782660 ----KILITGASGMVGKHLVDMCLDKGYYVRGIDRLDDRYLEKKYWGDFFQADLRDFDRCKVLVD--DIDVVFHVAGVKGSPRAAEQPNDYFTPMLQMNTNMAEAARLQGVDWYVYTSTVGVYQPAE--VFKEDDVWKTYPSENWVKRLGELQLDCFETHYGLKYSIVRPANIYG-------------EYDEFGEESTVIASLVKKGCNDKLLSVWGDGTPIRDFIHAEDVARGILMSYENKI----TEPINLGSGDGVRIKDIASIVATHYGKE--IEYDTTKPNGDNKRLMDMTRANSY-GFYPQVDLETGIKRVIKYY------------ 143334399 ---KKVLITGCSGLVGVHLVKQSLENGYEVIGVDLKKSESLPTSEKFTFYQMDLTKTENIEQLFFYETPDAVFNTFGVKGSPLRAKTQP--VDFLYPSFKINTEIINQCAKNNIWLIFVSSVGVYAPAEKFVEDSVWKTLWFPSWSKRMGEILLEAYKVQYD-------YTKWAIIRPANIFGEYDNFDGTGTVIASQC----KKVFEAQNEIEAWGDGTPIRDFVYAGDVANAILHLYKKEIHT----VINFGAGEEITIKSMIEELIKISGKDIYIKWDTTKPNGDLRRQMDTTK-QKELGLLPNLGFKEALKLTYESYI----------- 144099286 -AKKTALITGVTGQDGAYLAKLLLEKNYRVVGVRRASTLNLPRLVALNIDRLDVQDLTSIVRMIETIQPDEIYNLSGQSSPQASFQQPLYTGETSGLGTLRLLEAIRLMNARRFYQASSSEIFGQAQGDMQNETTDFRPKSPYGVAKLYAHWMVINYRQAYDLHASSGILFSHESP------LRGMDFVTRKITATLAQIACGSQEMLQLGNVDNARDWGFAGDYVEAMWRMVQQEVADDFVIATGQTHSVRDFVNRAATVMGFDLAWEGRGGDAKGFDAKTYRPSEPDPMIGDASKAHRILGWQPKIDFE---------------------- 160889676 MDKKIALISGITGQDGSFLAEFLIEKGYEVHGISSFNTGRIEHLYLDEWVRGDMTDSSSLIRIIQQVQPDEIYNLAAQSHVKVSFDVPEYTAEADAVGTLRMLEAVRIEKKTKIYQASTSELFGLVQEVPQKETTPFYPRSPYGVAKQYGFWITKNYRESYGMFAVNGILFNHESERRGETFVTRKITLAAARIAQGYQDKLY------LGNLNSLRDWGYAKDYVECMWLILQHDTPEDFVIATGEYHTVRDFKETGVELRWEGEGVNKTGKVLVEVDPKYFRPAEVEQLLGDPTKAKTLLGWNPKTSFEELVKIMV--------------- 149200127 -KDKRVLVTGHTGFKGSWLCQWLEILGAQVFGYSKVKDHFSELALEGDFTTGDICNFDHLNNQIKRIQPEIIFHLAAQPLVRDSYEDPLGTYQTNVMGTGHVLQACRGVDSIKAIICITTDKCYENKEWLWRENEPMGGYDPYSASKACAELLISSFRRSFFDDYGLKHHTLIASARAGNVIAGGDWSKDRLIPDVIKATMNKEK--------VFIRSPHSIRPWQHVLDCLYGYLLLGSKLLQRKKECAQAWNFGPADSDIRSVEDILEMSKAVWNEIDYEADLHEAKLLKLDSSQANVKLDWQPLWNCEQSIQRTVAWYKN---------- 218886999 -RGRKVLVTGHTGFKGSWLTAWLLQLGAEVAGLVDVPTSPANFDELGTDIRADIRDRAAVCKAVADFAPEVVFHLAAQALVRKSYDDPAATIEANAMGTLNILEAVRCAPSVQAVVCITSDKCYRNDEWVWRETDHLGGEDPYSASKGCAEIIAHSY-----FRSFFRNGVRCATTRAGNVIGGGDWAADRIVPDCARAWAEGKAVQ--IRSPWATRPWQHVLEPLSGYLWLGAKLLLNEQGPFPLSGEAYNFGPAADVNNN-VAEVVDALAPYWPGFASEMDRAGECTLLKLCCDKSLAYLGWQATLNFVETIRFTAEWYRAFY-------- 136040268 -----ILITGGTGLVGSAI-----KNGT------KLSS-----------KDGDLRKWETTLNIFNHHKPKKVIHCAGVGGLGGNMNYKGEYFYDNIMMNTNVIEASRLVGVEKLVCFLSTCVFPDNVEFPLTEGEPHFSNYPYAYAKRMADIQIKAYREQYGIEYVSVIPTNIYGPHDNFNIETGHVLPSLIHKCYLAQ--------KNNTDFVVWGTGKPLREFIFSKDIAKLTEWVLENYT---DSEPIIFSTSDAVPIKDVVDLIVEYMNFKGNVVWDKDKPDGQYRKPSSIEKLNSLLNYE-FVSIEDGLKETVEWFYENYE------- 126178653 ------IVTGGAGFIGSHVVDALAAGGDDVLVIDDCSAGTERNLGHHTFVRQNLLD-DGWQERFSGA--DRVYHIAADPDVRQSAVTPDSQIRNNIVATHRVLEAMRAHGVPELVFTSTSTVYGDAAVIPTPETTPLEPISVYGATKLACEALISSYCHSFEMTSWVYRFANIIGERSGHGVISDFIRKLRENPQ----------------ELEILGDGRQTKSYLEVGECVRAVQFGIEHSRDPVNTFNISEDWIDVVTIADIVAEEMGLSGVRYRFTGGARWVGDVPKMQLSVERLKG-LGWQCGTTSEESVRTAVR-------------- 283822351 ----KIFLTGHLGYIGTIMAPVLAAAGHSVTGCDRCTYAAGGRIGDFPTLRKDVRDV----QVEDLEGFDAVIHLAALSNDPLSDLNPDITYAINHKASVRLAELAKKAGVKRFIMASSCSNYGRAGEALIDETGDLNPVTAYGQSKVRSERDI-GLIADDGFCPVFLRPATAYGPRMRFDIVLNNLVAWAVTK----------------GVIYMKSDGTPWRPVVHIRDISQAFLRALEAPRDVVFNQAFNVGTTEHNYRIRDLAEVVAEVVPDCRIEYAADAGPDTRSYRVNFSKIERELGFKAEWDARKGAEELYKSYRE---------- 141998813 MKEKLI-VTGGLGFIGSNLINLLLKKKYFVINIDKFSYANFSQNKNYKFIKCNINDKGQLENIFKKYKPKAIFNLAAETHVDRSIDSPKAFMESNILGVFNLLEAFRLYFNDKLIHISTDEVYGDIIKGRTSENYPYKPSSPYAASKAASDHLVSSYIRTYKIPAIITNCSNNYGPRQ----------------------------------------------------------------------------------------------------------------------------------------------------------- 142281143 FSGKKILITGGNGFLGKYFVEIFLKTPILLHVYDKNFNRSKNVSKGIKLIKKDVS-----IKFDSKIKFDIVIHAAGIASPFYYRKEPIETLDVAIQGTRNCLEIAKKNN-SKFIFFSSSEIYGDPDHVPTKETSSLGPRACYDESKRLGETLCYIYNKYYNLHCNIIRPFNVYGPGMN-------QKDYRIFPNFISNILNNRK-------LNIYGSRNQTRTYCYITDAIEG---FLRVISKGKSGEAYNIGNNKEVSVKNIYKILNEIYPKKIRANFVSYPNDEPQRRCPDITKAKIHLKYKPKMDLKTGLSFYLSWAKQNYK------- 85860194 ---KKAFITGITGQDGSYLAEFLLGKGYEVHGLDHLYKDFHDPQARLYLHYGDLSVSGQLTDMLYDISPDEIYHLGAQSHVRVSFDMPEYTGDVTGLGTLRLLEAIRKTGVKARFYQASSSEMFGAAPPPQHEGTLFQPQSPYAAAKVYAYYVVRNYRDAYKLFACNGILFNHESPRRG------------ETFVTRKITRGATQIKLGLRDKLYLGNLEAKRDWGFAGDYVEAMWLMLQ----QDKPDDYVIATGETHSVREFAEKVFQKLDLDYHVEIDPRRPTEVDVLLGDSSKARRLLNWKPRVSFDELVEMMIA-------------- 260887529 ---KKALVTGITGQDGSYLAELLLEKGYEVHGIRRHSTPCTERIDHICIHYGDLTDSCNLLSLLGQIRPDEVYNLGAQSHVAVSFEVPEYTADATGVGTIRLLEAIRQSGIQCKFYQASTSFGGLPNTAPQSERTPFYPKSPYGAAKLYSYWITVNYRESYGLFACNGILFNHESPRRGETFV------TRKITVAVANIMAGKQEKLSLGNLDAKRDWGFAGDYVEGMWRILQQEKPSDYVLATNETHTVREFVEGEVGVKLEWKGICTKTGKLVDVNPQYFRPAEVDLLWGDPTKAEKELGWRRKVGFKDLVSMMVR-------------- 78777900 ---KKAIVTGITGQDGAYLVELLLNKGYEVYGTYRRTSSVNFWRIEEHLIEYDLTDQANSIRMVMEIQPDEIYNLAAQSFVGVSFEQPLATAHITGLGCVHLLEAIRINPKIKFYQASTSEMFGEVQQIPQTEKTPFWPRSPYGAAKMYAHWMVVNYRESYGIFATSGILFNHESP------LRGREFVTRKITDSVAKIKLGKLEYMELGNMDAKRDWGYAKDYVEGMYLMLQADKADTYLLATNRTETVFKGAGIEVEFKDEVAVDKNSGKTVVRVNPKFYRPAEVDILIGNPQKAKDELGWEPKCTLEELCAMMVK-------------- 294494770 ---KVALVTGITGQDGAYLAEFLLDKGYIVHGIKRFNTARIDHLYKDPHERGDLTDSTNLIRIIQETQPDEIYNLAAQSHVQVSFETPEYTANSDAIGSLRLLEAIRMEKKVKFYQASTSELYGKVQEIPQSETTPFYPRSPYAAAKLYAYWITINYREAYGMYACNGILFNHESP------IRGETFVTRKITMAVANIKKGLQERLYLGNLDAKRDWGFAGDYVKAMWLMLQQDEPEDFVIATGETHSVREFREVGIDIEWKGEGVDEVGNVLVEIDPAYYRPTEVELLLGNPSKAREKLGWNSNVGFEELVKMMVK-------------- 142919737 ------LVTGGAGFIGSHLVDRLVSKGEKVRVIDNLSSGRIDFIEGGDSVEIVIADLLDIERLDAMEGITTVHHLAANPDIRLGTKVTDTDLKQGTIATYNVLESMRKNGVMRISFSSSSAVYGEVETMPTPESGPTLPISLYGASKLACESLISAWAGTFGTTAWIHRFANIVGPRTHGVIYDFIH-------------------------------------------------------------------------------------------------------------------------------------------------- 91085271 -ENKIILVTGGSGLVGKAIQTVLEDE-----------KKSDETWIFVNSKDANLCDLEETKKLFEKHKPTHVIHLAAMVGGFHNMNHNLDFLRNNLQMNSNVLDVSYATGVKKVVSCLSTCIFPDKTTCPIDETMIHNPPHPYSYAKRMIDIMNKAYHEQHGCLYTSVVPCNVFGPHDNYNLESSHVIPGLIRRLHDVTEAGGK-------TFTVLGTGKPLRQFIYSLDLAKLFLWVL-REYNEIEPIILSVDEAAEVSIRQLTEELAKAFDFKGEIVFDTTKADGQYKKTASNAKLRKYLDFE-FTPFPVAVKESVDWYRANYQNARN--- 294339624 ----RVIVTGAAGFIGSNLVKGLNARGIEVIAVDNLTRGDKFHNL----VDLQICDYIDKTVFFEQFKVEAVFHQGACSDTME--HDGRYMLDNNYRSSRILLDAGQKQGV-RLLYASSAATYG-ASKVFRESPEFERPLNVYGYSKMLFDQVVRRVLPHATTQIAGFRYFNVYGPR------EQHKGRMASVAFHHFNQYREHGQVKLFGEYQGYGPGMQERDFVWIDDVVAVNLWFFDH---PGKSGIFNLGSGRAQPFNDVAQTV----------------------------------------------------------------- 143748217 --------------------------------------------------------------------------LAAETHVDQSIRFPEKFISSNIFGTFQLLQTSLNYFKFKFLHISTDEVFGLNEEGYFNENSNYNPRSPYSASKAGSDHLVKAWFHTYGLPCIVTNCSNNYGP--------WQNPEKLIPNTISKALIKKNIP--------VYGNGSNIRDWLYVDDHIDAILLAAEKGL---PGRTYCIGGNNEKTNLDVVNKICLYLDKKSLIKFVKDRKGHDFRYAIDSSLIKKDLHWAPKHNFDEGIEKTINWYLRNKDWFKK--- 159796241 MSG-RYCVTGGAGFIGSHFVERLLAETREVVAYDDLSNTTTRWIERLRFVRADVLDTARLEELTGWTQDDVVVHLASSVDMRKGYHDRGFDLRQCAEGTLSVLNAMRASGPRTVLFSSSSTVYGDPVTLPTPEHAPYAPISMYGAGKLAAEALLSAYCHLDGFTAHVFRFGNVVGGRMN----------HGVIHDFIEKLDARRDRLQVLGDGRQRKNYFLVEECVDGILTASGKLGPGFHVLNLGNPGTVSVDEIAAIVIDEM-----GLKGVGLEHEGGVRWPGDVPVVEYDLTRVH-ELGWSAPTDGRQAIRT----------------- 141415918 ----KIIITGGSGFIGSRLIKKMIESTDHILNVDNLSIGSNQNNLRYTFIKKDICHPEILE-LIRNFRPDVLMHLAAESHVDRSIEDPSSFIKTNFFGTFNLLEAIKIYNQENFHHISTDEVFGEENENPFSETSRYSPNSPYSASKASSDHLVRAWGATYDLPYIITNCSNNYGP---------HQHFEKLIPKIISNGINNK-------SIPIYGEGRQIRDWLFVDDHAEALINVIEK---GKIFETYNIGGNCELRNIDVVQKICSMLE------------------------------------------------------------ 255014209 --NKTALITGITGQDGSFLAEFLLDKGYDVHGTIRRSSAHLEGLPHFHLHYADLGDSMSILQVVKLVQPNEIYNLAAQSHVQVSFDSPEFTANVDATGVLRVLEAVRHCDKCRIYQASTSELYGKVEEVPQNENTPFHPYSPYAVAKQYGFWIVKEYREAYNMFCCSGILFNHESERRGETF-------VTRKITLAAARIAQGKQDKLYGNLSSLRDWGYAKDYVECMWLILQQDTPEDFVIATGEQHSVREFCYEAFKAANEIGVDKSTGRILIEVSSDFYRPTDVVNLWGDPTKAKQKLGWDPATSFKDLVRIMVE-------------- 140876809 --------------------------------------------------------------------------------------------------------WQDNWHEKRFYHVSTDEVYGTGETGLFSETTSYDPNSPYSASKASSDHFVRAYGETYGVPYVISNCSNNYGPNQFP----------EKLIPLFINNIINYKPLPIYGDGNYTRDWLFVLDHAIAIDLVFHEGENHETYNIGGFNEWKNIDLVKVLCKQMDEKLERDLGTSEKLITYVKDRPGHDLRYAIDASKINKELGWSPTVTFEEGLSKTIDWYLNNEEWLNN--- 142565289 LKNKNFLITGGAGFIGSHVAKALLSKGARITIIDSMSYGGNLFNLDGIIDDVDVRDESLLKK--NVVNKDYIFSLAGQLSHLDSMKYPFNDLEVNCKSHLSLLETCKIYNPKKIIFSSTRQVYGNFKYLPVDENHPLNPPDVNGINKLAAEYYYKLYNNIYGVKSVIFRLTNTYGPGQ------LIKNNKQGFTGIYFREALLNNVIKIKGDGQLIRDFNYVSDVVDAFFTAIINDECWGEVFNLGADNYYTIIDFLEILNKYC--------------------------------------------------------------------- 136360999 -KKQNILIVGGTGFIGFFLAKKCLELGWSVTSISARKPRKIRKLSRVKYLISDISKKNFFKKISKRE-FDYVVNLGGYVDH----NNKKKTYNTHYLGSANLANYFLKKKIKRFVQIGSGGEYGKARS-PQKEIKKSKPLSVYSRSKYLANNYLLKIYKKKKFPVTIFRLYQVYGPRQDLNRFIPIVINSCIKNRTFPCSDGQ-----------------QYRDFIYVTDVISAILKSFKKEKSNGK--IINLGSEKPLKIKNIIFLIKKITGGKPQLGKINLRKEENLITYPSISRAKKLLNWRPQINFRQGLKKTIRFYEKN--------- 13488052 ----TALVTGGSGYFGELLTKQLLEQGTHVRVFDLNFPGFSHPNLE--FFKGTILDRNAVKQAVSGVK--KVFHNVAQVPLA---KDVDLFWSINKDGTQILADESVAAGVVKLIYTSSSAVFGVPKANPVTENTVTNPAEDYGRAKLAGEMICKEAMQRHGLDVAIVRPRTILGHGRLGIVQILFD------------WIERGLDIPVFAGGNNRYQFVHSDDLAWACIAASDMRGFAEYNIGAAEFGT----------MRELLQTVIDHTGTESRIKSIPMRPTGPRSMYFDISKAQRELDFMPKYSNSQMIIETYDWYQANKASLSK--- 140441654 -------------------------------------------------VEGDLRDPDVLDRAFRCDGPDGVIHFAGLKAVGESVADPLRYWDVNLNGSRVLAAAMERHGCRTLVFSSTSTVYGEPEEFPLHEGMTTAPVHPYAQTKLAVEQMLGALCRSGPWRVACLRYFNPVGAHPSGRIGEDPLGPNNLFPFITQVAAGRRDKLRVFGQDYPTPDGTGIRDYLHVMDLAEAHGVTLNHLLNQ---------------------------------------------------------------------------------------- 134572770 -------------------------------------------------RDCDLNDFEKLDMLFENYKPDIVVHLAARAGVRNSMGNEHLYHRDNIDGTQNLINACRLHEVEKVVYASTSSVYSGTSTLPWTENVHPHQRNPYAYTKYVNECQM----KMSGLNTIGLRFFTVYGP----------WGRPDMALYDFTKNVIEENTIKLYNHGFM------KRDFTYITDIINGTKLVI-FNQDIESGEIFNIGNGKQVDLMHFINRIGHELGREVKYDLVPPHPADVLETWSNTNKLQK-LGYKPTVDIDEGVQYFVEWYK----------- 138994775 -KQKNILVTGGAGFIGSHLCKSLIEMGHKIICLDNLFTGSVTNIELIDNPNFEFINHDIVEP-FYRENIDEIYNLACPASPLHYQYNPIKTIKTCTIGVINMLGLAKKNNA-RILQASTSEVYGDPEIHPQREDNTLGFRSCYDEGKRCAETLFMDYKREHDLDIRIVRIFNTYGPNMT---------------------------------------------------------------------------------------------------------------------------------------------------------- 136576186 ---RRALILGVTGQDGQFLANLLLNSNYEVLGARNLPNWSDNPLLRPKHKIVDIAKYLNLFRLIQAFAPDEIYNLSGESSVARSFLNPTETASSNVIGVLNLLNAVKELQNHVRIFQASSSDMFGPSELQLNETSPLSPKSPYGVSKFLAHKMCLQYREEFDIWVSSGILFNH-----ESELRPQHFVFQKIIRSLVEISQGKR-------QFLELGNLEIYRDWGYAGDYVEAMQKSLQSDI----PRDYVIATGRLNSLRDVIERTCELLGLSRKIDLSLMRPLDIERTWGDPRLANELLGWKARTTFEELIEKMVR-------------- 71906895 -RGRRVLITGHTGFKGSWLALWLLQLGAEVAGIDVPSNPSNFELLRLRHYSGDVCQLGQLEQAIDEFQPEIIFHLAAQALVRRSYQDPRGTIETNVMGMVNVLELVRSRPFIRTAVLITSDKAYRNDEWCWRETDALGGHDPYSGSKSCADLVAQTYIHSYLRSSGKR----VAITRAGNVIGGGDWASDRIVPDCIRAWTE--------GGSVEVRSPSATRPWQHVLEPLGAYLWLGASLQRDERINGEAFNFGPAAHVNQSVGELLDAMAQRWPQWNSPANAGRPAQLKLSCDKAQAYLDWRAVLDFSDTVELTVDWYRNWYEG------ 139900377 ----------------------------------------------------------NLDKYFK--KADYIFHLSALAEIIPSFKNPKKYFKNNVIGTLNVLKAAQKVKIKKLIYAASSSCYGTPEKFPISEKDKIDLKHPYAVTKFIGEELVMRYASMFRMPNISFRFFNVYGPRLNT------SGQYSAVIGNFLTQTKNRKPLTIVGDGKQT------RDFIHVDDLINAFTQVI-------KSKSVNKIYNLGSGKKTSINTIAKIFGGK--KKFIPIRPGEPMNSVANIKKIKKDINWKPKISIEQGIKK----------------- 135083776 ----KVLVTGGAGQVGSCVADELVARGDEVLCIDNFRTGRPEHLQEHARLELSVAEKSRLRTAFEDFGPDVVVHAAASYKDPD---DWAEDSLTNCVGGANVVQLAREFAVDRFIYLQTSLCYGLPPSFPIPIDYPRNPESSYAITKTTNE----QFVELSGLDYVTFRLANVIGPR----------NLAGPLPIFFDRLMAGKRCF----------VSESRRDFVFVGDLARLIVMAADGI----GSGPYHFSSGSDVSIKELYDEVVANLGLDNIPETVPLGEDDTMTILLDPSKTWQDFGQFSLVPLPEIVARAGEYYRQY--------- 140864416 ---------------------------HEVHVIDNFISGKKECNKDAKYHEIDIADEGNLSIIENVNKCDTVFHCAALARVQPSIIDPIKYEINNTLGIMNMLKCSVDMDVRRFVYSASSSAYGSTKKLPSKESDRPNPISPYANQKYYGELCCKMFSKVYNIETVSLRYFNVYGERQNL------GGAYATVVGIFLNQLIKKNPLTINGD------GNQRRDFTYVGDVVSANILAA-KSKNVGKGEVINIGNGENISINELAENI------GGEIVFNE-PLNEPFANLADIKKAKKLLDWEPTTDL-------ISWIKNYKK------- 139881869 -----IFITGGAGYCGSMIVPRLLDLGYKVTVYDTLFFGDPKNNKDLKIVKGDIRNKEKFFSEVQGH--DVVLSLACISNDASFELDENLSTSINLNAFEPMVKASRDAGVKRFIYASSSSVYGVSEAKNVTEDHPLVPLTLYNKYKGMCEPILNSYTQEFTVTTFRPATVCGYGPRLRLDL----------SVNILTNHAITNNKITVFGG-------KQLRPNLDIRDYADACILFIQSPHSKIHKEVFNVGYQN-MSIMNIAKLVREVFPEKKEINIITTESDDNRSYHINSDKIKNILGFTPKFSIKDAIESL----LMHP-------- 139802212 --KKQVLVTGGAGYIGSTVSHDLIKAGHKVTIIDNLSTGFKKLIKKATFIKSDINNIKILKKLFSSKKFDLVMHFAAYIKVDESVKKPKKYIQNNFTKTKIFLEYCLKNNLNKIIFSSTASVYGNKFKK-IKEKDALLPSNPYALSKMKCENFIMKRRNKNNFKYIILRYFNVAGSPVGLETGMITKKATHLIKKLCEFLLGTRKKFYIL--------------------------------------------------------------------------------------------------------------------------- 135030740 ------------GMVGNAICRQLKQQ-------------SGVEIITRTRKQLDLCDQSAIKEFMKSEKPDEVILAAAVGGIHANNTYPADFIYENLQIQNNTIHAAHINNVQKLLFLGSSCIYPRQVQQPMRENAILEPTNPYAVAKIAGIKMCESYNRQYGRDYRSVMPTNLYGPG-DNYHSENSHVVPALIRRFHEAKKNKLNEVLVWGTGTPKREFLYVDDMAEASIFVHNLDRDIFVAKTQPMLSQINVGTGIEVTINELALLVKEVVGFDGRIIFDHSKPDGTLRKLMDISLLSK-LGWSASTSLKSGLELSYTDFLSNQK------- 140147518 --TRRILVCGATGFIGRNVVERLSRQPDIELLAIKHKSSRFDC-PNVKWIEADLTRKSDVSHALR--NIDVVVQAAATTSGAGDIVKRPYIHTADNAVMNSHLFRAAYDHAVKHVIFFSCTVMYPSSETALRETDFTGEIAPQYWTKVYLEKMAEFYAGLGRTKYTVLRHSNIYGPHDKFDLERSHMFGATVTKTMTAQD----------GRIVVWGEGEEARDLLYVDDLVDCVENAIAHQDEP--FGLYNIGYGEAFAVKDVVKRIVSASGRDLTIEFDRSKPTIKTSLFLDCALAKHTIGWEKSTTFDVGIEATLSWYKAN--------- 135446686 ------------------------------------------------------------------------------------------FFNVNVNATINVLALIKENSVERFVHISSSEIYGSAQTVPMKENHPTIPHSTYAVSKLAGERVVYTMHKEHNFPAVIIRPFNSFGPNITQPYIIPEIIGQIMNGNNILNLGNIESK----------------RDFTFVSDTARGMLNAL--VAENIDGETINVGSNREISIKTIVETISKLLEKDVEIKIDPLRPFDVNNLVCDYTKAKELLGWSPEVSFEDGLQSTIDWVKQN--------- 184201693 -------VAGHRGLVGSAVWRHLESQGFENLV-------------GATSAELDLRDRGAVEAFMAEKKPRYVLAAARVGGIGANNTRPVDFLSDNLRIQLNLLDAARAVDVERVLFLGSSCIYPKLAEQPLREDAYLEPTNDAYAAKIAGILHVQSVRRQYGLPWISAMPTNLYGPGDNFSPTGSHVLPAMIRRYDEAVRHGDT-------EITNWGSGSPRREFLHADDLASACLFLLEHYD---GPQQVNVGVGEDVTIAELAELVAAATGFDGTTHWDASKPDGTPRKLMDVSHLRA-LGWNASIDLPTGLDDAVSWYRAHRDS------ 142511204 ----RCLVTGHRGYIGSRLFKKLQELGHKVQGIDLLDDEWRGQAGYGKDVITMLAEGNDFHPHYFNFKPEYVFHMACWPRVGYSVENPVKTAKNNILAGSITLNFARKVGAKRVIYSSSSSVVGN----------GNGPASPYALQKYTTELECKIYPELYGMDTVSLRYFNVYS------------HDQEASGPYATAVCNWMKAVRENINPFITGDGEQRRDMINVEDVVKANIFAMEH-KEAFNGQYFDIGTGHNISLNEIKQLVLKHH-PDVAFDYVDPRPGDVMLTRGDIEPLKN-LGWSASIGIKEGIED----------------- 78222700 ---KKALITGITGQDGSYLAELLLQKGYEVHGMSSFNTGRINHIYRDPHEKGDLNDASSINTLLRIVQPDEIYNLGAQSHVRVSFDVPEYTGEVDALGAVRILEGIRETGNTRFYQASSSELYGKVVETPQRESTPFYPRSPYGCAKAYAYYITQNYRESYDIYACNGILFNHESPRRGETFV------TRKITRAAARIKLGLQNCLYLGNLDAKRDWGFAGDYVEAMWLMLQQEQADDYVIATGETYMVRTFAEKVFARLDREEGVDTATGKPIRVDSKYFRPAEVDLLLGDPSKAKRVLGWQQQTSFDQLVA------------------ 194766075 -RDKVALITGITGQDGSYLAEFLLKKDYEVHGIIRFNTTRIEHLYADPKAHGDMTDSSSLVKIINTVKPTEIYNLAAQSHVKVSFDLSEYTAEVDAVGTLRILDAIRTCGMERFYQASTSELYGKVVETPQNEQTPFYPRSPYACAKMYGFWIVINYREAYNMYACNGILFNHESPRRGENFV------TRKITRSVAKILLNQMEYFELGNLDSKRDWGHASDYVEAMWMMLQRNSPSDYVIATGETHSVFKHIGREITWQDEVGVETSTGIVRVRINPKYFRPTEVDLLQGDASKAKRELNWTPKVSFLELVKDMMQ-------------- 137032430 -----ILITGIAGFIGFNFAEKYLKKKDKVIGVDNLNNYYSTSLKLARFYKIDISNKKALKKIFKNHKIHRIYNFAAQAGVRYSINHPSEYQKSNIEGFYNILEFSRLYKVKELIYASSSSVYGENKNFPLKENEKINPKNFYGLTKKINEQMAEVYSNHYKIKTIGLRFFTVYG--------EWGRPDMSIFKIIDSSFKKKIFYLNNYGNHD--------RDFTYIDDVVN---------------------------------------------------------------------------------------------------- 142623409 ----KILVTGGVGFIGAHLVKKLLDNKHQVLVFDNLSTGIIYKNPKCKFIKGDLVNSSDVKKIERW-KPKIIYHLAAQSGGESAYLNPEKDYLSNGFGTYNLCRVAINVKVKHFIYASSVAVYGSSPYKKINESSKINPDSIYGVSKFAGEMFVNQMLKETKIKTTIFRIFNTYGPGENLNYLKKGMVSIYSGYIW------KKKPIEVKGALDRVRDITFIDDTID---------------------------------------------------------------------------------------------------------- 135539116 ----------------------------------------------------------NLLQYSKKNRFDIVVNLAAQAGVRYSIEKPEKYFDSNMVGFFNVLNLSRIYAIKHFIFASTSSVYGNNKNFPLKEHHNTHPISFYAATKKSNEVLAYSYSYIYKIPITGLRFFTVYGP--------MGRPDMALFKFTKSMLENKK--------FDVYNHGNHIRDFTYVDDVINAIEKLIKKKPKGKIPYDIFNGSNSPKPLMQFINIIKEYLSIKPQIKFKKLQKGDIHKTHASIEKLNKKINYLPKTNLKLGIHKFLNWYKSHY-------- 300714666 -----IIVTGGAGLIGSNIIKALNDKGHTDIVVDNLKDG----TKFVNLVDLNIADYMDKEDFLDFGDVEAIFHEGACSSTTE--WDGKYMMDNNYQYSKELLHYCLEHEIP-FLYASSAATYGGRNDNFIEERQYEEPLNVYGYSKMLFDHYVRQILPEAGSQVCGFRYFNVYGPR------EGHKGSMASVAFHLNTQLNNGENPKLF-----EGSDTFKRDFIYVEDVASVNLWFWENNV----SGIFNCGTGRAESFQEVADAALNFHQKGE-IEYIPFPERYQAYTQADQTNLLAA-GYKPFKTVAEGVAEYMAW------------- 134936869 --------------------------------------------------------------MYDDFEPQIVIHLAAVGGIGANMAQPGRFFYSNMAMGIHLVEHARLCGLEKFVHTGTVCAYPKYCTAPFQEEDPEETNAPYGVAKKALFVMLDGYHRQYGLNSSVVVPVNLYGPG-----DNFNPASSHVIPALIRKCLDAKRAGKKEISCWGTGSAT--RQFLFVQDAAEAIVRAAEMVDT---PEPINLGGGQEISISSLVQNVAQVCDFNGSILWDASKPDGQPRRSIDITRAKEKLDWQPKVSFSEGLQRTVDWYRR---------- 254456469 MSKLKIFIAGHNGMVGSALIR-------------NLKTQNVE-IITKEKKELNLLNQDDVQNFLKYQKIDQVYLAAAVGGIHANNTYPAEFIYENLMIQTNVIHGSFLGGIKKLLFLGSSCIYPKNANQPMKEDEKLEPTNPYAISKIAGIKMCESYNRQYA-QSHNIDYRSIMPTNLYGPGDNYHPENSHVVPGLIYRFHEAKVNNLQSVTIWGTG--KPKREFLYVDDMANASIHLMNINKKIYDCSHINIGSGEDLTIKELAEIIKEVVRFKGKINFDHSKLDGSHRKFLDSKKINN-FGFKPKISLKNGLLKTYQDYIK---------- 140447971 ----NILVTGGAGFIGSHLCEYLVKENHNVIVLDNLSSGYQSNLSNILNKIQFFENKVEEFNFDNLSKIDCVVHLSSQTSVPVSVKDFRKSSSSNILSSIKIIDFCSKQNLP-LVFASSAATYG-NLNIGDDSSNEHHLLSPYAVDKYSMELYADMANKLYGLSNIGLRFFNVYGPRQD------PKNSYSGVISIFCDLILSNKSITINGG-------KQKRDFIYVNDVVHSIAKSIDKVISSKLSETINILTGELTSIDDIADILIKLTGTPVEKVYKE--------------------------------------------------- 143849742 -KNKKALIFGITGQDGSYLTELLLKKNYEVHGVSSFNTSRINHLYEDPYKKGDITDSISVSSIIKKILPDEIYNLAAQSHVAVSFEVPEYTANADAIGALRILEAIRFHNKTKFYQAGTSEMYGRVLTVPQNEKTPFYPRSPYGVAKVYAHWITVNYREAYKIFATNGILFNHESPRRGGTFV------TKKIVNGLCKIKLGLQKTLFLGNLEARRDWGHAKDYVIAMWKILQQEKPNDYVIATGKQFTVKKFVNSFIWKGKGINTKCLMKKNNSVIVSIDKRPLEVDTLLGNSSKARKELKWKPSYNIKTMIKEMVSEELK---SLKNDKK 225851521 ---KKILITGIRGQDGAYLAKLLLEKGYEVWGADRRSGDSSNWRLKELGIEMDLLELTNIMRVIEKIKPDEVYNLAAQSFVGVSFDQPILTSEIDAMGVLRLLEAIRMFKPDKFYQASTSEMFGKVQEIPQTEKTPFYPRSPYGVAKLFGHWITVNYRESFNMFACSGILFNHESPLRGVEFVTRKITYHLARIKYGLQDKL------ILGNLDAKRDWGYAKEYVEGMWLMLQQEEPDDYVLATGETHTVREFVEKAVWKGEGVNTKGIDRKSNKVIVEVSPRPAEVDILIGNPKKAEEKLGWKPKTKFKELVEIMME-------------- 296140313 --TKTALITGITGQDGSYLAELLLAKGYEVHGIDHLYQDSHEDDARLFLHYGDLSDGARLVTLLSEVQPDEVYNLAAQSHVRVSFDEPEHTGDTTGIGSTRLLEAVRMSKVACRFYQASTSEMFGATPPPQNEDTVFYPRSPYGAAKVYSYWITRNYREAYDMFAVNGILFNHESPRRG------------ETFVTRKITRAVARIKAGVQEHLYMGNLDAVRDWGYAPEYVEGMWRMLQVD----EPNDFVLATGVECTVREFLETAFSHAGLDWHVRFDERRPTEVDALIGDASRAADLLGWKAQV------------------------- 134370994 ---KKILVIGSNSFSGASFIAYAARKDFEVYAVSRMLPYKWEKLDNIKFKQLNLTDLEELDKLIRKEQFRMIFNFAAQSMVGQSWDVPEDWMQTNVVSSAKLLTKLKAYDFIHKYVHVTTPEVYGSTQGWRTEDFNFNPSTPYAVSRAASDMLFKIYEKEYSIPVVFTRASNVYG---------EGQQLYRIIPLTIYNLLAGKKVFLHGGGF-------STRSFIHMDDVSNATLLAAEKGVS---GQTYHISTWELISIVDLVKKICEKLNINFSENTESRRVGKDSSYKLDSSKIRNELQWEEKISLDKGIERTIKWVENNFQLLSNE-- 136149918 ---KVALVTGVTGQDGAYLSELLLSKGYEVHGVDHLYSDPHEKTGKLKLHYGDLTDSTNLIRIIQEVQPDEIYNLAAQSHVKVSFETPEYTANADAVGTLRILEAIRIEKKSRFYQASTSELYGLVQEIPQKETTPFYPRSPYGVAKLYAFWITKNYREAYGMFACNGILFN------------HESPLRGETFVTRKITRGVAKISLGLQEKIYMGNLDAERDWGHAKDYVEGMWRMLQASEPDATNKKISVRKFIELSFNEVGVQIEKTGKILVEIDPKYYRPTEVDLLIGDYSKAKNILGWEPKYS------------------------ 143544893 ---KTALITGGAGFIAHHLIARILTQTDWNIVLDRLDYGNLNRLNDILQYECTPNERKRVKVVWHDGKVDYILHLAAGSHVDRSIDYPMEFVMDNVVGTCNILDFARSDHLERFLYFSTDEVFGPPDGIKYQENDRYNSTNPYSATKAGGEELAVAYENTYQLPVYITHTMNVFGER--------QHPEKYIPMCIRKIRDGE----KVTIHSDSTRTVPGSRHYIHADDVASNYKGKFEKAWGNAKCPKFNIVGAEELDNLKLAKIIAQAQDKKEMVDFHSSRPGHDLRYALDGSKMR-DLGWTPDATVVERLQDVTTWTLQNERWL----- 118474525 ----KIVVTGGAGFIGSNLAKYFMNDN-EVLVVDKFSFGHFKNLIGGEIYCGDICSKDTLKQI-ESFNPDVIFHEAAVSDTTVSEQDEIIKINLNSFKDLLEIA---KNCSSKMIYASSGATYGNAPS-PQTVGRFENPGNVYGFSKLMMDELAKEFYAKFKTHIVGLRYFNVYGGG------EFFKNKTASMVLQFGLQILSGKTPKLF-----EGSDKILRDFVYIKDVINANKLAI-----NGISGIYNVGTANPRSFQDIADILQREIGVNLGNEYIPYTAQYQFHTQANIATTKNGLKYEPKWSLEDGIKD----------------- 137665873 ------IVTGGAGFIGSHIVEKLKRLEHMVVVVDNEYSDNDHWRKDTLNVKIDITDYKALKQ--ACTGADYIFHCAAEARIGPSIENPLNALNINTMGTCNVLQCAREVGAKKVLYSSTSSGYGLNE-APNIETQPDDCLNPYSVSKIAGEKLCKMYTDLYGLNTIIFRYFNVFGERA------PRKGQYAPVTGIFLRQKAAGEALTIVGD------GEQRRDYIYVKDVANANVM------------------------------------------------------------------------------------------------ 138589821 -------------------------------------------------EVGDIRKIPSETSLFKGV--DVVLHFAGIGDIVPSIERPDDYLEVNVQGTIRVLEAARLSGVRRVVYAASSSCYGLA-STPTSEDCPIDCRYPYALSKYLGESCALHWSRVYGLSVNSIRIFNAFGTRSRT------TGAYGAVFGVFLRQKLAGAPFTVVGDG------TQRRDFVYVTDVADA---FFRAATHSKSGHLWNLGAGNPQTINRLVELL------DGPVEYIPKRPGEPDVTWADISRIQADLGWQPRISFERGVA------------------ 141718800 ------LITGGCGYIGSRVTQHLLTLKHKVLVYDNFWFGNSKKHKNLKVVKGDIRNFDKL----NIKKIDVIIHLANVANDPTVELNPELSWEINVLASKIIADQAIKNKVKKIIFFSSGSVYGVKKERKVTENLKLNPISVYNKTKMIAERVFLSF--KDKIDIICLRPATVCGVSERLRLDVTVNKLTFDGFFKKKIFVDGG---------------DQIRPNIHIEDIVRIIDHFV--LSKKKFKHNIYNIGFENLSILQIAKMIQKKLGSKIKIN----RSKDIRSYRQDSSRLLK-TGFRPKYNVEKAIHQLINYF------------ 23009287 ----HALVTGSAGFIGHALSQRLLAAGHTVTGFDGFSPYYDVALKRARHIEGRLETPGALLDVMARTKPDLVFHLAAQAGVRYSLIEPGAYVEANLVGFANLLEAVRAHPVRHLLVASTSSAYGGNASVPFRETDRVSPLTLYAASKLANEAMAHSYAHLFRVPTTAFRFFTVYGP----------WGRPDMALFLFTAKILAGEPIEVFGEGAAERDFTYIDDLVD---------------------------------------------------------------------------------------------------------- 141147593 --NMSILVTGGAGFIGSNFLHHLVTTDEEIICIDKLTYAANWRYIPDKFYTIDIASKSACDIIFSRYKIKTVFHFAAESHVDNSIKDCSPFIHTNVIGTVTLLDLALKYEVDKFIHISTDEVYGSIEDGSFTEHTNYAPRNPYSASKAASDHFVMAYHNTHGLPVNITNCSNN---------------------------------------------------------------------------------------------------------------------------------------------------------------- 139313039 ---------------------------------------------------------------------------------SESINNPLEYYNCNVVNTIRLLEKMEKYGVKNIIFSSSATVYNPKSKSPLNEDHVYYPDNPYSQSKYIIEKILKELSCSRNFNVGILRYFNPIGCHSSGIIGENLVHEAGNLIPSIIKVINKSSPTEIYGNDYFTKDGTGVRDYLHIDDLVEGHIKALAYIKKNRGYHLWNLGSGKGYSVLEIIHAFEKELNYHLPYIFKKRRKGDIDQYWADIGKAKDELNWDSNFKLDQIVKNV----LNYTNIYSNK-- 136308793 MSKKKILVAGSSGMAGSAICRSLKKAGYG----DKKLKGK---IFTPQRSELNFLNLDSTKSWFRANKPTVIIAAARVGGILANSSKPADFLFDNLRIQNNLFETIKEFGIKRLLFLGSSCIYPKFSIQPIKEDSLEATNQWYAIAKITGIKLCESFRKQYGIDALSLMPTNLYGPG-----DNYHKYESHVMASLIRKFYEAKTLNQFEVNCWGTG--SPLREFLHVDDLGRAVIFALENWDDNGDTLSYNVGTGIDISIKKLAELIANEYGYFGKIKWDHNKPDGTPKKLLDITRIR-EMGWEPKITLEAGVKRTISEFKE---------- 239835368 MEKQSILVTGGAGYLGSVMVPALLAQGYKVTVLDSLLFGQDSLLDCCHYDGFDIADTALMESLVP--KFDVVIPLAAIVGAPACKLNPSLTTMVNHDAYLHLIKILSPSQRVVFPTTNSGYGIGE-KDAYCTEESPLRPISEYGLCKVEIEKAFLD-------------KGNAVSFRLATVFGMSPRMRMDLLVNDFTYRAFKDRFIILFEEHF-------RRNYIHVRDVAKAFLMALEKYDIMKGQAYNVGLSTANLTKRQLCEKIKEHIPDFYIHSAAVGEDPDKRDYIVSNDKI-EALGWRPDFDLDRGIRE----------------- 138968707 ----KILVTGTAGFIGHALALRLLARGDEIVGVDNLNDYYDVNLKKDRLSQLDLENAESMAELFATHRPQRVVNLAAQAGVRYSRENPKAYINANISGFLNVLEGCRHNPVEHLVYASSSSVYGASTGVPFSVHNVDHPVSLYAASKKSNELMAHSYSHLFNIPTTGLRFF------------------------------------------------------------------------------------------------------------------------------------------------------------------ 142476961 MSDKRALITGITGQDGSYLAELLLEKGYEVHGIKRRSSSFNSKNPHFHLHFGDLTDSTNIIRLIQLIQPDEIYNLGAQSHVKVSFETPEYTANSDAIGTLRILEAINLTNKVRFYQASTSEMYGLVQDELQSETTPFYPRSPYGVAKLYAHWITKNYRESYGIFACSGILFNHESFR-----RGETFVTRKITRDLCRVSLGRLETLQL-------GNLEAKRDWGHAKDYVRAMWMMLQ----QEKPEDYVISTMQQYSVRYFVELSAEYLGMNLRWEGEGMRDAEVDTLLGDSSKARRELGWEPEY------------------------- 134625557 --SRKILVTGGAGFIGSHLCERLIERGNEVVCVDNYYTGNIAHLLDNAYFELIRHDYIEVDEIYNLACPASPVHYQ---------RDPVQTTKTNVHGAINILGLAKRLKV-RVLQASTSEVYGDPTIHPQTEDNPIGPRACYDEGKRCAETLFFDYHRQHGLPIKVARIFNTYGPRM--------MPDDGRVVSNFIVQALRGEPITIYGDGKQTRSFCY---------------------------------------------------------------------------------------------------------------- 183222606 MKKKQTLITGASGFVGSYLLPALKTHGSYEI----------------HCFQGDIRSRETVEDQLKKVQPDILIHLAAQAFVPNAIANPWETEEINVGGTLNLLELHRLQKPCKMLYVSSADVYGNMDVLPLEESLLPKPVNPYAGSKLAAESFCRQYSEYSPVSVVIARPFNHIGIGQR---------KEFVIPNFCSQIIEAKYAGK---KEILVGDLAPTRDFSHVEDIVAGYLTLIERGES---GEIYNICSGEERSIRYMVEELVKFSGENIKFLVDESRVSETSRVYGNNQKLKS-LGWKNKHSLSETLKEIYD-------------- 296127558 -----IIVTGGAGFIGSNIVRGLNNLGIDDIIVDNLKNASKHKNLNRIKFK-DYMDKEDFDAAVNNNKIEAIFHQGACSDTME--TDGKYMMKNNYEYTKNILHVCLDKKI-RLFYASSASVYGNGDNGFEENEKNEYPLNVYAFSKYQFDRYLNKLFKENKVQSQVVRYFNVYGP------QENHKGRMASVAFHLFNQIKAGEKMKIF-----EGSENFLRDFIHIDDVAAVNNFFFE---NENKSGIFNCGTGNAESFVEIAKALREIYKSSEIEYIGFPQAGKYQKYQADLKKLRA--------------------------------- 142153687 --SMKILILGGHGFIGSHTANILKSQGHTIGVVDCYHQYYQEHCNADSVYSGKIEDPEFMENVFRQFRPDIVIHVATYPNAYMVKRNVIDATGNMITATAILLDLCVEYNVQRIVFASSSMVYGDFDSGPPDETAPCNPMTLYGSYKLQGEKMVRIWNKEKGLEYSILRPSALYGTR-----------------DMIVRVVSKLAQSAIKNGNMIVQGPDNKLDFSWVEDVGRAFATC--ATDPACANEVFNCTKGNGRRIIEAAELVQQRLGGE--IIAKPHDAFYPNRDTLNSNKLRELTAWNPSVDIEEGIPRYLDWFL----------- 137191532 --------------------------------------------------------------------------------------------------------------------------------------------------KLMVEMMLQDIAKSDEFAFAILRYFNPVGAHESGIIGEDPNGPNNLLPFIAQVAVGKLKQLAVFGDDYDTIDGTGVRDYIHVVDLAIGHLKALDKIATNTGALVYNLGTGNGYSVLQMVNAFIKASNQAVPYQVSPRRPGDIAACYAAPEKALNELGWEAKRGIDAMMQDTWRWQSNNPNGYSK--- 136037002 ----KFLVTGGLGFIGSNLVEYLINSGHKVINVDKVSYSSNKDNKNYKFFKLDLIKKNKLSKIIKKYKPNCIFNLAAETHVDRSIDSSEDFLKTNIIGMHTLLEILLENKKIRLIHVSTDEVYGNKGNLRANEKTAYNPKNPYAATKAASDHLIQSYVNTYGLKAIITNCCNNYGP--------KQNPEKLIPKMIFNIFNKKRLPLYGRGQ--------NSREWIYVKDHCE---------------------------------------------------------------------------------------------------- 137791164 --------------------------------------------------------------------CDTVLNLAALIGIPYSYISPSSYIDTNLNGTNNLLEVAKDQGVKKFIHTSTSEVYGSAQYIPIDEKHPLVGQSPYSASKIGADQLALSYHFSFGLPVSVLRPFNTFGPRQS---------ARAIIPTIISQIASKKKTIRL-------GSLKPTRDYTYVEDVAYGFIKSMNSKKNIGE--ITNLGTGFEISMGDLANEISDIMNAKIQIIEDKKRVSEVQRLVSNNSKAKKILKWKPKYSFRIALKKTINWYL----------- 143368423 MKGKTVLITGGAGFIAHHVIDKLRDTDWRIVCLDRLDISGNLNRLHDMLRDHDIIFHDLKAEINSQIHIDIVLHLAAGSHVDRSIQYPMEFVQDNVVGTVNMLDYARKNFPNKFVYFSTDEIFGVPPGVAYKEYDRYNSTNPYSASKAAAEEFCVAYENTYKMPIIVTHTMNVFGERQ------------HPEKFIPSTIQKVRDGDTVIIHADPTRTQAGTRMYIHAKDVAEGLMFILDNYKHQGDYGHAHCAKFNEIDNLTLAQMIADAQNKELIYEFHTSRPGHDLRYALSGELLKS-LGWEPKIKL----------------------- 296125184 FKNKNILITGHTGFKGAWLSKLLLEVGSNLSGINDLSLYNLLSLKQLNSHILDIRDVDNIKKTVLDINPDIIFHLAAQPLVIDSYNRPLYTFETNVIGTINLMEAMRQLNNLECAVMITTDKVYDNKEWVWRENDSLGGHDPYSSSKACTEIAIKSYKKSF------FKDLNIVSVRAGNVIGGGDFADNRIIPDIVRAIEK--------NIPVELRNPNSVRPWQHVLDVLYGYLLLAYNIINKNDVSDSYNFAPIDEGNKFTVEYITKIGKGSYKVNIQDTNKKEMNMLRLDSSLARKELKWNERFNTEEAIKQTAIWYKEY--------- 143757331 MNLETILVTGGCGYIGSHVSAYLLANGFNVLIIDSLVNSDKNTILKLRFKKGDLKNRKFLDKVFNECEIKSVIHLAGLKSISSSLKEPFDYWDKNISATLSLLSSMRKFNCFSIVFSSSASVYKPSMKNLLKESDELIPTNPYGNSKLTIEKILLSLFDKNKWRIASLRYFNPAGSHPSGLLEESPKGEPNLFPILMRVIKKKEKEVFIFGKDWPTH-------------------------------------------------------------------------------------------------------------------- 302671932 ---KKALITGITGQDGSYLAEFLLEKGYDVHGIIRRSSAHLEGHPNFHLHYGDLGDSMSILGVVGKVRPDEIYNLAAQSHVQVSFDSPEFTADVDATGVLRVLEAVRQCDTCRIYQASTSELYGKVEEVPQSEKTPFHPYSPYAVAKLYGYWIIKEYREAYNMFCCSGILFNHESERRGETFV------TRKITLAASRIAQGKQDKLYLGNLSSLRDWGYAKDYVECMWLILQNDKPEDFVIATGVQHSVREFHYAGIELEFKGEADEKGYDKATGKVLVEYRPTDVVNLLGDPTKAKEKLHWNPQTSFEELVKIMVE-------------- 83754650 -ENLKISITGAGGFIASHIARRLKHEGHYVIASDWKKNEH--MTEDMFCDEFHLVDLRVMENCLKTEGVDHVFNLAAMGGMGFIQSNHSVIMYNNTMISFNMIEAARINGIKRFFYASSACIYPEFTNVSLKESDAWEPQDAYGLERLATEELCKHYNKDFGIECRIGRFHNIYGP-----FGTWKGGREKAPAAFCRKAQTSTDRFEMWGDGLQTRSFTFIDECVEG----------VLRLTKSDFREPVNIGSDEMVSMNEMAEMVLSFEEKKLPIHHIPGPEG-VRGRNSDNNLIKEKLGWAPNMRLKEGLRITYFW------------- 141424528 --------------------------------------------------------------------------------------------------------------VKKLVFSSTAAVYSPSQKDSIAEEDETVPLSPYGATKMLSEKLISEVSKAEGLSSISLRYFNVVGSSK----LEFGDNSKDNLVPKVFAAFENGERPEIYGEDYSTPDGTCIRDYIHVEDIAQAHLFALWATERVQVDRVYNVGSGSGYSVREMMSQISKTIGVELNPKVVARRDGDAPRLIASTKKIEEDLSWKPKASLQEMIDSAWAAEKRYGRG------ 141109387 ----NVLVTGGAGFIGTNLIQRLVDDGHNVVSLDNYQTGKEENVEGCEYHKVDIRDGIDFD--FFMSAPDIVYHLAALPRIQPSFKYPELTFETNVLGTMNMCSWVREKGCP-IVYAGSSSKHGGIYK------------NPYTFTKHQGEEMVEMYHKIYDVPTAICRFYNVYGPHQLT------------EGEYCTVIGIFQKQYKEGVELTITGDGEQRRDFTHVYDIVDGFIKAGEWLIDNKGGE------------------------------------------------------------------------------------ 143423322 ---KNLVVTGGRGFIGSHFVEECLKKGYKIIDFDKVTYAASKTLPWDDHENY-THIKEDISEITHLPSCDAVVNFAAESHVDNSIKSSEVFVRSNIMGVHNLLELIRTYDRPLFFQISTDEVYGDTLDGMFIEDDKLTPSNPYSATKASAEMLVLSYHRTYGLDYIITRTSNNYGERQY----------KEKLIPKCLECLSNNKKIPVHGDGSYIRDWTYVKDNI----------------------------------------------------------------------------------------------------------- 255037702 ---KKALITGITGQDGSYLAELLLSKGYEVHGIKRFNTQRIDHIYEDPHEKGDLSDSTNIIRIIQEVQPDEIYNLGAMSHVQVSFEEPEYTANVDGIGTLRILEAVRLTEKTKIYQASTSELYGLVQEVPQSERTPFYPRSPYAVAKLYGYWITVNYREAYNMFAVNGILFNHESP-----LRGETFVTRKITRAVARIALGLQDKV-FLGNLDAQRDWGHAKDFVEAMWLILQQETPEDFVIATGVTTRIREFVRMAFFTGEGVNEIAKVVKCKEVVAVDPRRPTEVELLIGDPTKSMEKLGWKPKYDLKALVNDMVA-------------- 140083023 ---KKIIVTGGSGFIGSNLVNFLIKKKYFVINIDKLTYASDKNNKFYKFYKIDLNNKKKITKVIRKYKPKAIFNLAAETHVDRSIDGPKNFIDTNILGTFNLLESIRYKINTKLIHVSTDEVYGDIEGIKRSEDSNYEPSSPYSASKASADHLVKSYIRTYKINAVISNCCNNYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 136042668 FEKKRILVTGGAGFLGSHLCERLVAEGNEVICLDNYYTGSKNNIFHLMSNEHDICFYVEVDEIYNLACPASPIHYQ---------YDPVQTTKTSVHGSINMLGLAKRQNAKIFQ-ASTSEVYGDPEIHPQNESYWIGPRSCYDEGKRCAETLFFDYYRQHKLKIKIARIFNTYGPRM--------HPNDGRVVSNFIIQALKNKDITIYGDGKQTRSFCFVDDLI----------------------------------------------------------------------------------------------------------- 140359332 ---RNILVTGGTGFIGSHISSELIKNGYSLVIIDSLINSSASVVDRIDFYKGDIRDKLFLNNLFLKYPIDAVIHCAGLKSVSESISFPLNYWDVNVSGTICLLEVMEKFKCYKLVFSSSATIYGDSNNILISEDNFISPKNTYGETKASVEKFLENLISSNKWSFAILRYFNPIGAHPSGLLGESPKDPNNLFPYITQVANNERSHVNIFG-------------------------------------------------------------------------------------------------------------------------- 136970626 -------------------------------------------------------------------------------------------FKVTIVAFTYILEACRHNKVPHLTYASTSSVYGANTSMPFSEKHVDHPLQLYAATKRSNELMAHSYSHLFQLPTTGLRFFTVYGP----------WGRPDMALFNFTRNILKNEPIEVFNHGNHTRDFTYIDDIVDGVVLASDDIAQSDASWSSDHPRIFNIGNNQPIKLGEYIKAIEEALDKKALKKMLPLQPGDVQDTFADTSNIAQNLGYHPSTPVKEGVKQFVEWFLNNYQN------ 136132082 ----KVLVTGGAGFIGANYVRQVIEGENQVTVVDKLTYAGAKNLNEINFIEGDIRDKFLMERLVRDN--DAILNFAAETHVDRSINSPEVFISTNVSGTSTILDLVKKFEDKRFVQVSTDEVYGTLNEGSWSEESCLLPNSPYSASKASGDLICRAYSKTFGLDVLVTRCSNNYGP--------FQFPEKIIPLFITNLIESKRVP--------IYGTGMNVRDWLHVNDHCRAINLVL---LKGRSGEIYNIGGGRE--------------------------------------------------------------------------- 141888641 ----------------------------EVVVLEYPTAKIPEGFPDCEIIRADITDRGFIDSL-KITGIDAVLHLAAQSSGPRSFAIPETDIKINILGTLNTIDWCLNNGIKRLLFASSFVIYGDPEKEMLDEETVCIPKSVYATSKLACEHLLTTYAQLKGIQWSALRMFNVYGPGQD------ITKSDQGLVGIFMNMLLKQDSVQVKGSLLR------FRDLIHVDDVIQGWDLCLHSNVH---NQSYNLGSGMKTTFNDLIIAIAKVLGKEDRIEELPGTPGDMKGCYADIKKISDQLGYKPKYD------------------------ 32474847 ----RVIVTGSSGLIGSAAVRHWDALGNEVIGIDNDPDGSTKWNQSRLEQELDIRDRDGVLDLFKNEPPDLVIHCAAQPSHDKAAAIPFLDFEVNANGTLNLLEGTRQHAPEVFCHMSTNKVYGDPNELPLDEEEDYDGISESCASKTAADVLAQEYGKYFGLKTGIFRGGCLTGASHSGV-------ELHGFLSYLVHVAVTGKPYTIFG-----YKGKQVRDQIECSDVVKA-FEAFSKNPRPGEVYNIGGGRENAASVLECIQKIEDISGHKVEWTLGDDRKGDHICYISDLSKLRRDYDWDIRVSLDEILRQMIA-------------- 139778824 ----------------------------------------------------DISDEKKIRDLLKKEKFDLIMHFAGLVKVEESLLHPERYKLNNVDKAKTFIKACMECGLNKIIFSSSAGVYGNPSMEKLNESSELMPTNPYSKTKHEFEKYLLNLSKEKKIKCIILRYFNVAGADEKKRSGLVAKKSNNLIKSICEVATNKRSNIVINGDDYDTQDGTPVRDFIHVTDLAEMHTIAAE-NLDKKNSEIYNCGYGEGYSVKQVVLEMEKILNRSLNKKIGRRREGDIPYSVADTTKFKKE-------------------------------- 142601188 --NKKVFLTGNTGFKGSWITELLINLGAKVYGFENTEPCLYEILDLNSEIKSDIRDYNALDSSMNKFKPDILIHMAAQPLVRPSYHDPRYTYETNVMGTVNVLEVAKNINSIKSILVVTSDKCYKNLEVEYSETDFLGGHDPYSNSKACSDLIATSYYQSF----FSIKEVGLGIARAGNVIGGGDWSKNRIIPDLVRAYSKNKP--------LIIRYPNAMRPWQYVLDPIYGYLLLVEKIWEDQASFSGPWNFGPATDKNKSVEYVCKLWGKNSNWISEEDQMHEATLLMLDSEKAKKNILWKPKFDINRAIDQTIKWYLAYYDG------ 195161268 -RDKVALITGITGQDGSYLAEFLLKKDYEVHGIIRFNTTRIEHLYADPKAHGDMTDSSSLVKIINMVKPTEIYNLAAQSHVKVSFDLSEYTAEVDAVGTLRILDAIRTCGIERFYQASTSELYGKVVETPQNEQTPFYPRSPYACAKMYGFWIVINYREAYNMYACNGILFNHESPRRGENFV------TRKITRSVAKILLNQMEYFELGNLDSKRDWGHASDYVEAMWMMLQRDSPSDYVIATGETHSVFKHIGREITWQEEVGIERSTDTVRVRINPKYFRPTEVDLLLGDASKAKRELNWTPKWSFLQLVSDMMN-------------- 142584771 ITRKRILITGGAGFIGSHVCDALISNDNKLIIVDDLSTGY-ESNIEHILDKVDFYNEKIEEDLNSIGKVDGIIHLAAQTSVPLSIEQFKISSLTNIHGFLNVVDFCKEDCIP-LIFASSSAIYG-NLSIGNDESAEIDLISPYASDKYAMEIYGKMANRLFNLPNIGLRFFNVYGPRQD------PSSQYSGVISVFISQMKNKENVTIHGGH-------QTRDFIYVSDVVTGIILSMSHLFNKSTYDQINLLTGSSTSINELFRKLANILGYGQEPNIQS--------------------------------------------------- 224373675 -KNSTIVVFGGSGLVGSAIVRKLIEKGYKNIIA-TIHNRKADLPESVKQIKLNLLNENEVKEFFEKIKPEYVFLAAAVGGIVANNTYRADFIYENLQIQNNVIYNAYKYNIKKLMFLGSTCIYPKNCPQPIKEEELEYTNEPYAIAKIAGIKMCESFNIQYGTNFISVMPTNLYGENDNFDLEKSHVLPALIRKIHLGKCLEEDNKNPIGGFRDIWGSGKPMREFLYSDDMADACVYLMEKQSSAHNSHFLNIGTGKDISIKDLAYLIKDVIGYKGEFYFNTSKPDGTMKKVIDVSKLHS-LGWKHKVELEEGIKKIYEWYRN---------- 141220780 ------------------------------------------------FFKLDISDREKIKKIFMKHKFDRVIHLAAQAGVRYSLENPEVYIKSNQVGFFNVIDLACKFKVPHFVYASSSSVYGDNPKMPFRESNTDHPRQIYAATKKSNELMAYSYSSLYGLKTTGLRYFTIYGP----------WGRPDMALFKFTKNILRKKKITVFNK------GNHKRDFTYIDDAVNLTLLASDRISHKTPFKIFNIGSNSPIKLNKFISIIEKILKIKARKKMFNLQKGDMISTYSNSSKIFKKFNYFIKSNHYNNIAKFIYWYRDY--------- 78779698 --NSKVFIAGHKGMVGSAIFRKLDNLGYKNII-------------TVTKKDLNLEDANKVRQWFENYKPDIVIIAAAVGGINANNKYPVDFLLKNLKIQNNLIEASWLNNVNRLLFLGSSCIYPKFSTQPIKETGELEPTNEWYAAKIAGIKLCQALRKQYGFDAISLMPTNLYGPGDNYNLSSSH-----VLPALIRKFYEGKENNLNEVTCWGTG--NPRREFLHVDDLANWHPSLPEAPKDSRNQTFLNVGTGNDISIKELANLIAKEIGYCGKIIWDQSKPDGMFRKQLDVSNIIN-LGWEPKIKFSDGIKDSI--------------- 255038347 -KGKKVFLTGHTGFKGAWMLSWLHALGAQVKGYENEYDLYNVINGDDMCESVDIRDRERLKNEIISFSPDFVFHLAAQPLVRLSYEIPAETFDVNAVGTANVLDAIRFDKPCAGIFITTDKVYENQEWQPYRENDRLGGYDPYSASKACAELIISSYRNFFNINSHSAHQKAIASARAGNVIGGGDWAKDRIIPDIIRALRQD--------QPVSVRNPLSVRPWQHVLEPLGGYLHLGTKLIDDPTTFSDAWNFGDNLTVEELVNIALKAWGKGAYDKPGVGQPHEAGLLKLDISKTVANLGWKPKFTARVAIQNTLEWYLKTEQG------ 307198939 -DNRKVLITGITGQDGSYLAEFLLEKGYDVHGISSFNTARIQHLYEDPKHYGDMTDSSSLIKVISQVQPTEIYNLAAQSHVMVSFEVSEYTAEVDAVGTVRLLDAIRTCKSIKFYHASTSELYGKVNCVPQNEKTSFYPRSPYACAKLYSFWIVVNYREAYNMFACNGILFNHESPRRGENFVTRKITRSIAKIQLGLLDVLE------LGNLDAKRDWGHAKDYVEAMWLMLQQTEPDDYVIATGETHSVREFVEVAFNIKWEGEGVNEVGRDEQTGKILVRRPTEVDILMGDASKARNKFGWKPTITFTDLVKDMME-------------- 238898044 ----RVLILGVNGFIGNHLTERLLQSDYEVYGLDIDSEARLMSNPRFHFMEGDISIHSEWIE-YHIKKCDLILPLVAIATPIEYTRNPLRVFELDFEENLRIVRYCVKYH-KRIVFPSTSEVYGMCHDKAFNEDTIVGPINKQRWSKQLLDRVIWAYGEKEGLKFTLFRPFNWMGPRLDNLHSARIGSSRAITQLILNLVEGTPIKLIDGGE--------QKRCFTDINDGVEALFRIIENGESCNGKIINIGNPHNEASISKLGRMLLESFEKHELRHHFPPFAGDVEHRTPSIHNARRLLNWAPRISIEETIEKTLDFFLR---------- 140073592 --------------------------------------------------------------------------------------------------------------------------------------------------------------------VMLLRYFNPVGAHHSGHIEDPHGIPDNLMAYIAQVAVGRRKKLKIFGNEYDTPDGTGVRDYIHVSDLARGHVSSLDYISHLRGVEAINFGTGRGYSVMEVLSAFSNVTGKKIPHEIAPRRPGDIATSFADTTKAHSVLNWEAKLGLNDMCKSVWRWQQQNPRGYKND-- 141716080 --------------------------------------------------------------IFNKYRPIGIFNLAAETHVDRSIDSPYNFIKSNILNFFNFLECFKKYPQTKLIHVSTDEVYGDVFKGRSSEYHSYKPSSPYAASKAASDHLLRSYVRTYKIPAIVTNCSNNYGPN--------QHPEKLIPKMIFNIIKNRNLPL--------YGKGRNSREWIYVEDHCNALIKVFKYGRL---GEFYNIGSNQNLTNYQIINFLIKIIGNNVKIKYVQDRPGHDFRYALNSNKIKKNLKWEPKVNIALGLNKTFSWYLNN--------- 85858957 ---KRILVTGATGQIGSELALALRQAEAKVVAAGHRRRPDAELLESGPYCSFDVRDGETLQRIVQEYRIDTIFHLASLLS-AAAEKNPQSAWEINMKGLTNVLETARISGCAVFFPSSIGAFGPGTPLENTPQLTIQRPATLYGITKLAGELLCDYYFHHFGVDAR--------GLRFPGLISYKTPPGGGTTDYAVEIFTAALTE----GRYTCFLRPDTRLDMMYMPDAIGAAMILMEADGQRLIHRNAYNVTAMNFTPEEAVQILRFVPGFDIRYDVDPIRIADSWPRHMDDSAARTEWGWQPRYDLAEMIEH----------------- 138547044 MKS---LVTGGKGFIGSNLVDELVRQGHEVIVIDNSSDGNFYFNDGADYYDYDIRDEEATRSLYEGV--DYVFHLAAEARIQPAIENPIQAVSINSVGTCTILQLAREAGVKRVVYSSTSSGYGMNE-PPNVECQPDDCLNPYSVSKVNGEKLCKMYTDLFGLETIIFRYFNVYGRRQ----------------------------------------------------------------------------------------------------------------------------------------------------------- 136125647 ---KKVLVTGGSGFLGRRIVEECQNLGYEV-----LSPRSSEM---------DLYDLQSLKKFIKNNSPEVIIHSAAYYGIGICNAEPANLFHNNAVMTINLYKAASECGVDKIVAVGSACAYPALVDGNMSEDNFWDGAFHHTVEAYGSSKKIQAYYKQYGLKGNHLILTNLYGEHDVFTEYRSHVVAALIKRFSDEVEKGSDK-------IVNWGDGSPEREFIYVGDAAKALALSVEL---GHDLKPINIGTGIGTSIKELAEIVAENLNFTGKLEWDTSKPNGVARKVLDVSRMKKVFNFTP-LAFNKGLKKTLDWYLKN--------- 136460603 MSHKAAIVTGAYGQDGSYLCELLLEKGYDVKCLVRRV---INTNSRIHFYEGDILDQSIIHKMCEEYERVEIYNLAAQSRVHTSFTCPNYTFETNTMGILNILESVRYPSKYRIYQASSSEMFGKVRESPQNEETPFYPRSVYGVSKVAAHWLVKNYRESYGLFACSGILFNHESPRRGPDFVTKKITD------GIKQVLGGEREFIELGNLNAERDWGHAKDYVEAMWLTLQQEEADEYVVATGETEMCLREIGKEVIWENEAEKEVGLVDGKVIIRVSPKRPCEVDTVIGDASKIKKI-GWIQRNTLQDLIRDM---------------- 309789523 ------------------------------IAMDNLITGTTDNIAHLAGHEHDVTNYIYLEGAL-----DAILHFASPASPIDYLELPIQTLKVGALGTHKALGLAKEKGA-RFLLASTSEVYGDPQVHPQPESNPVGPRGVYDEAKRFAEAITMAYHTYHHVETRIVRIFNTYGPRMRLRDGRV--------VPNFIAQALRGEPLTLYGDGMQT------RSFQYVSDLVEGIYRLL----HSNEVEPVNIGNPGEFTIKEFAELVNQLVGNQAGTVTRDLRKDDPQVRQPDITKARTILGWQPTVSLREGLEQTIPWFR----------- 256753503 --KKKVLITGNNGVLGRNLVKYLHENGYELVLFDI--NQNSSEFSNFQVYKGDIRKKEDVEKVIG--DIDIVVHCAGAS--PSYEEAQIYDIIINGTSNLLEC-AFTTGKVERFVYISSTSVYGVPEKAPIYETDEVKPYDPYNRSKIETERLC-DHWRSKGHCVSVLRPRSFLGPERLGTFGILYEWASEGRNFPMLGPGKNKYQLLDVEDLCQAIYLAISVDANNANDLFNIGAKEFSTIKDDYQSVLDAAGFNKKIKCMFFILNILEKLKLSPFYKRLYLKLN--RNYYVSIEKAEKKLGYKPKHSNKDSLVRNYRWYLENRNKIGNK-- 139495112 ---KKIIVTGGLGFIGSNLIDLLIQKKFFVINIDKITYSSNFYNKKYIFIKCDIEDK-KIEKILYKHKPVAIFNLAAETHVDRSIDNPKKFIESNILGTFNLLECFKRYFKSRLIHISTDEVYGDVLVGRSSENYPYNPSSPYAASKASSDHLVSSYIRTFKIPAIVTNCSNNYGP--------KQHPEKLIPKMIYNILNNKPLP--------IYGKGKNSREWIFVKDHCEALIKIFKK---GKVGEFYNIGSNKNLDNLQVSKKLIEISN------------------------------------------------------------ 135242882 -KNKKAMVTGGSGFIGSFVVDELLKRGAHVSII-AHSLDRERDNIAHVLEKIDIIEGNLLDEQFVCHNQDVILHLASYKNIAFHQKYPADILRTNTLMNVNILEAARKNNLQRILMMSSGIVYGREARVPNTEDGFLGDVEPAHWSKRFSEILTEAYHNQLGMQVAIARPYNIYGPR-----DNFDPESAQVVPTFIRRILNKEDPFVMWGD------GNQERSFLYVADLARGLLDLLEKY---PECDPINFGTDETIILKDLAKMIMNIEGVNLDIRFDLSKPGGLSKRNCDNKK------------------------------------ 162453145 -AGRRVFVTGHTGFKGSWLTAWLRLLGAQVTGYSLPPEGAPSLFADARIDDGDVCDADHLARAMGEAEPEIVLHLAAQALVRRSYARPLETFSTNVLGTAHVLEAARRLPSVRVVLVVTTDKCYENHEWVWRETDPLGGHDTYSASKACAELVAAAYR-----QSFYGREVALATARAGNVIGGGDWAEDRIVPDIVRGVAA--------GKAVRIRHPRAVRPWQHVLDPLSGYRRLWDDPLRYSGAWNFGPDDAEPRTVRVLAEAIVRELGRGSLELAEPERLHEAGTLRLDCSKARSRLGWRPLLDTDRAIQLTSAWYARY--------- 139955326 ----KILITGSAGFIGFHVSKFLLEKKNIIYGIDNLNSYYDIKLKKERFFKTDINNKKNIEKILSEHKIKYVIHLAAQAGVRYSILKPDIYVKNNITGFFNILDACKNTKIKHLIYASTSSVYGNDVNQPSKESNYTDPLSFYAATKKSNEIIAYAYSNIYNLPTTGIRFFTVYG-------------------------------------------------------------------------------------------------------------------------------------------------------------- 141622825 LRTMRILVTGGAGFIGSAFIRKFIQNSEISICIDSLTYANIDNHENYQFHQISITDEEKIREILHKFKPQYVLNFAAESHVDRSISNPKVFLETNVIGTYSLLSGCFEYYKFKFHHVSTDEVYGDIEAPSASEDSNYKPSSPYSASKASSDHLVNAWHRTYKLPISITNCSNNYGP--------YQFPEKFIPNSIISLLNGE--------QVRIYGDGTQIRDWLYVDDHVEAIFKVL---------------------------------------------------------------------------------------------- 136036921 MKKKKILIPGGTGFLGYHLALFCKKKGWIIHSLSQFKPKKNRKVPGVKYIFCDIRNKKRLKDKLNNY-YDYIVNFSGYVDHSKNKSIT----RIHFQGCKNLVSNFQNNKPRKFIQIGSSIEYGKRKSPQKEISRKIDTLSVYGNAKLKSTLFLLSLFKKQSFPSTILRLYLVYGPNQDNN-----------------RLIPYVISNSLKGKKFNCSPGNQFRDFTYIKDVITAIYKTIKSKKSNGEVINI--GFGRPIKIKDAIQKIVKYVGKGEPISKLKIRNDEPLKLYPNINKAKKILNWSPKISFRKGIKKTIKYY------------ 195437412 -RDKIALITGITGQDGSYLAEFLLKKDYEVHGIIRFNTTRIEHLYADPKAHGDMTDSSSLVKIINMVKPTEIYNLAAQSHVKVSFDLSEYTAEVDAVGTLRILDAIRTCGMEKFYQASTSELYGKVVETPQNEQTPFYPRSPYACAKMYGFWIVINYREAYNMFACNGILFNHESPRRGENFV------TRKITRSVAKILLNQMESFELGNLDSKRDWGHASDYVEAMWMMLQRETPSDYVIATGETHSVFKHIGREIIWREEVGVEKDTNIVRVRINPKYFRPTEVDLLQGDASKAKRELNWSPKVSFSDMMKADIELMKKNP-------- 137393983 MSGRNVLITGGAGHIGSHLTATLLEKGANVRIADNLWRGKKEYLLEKDFFEIDLREMKNCEEAVKGM--DTIFHLADVVAGIDYVFDNEAFYRSNVLINSNMFEAARKEKLEKLAYVGAACAYPDPNYPLFKEQDMYHPESSYGWGKLMGEYECELYSKSGLLDASILRLHNVYGPNSDLSKEKSQVIPATIRKAILHPEE----------DFIIWGNGEQSRAFMYVTDIVDALILSMEKGINKGP---IQIGNSTKTTINEIADAVIEISGKNMKKNM----------------------------------------------------- 142012631 -TGKKILVTGHTGFKGSWLCTWLLNLGAEVTGIDVPSEPSLRLSEKITDIRGDVRDLNNLKNALADQNPDLIFHLAAQPIVRRSYDDPVETFTTNAIGSMNILEAVRESDSVKSMVMITSDKAYRNVEWIWKEDDLLGGEDPYSSSKGCAELIFYSYVNSYFKNNEKLSKLTT--ARAGNVIGGGDWAKDRIVPDIVRSFSKD--------NTLSIRAPNATRPWQHVLEPLSGYMMQVSRTDLNHESFNFGPRDDVNQNVLRLVEEFSKYWDSGSWEVNEDNTKPEAGLLKLNCDKAHAMLDWKAILSFEETINFTGEWYKAYFK------- 140276228 LMNKKILLTGGAGYIGSTVAHLLIENGFSVTIIDSFITGNKKLVPKKAKLIVDIADEKKILSRINLKSFDLVMHFAGLIRVDESLKKPKKYIIYNYHKSKVFIKMCLKNSLNNIIFSSSASVYGNPKKKKISEKHELNPKNPYAESKLKIEQFLLNNSKKTPINYIILRYFNVAGADKKMRTGIISKHSSHLIKLACEVAVGKRKKLVING-------------------------------------------------------------------------------------------------------------------------- 134793585 --KKTALVLGAGGFIGSHMVKRLRKDGYWVRGVD-LKYPEFSETEANEFIQGDLRDVEFVRRVIQYYQFAADMGGAGFVFTGENDADI---MHNSVSINLNVLEEVRKTECVRPILNQPTKIFYSGSACMYPEHNQLDPNNPDCWEKLFSERLYLAYSRNHGIPVRIARYHNIYGPEGTWNGGREKAPAAICRKVANAED---------GGTIEVWGDGEQTRSFLYIDECIEATRRLMDSDF----IGPVNIGSEEMVTINELVDIVTKISNKTIVKKHIDGPLG-VRGRNSNNDLIREKLKWDYSMSLEEGIRKTYSWYRQ---------- 135598584 ---KKIFLAGHNGMVGSAIQRLL-------------KYRKNIKLITRTRIDLNLCDQKAVKNFMKIERPDEVIIAAAVGGIHANNTYPADFIYENLQIQSNLIHCSHLQNIEKLLFLGSSCIYPKYAKQPMKEDSSLEPTNPYAIAKIAGIKLCESYNRQYGRDYRSVMPTNLYGPG-DNYDMENSHVVPSLIRRFHEAKINCQETVTVWGTGNPRREFLYVDDMAEASVFIHELDRVKFENNIKKMQSHVNIGTGIDLTIKELAHIIKQVVGYQGKIIFDTTRPDGAPRKLMNIDLIKK-LGWNAKTILRNGLSLSYNDYL----------- 135269796 ---KKIVIIGGAGFIGHNLALALVNEKFKVEIIDNLEINNLGSLKKNINHKQDAKNFLKIQTLLDKIQPNIIIHLAAVSHANRSNKDPHTTFE-NSFKTLEHVLEYSKNKVDHFIFSSSSMVYGNFNNLEAQEDQKCSPIGIYGALKYASEKLIISYNQVFDLPYTIIRPSALYGERCISRRVGQIFIESALNGSKIMINGDGKEKL----------------DFTYIDDLVQGFIKAV--KNKKSYNQIFNLTFGKSRSILEMMEILKDEF-PNIQIEKIPRDKLMPIRGTLSIDKAKELLNFDPKWPLEKGYPKYINWY------------ 139462651 -KRKKFLVTGAEGFIGSHLVERLLKLDYEVLVLYNLSEISAEFREKLFIFFGDVRDFATVDAAMKGC--DAVIHLASLITIPYSYKAPTSYFETNVIGTVNVLTSARKYDIKRIVHTSTSEVYGSAQKIPICEDHPLVGQSPYAASKIGADQAAYSFFASFEVPVVIARPFNTFGPRQSG--------------------------------------------------------------------------------------------------------------------------------------------------------- 142322472 -----------------------------------------------------------LNSSIIRFKPSIIIHLAAQAGVRYSIKNPKVYLNSNIIGTYNVIEVAKKLKVKHLMIGSSSSVYGSNKKFPFQEIDKTDNVSLYAATKKSTENLAHSYSSLWKLPVTILRFFTVYGP--------WGRPDMAYFKFTKNIINGKK--------IDIYNKGKMYRDYTYVDDIVDGVFKLTNKAPKINNSKKYNIGNTKKILLLDFINTLEKKLEKKAIKKFMPMQKGDVFSTLSDTSLLKRITGYNPKTKYQDGIQKFLNWYLNYY-------- 143930836 ---KKIIITGGAGFIGSHLIEKLLNRGVEDIVIDDLSTGKNSNINKITFINKKVEDVDNLSELFRGFDFCY--HLAAGVGVQYIMENLSQSLLTNIMATHEVFEACKDNDIPVL-ITSTSEVYGVSEDKEWTEESRIGPTTSYAASKMIDEFLALSEYEEKKLNPIIVRLFNIIGPN--------QLSEFGMVVPKFIDAALNNENIVIHGD------GSQSRSFTWVEDVTDYFIKLAEM---KAYGQVFNIGQTQEITIKDLAELVINMCESTSEIKYIEDKFEDPTRRTPNIEKIVKFTGISPSENIESMIKKIVA-------------- 136001408 ---KKALITGITGMVGSHLLDYLIDKTDWEVSLENITRHIPEINKNKRIHYADLIDLASLESICSEFRFDYAFHLAAQSFPKTSFSAANHTYSINIIGTENIMYALHKYSPNAIVHNCSSSEVFPKEYVPIKENTPFHPASPYAISKCGTDLIGRYYAESKNLNVQTTRMFTHTGPRRGDVFM-----ESTFAKQIALIESGFIKPIIHVGNLESVRTIADVRDAVRA-------YFMLVTAKNLTPGEVYNIGGSYTTTVKEILEYLMSLSDLRYEIEESRIRPIDADLQIPDTSKFKELTGWEPEISYERTISDLLDYWRD---------- 240167882 ----KILVTGSAGFINGYVVEELLRAGHDVVGIDNYSSKSYDDHPRYHFVEGDVKDVDLMFRLVEGCDVASAARIGGITYFHEYAYDLLAENERIAAAHFDTIYAYRKGWLKKINVISSSMVFENATVFPTPEKHITEPTSTYGFQKLACEYFAHGAYEQYGLPYTIIRPFNCVGTGEQRALGGHEIPSGNVKAMSHVVPDLIQKVAKGQDPLHILGDGTQIRHYTYGGDLARGIRICMEHPAAL--NGDFNLSTPEATTVLELAEVIWRKMRPDTPFRYESDPPHDVQLRSPDVHKASEVLGFEATTTLDAMLDEVIPW------------- 140059986 ----KILVTGASGFVGSYVTERLLKDGNEVNILDNLSD---DILENCNIFEGDIRDEDIISKAIR--DCEIVYHFASLVGVDAYSKYKVLTMEIEESGLKNICQHAIENRCQKLIYPSSSAVYGQVVESALNEEILSAPISNYAIAKRYNEIYLESLYEEQKLNSVCLRIFNVYGPRQDERLAIPKFIE----------KALNNEPIEIFGDGRQT------RDFVYIDDVV---TAAIYAAKKINGYEIINVASGTENSIQSVAKSVIENIQSTSYVHYKTLPKNEVNKCIGDITKLKRL-------------------------------- 136054514 --NKTALITGITGQDGAYLATFLLNKNYRVIGCYRRSSTVNFWRLLELKVDLDITDPMNVYSVVRKYRPNEIYNLAAQSFVASSFENPVATTNINSLGPLYFLEAIKIDKNIRFYQASTSEMYGKIQNPLQDENTPFYPRSPYAASKLYAHWITINYRESFGIFAACGILFNHESP------LRGEEFVTKKITLAVAKIKYGLQKTLYLGNLNARRDWGYAKDYVEGMWQILQAEKPGNYVLATGKNETIRNFAMLAFRSADMEIKFEGEKENEIGIDIKTYRPAEVDTLLGNPKKANDELNWKATTSLDKLAEIMVEYDLQ---------- 143600084 ----KALITGITGQDGAYLAQLLLEKGYEVHGTVRRSSSINTERIDGTLHYSDLLDSSSITNLLNNILPDEVYNLAAQSHVSVSFINPIFTTQIGTLGSISILEGIRHNKDVKFYQASSSEMFGGSSKEPLNEQSLFDAKSPYGASKVFAHEITKIYRESYELF------------GVNGILFNHESPLRGETFVTRKISKAVGRISVGIQDRLTLGNLDASRDWGYAKDYVEGMWKMMQHNTA----EDWVLATGVTKTVKEFAEAAFNVVGLSWDISEKYFRPNEVDYLVGDATKARKTLGWKPTVDFDELVKIMVEY------------- 194336251 -----IVITGGAGFIGSAMLWELNRRGEEVIIVDDLTTTEKWRNLSGLHDFIPIELFPGLLERNALEGISAIIHMGANSSTTETDADHLLA---NNFGYSKKIASFCMQHEVRLIYASSAATYGDGSNGYIEGMAALRPLNMYGYSKQLFDHWAVKHHILN--HAAGLKFFNVYGPN------EYHKGDMSSVVYKAFHQIGEHGVLNLFQSHRPYRDGEQSRDFVYIKDCTRIMAWMLETPSATG---LFNIGCGTPRSFNDLAAATFSALDRQILINYTPMRDKYQYYTCADITRLREA-GFSETTSIEDGIREYVQHYLA---------- 139170791 ------LVTGGAGYIGSCVSQYLIDAGQRVIIIDDLSTGLKKNIKKSKFYKTNINNLKNINKIFKNNKIDVVMHFAAYTNNEESILNPKKYVNNNFINGKIFFSYCIKKGINKIVYSSTAAVYG-NKNKKVAENEDLKPLSPYAISKLKLERYLEKNKKR--ISCIILRYFNVAGAD-KNLRCGFNTNKGFNLILNLCRAVSKSQSFKINGQNYNTKDGTTIRDFIHVSDLA----------------------------------------------------------------------------------------------------- 140454488 ---------------------------------------------------------------------------AAESHVDNSIKNPLGFAKTNIQGTLNLLEVARQNWQKRFYHISSDEVFGLGIEGQFNEDSSYNPRSPYSASKAASDHLARAYYHTYGLPVLISNSSNNYGP----------AQHTEKLIPLMIQNIVNQQSLPIYGKG------ENIRDWLYVEDHAEAIDLILHKGVI---GESYVIGGNNEQQNIDVVQRLIEIIDRKSLVNFTADRLGHDYRYAIDASKIKQKLGWEPKTSFELGLEETVKNYFKN--------- 137620700 ---KTIFVTGVAGFLGSHLSEKLVNLGHKVIGIDNMLGGYEDNVPKNIFHKGDCCDYEKIKSLMKGV--DVVYHCAATAHEGLSVFSPYEITKNNYLASVSIFSAAVNEKVKRIIFCSSMARYG-GQMTPFTEDMKPSPVDPYAISKVAAEEVLRNLCELNNIEYVIAVPHNIIGPRQ----------------------------------------------------------------------------------------------------------------------------------------------------------- 135346516 -KNSKIFVAGHNGLVGSSIVRKLKEKGYKKIITVNRS-------------KLDLLNQSKVFNFLKKKKPDFIFIAAAKVGGIYSNNKYADFIYSNLCIQNNLIHSAYKCGIKNLIFLGSSCVYPKFCNQPIKESYLLNTNEPYAVAKIAGIKMCESYNYQYKTNYKCLMPTNTFGPNDNYDLLNSHFFPALLSKIHKAKKYKKKF-------VEVWGDGSPKREIIYVDDVADACVFFMNKRIKE---TMINIGTGKDYSIKFYVSLINKIINYKIKIKYDKTKPNGTPRKVLSINLAKKY-GWIAKTNIENAISTTYTDYLK---------- 144103255 -KKSKIFVTGHKGLVGSAIIRRLDYFGYKNII-------------TVDKKILDLRNQKKVFEFFKNTKLDGVINAAALVGILANSKYKANFIYDNISIQSNIIHGCFLNKINNLIFLGSSCIYPKKSKIPIKEKYLLETNEPYAVAKIAGIKMCESYNYQYKTNFKCLMPCNLYGSNDNYNLQTSHFFPALIKKIYLAKKMNKKR-------IIVWGSGNPKRELMHVDDLADACIFFFKKKTKQS---LINVGTGKEKKIVEYVNFLKRKMEFNGRIVFDKSKPDGTMRKVIDSSIAHRY-GWEPKITLSSGFDLTYKNFLEN--------- 134638012 MKNKVALVTGSAGFIGSNLVDDLLKKNYYVIGVDNFRTGKKKFIKDHVFKKIDLTLKKKLINI-SKHKIDIVFHMAANADVSKGHLNPLNDLKFNTIMTSNILEFVRKKNIKEFVFCSTGSVYGETKTIPTPENDKFPQTSMYGASKLACEGLIQAYSEAYNIKSYIFRFVSILGPRYT---------------------------------------------------------------------------------------------------------------------------------------------------------- 34557092 LENKTILITGGAGFVGSNLAFYFQKHHARVIVFDSFRSGHFKNLLGGEVIAGDINSPQDLKRL-EAYPLDYVFHEAAISDTT--VMNQELMIRSNTNAFKDLLDLCVKKGAS-LIYASSAGTYG-NTKAPNSVGANEVPENVYGFSKLMMDNLAYTYMREYNLQIVGLRYFNVYGER------EFHKGKTASMILQLGLQALEHQRVRLF------KFGEQKRDFVYIKDVVQANVKAM----KSQKSGVYNVGYGKARTYNDIVEALRVELGAFEVEYIDNPYRFFQNHTEANIAPTKQFLGYEPRFSLEAGVKSYVD-------------- 143147448 -------VLGAGGFIGSHMVKRLRAEGYWVRGVD-LKYPEFAPTQANEFVQGDLRDPTFVRRVIRFYQFAADMGGAGFVFTGENDMHNSVSINLNVLEEQRKFNEIVGNNTKIFYSGSACMYPEDPDNPDCRESSAYPPDSEYGWEKLFSERLYLAYNRNHGIPICIARYHNIFGP--------EGTWEGGREKAPAAICRKVAYLPKSGGAIEVWGDGLQTRSFLFIDECIEATWRLMQSDFQ----GPVNIGSEEMVTINQLVDTVAKVAGKDVNKIHIDGPLG-VRGRNSNNDLIRENLGWDYQMTLEEGIRYTYNWILE---------- 136550469 METKTALITGGAGYLGSHLAKTLKKAGWKVVGL-----GHKRHTLFDMMHYADIRDQDALHDLFSRIKFDVVIHLAARIEAGISFEQPTEFYSVNTGGTCNLINVMSHHGVKNLVFSSTAAVYK-AKNSPIFENDEKFNNSPYGNSKLCAEQAIEA----SGLNYVIFRYFNLTGADL----------------------------------------------------------------------------------------------------------------------------------------------------------- 139224908 ---KKILVTGADGFIGSHLVEKLLEKNYEVKALCQYNSQSSWGWLENININGDIRDFNFMKNSIS--DCDTIFHLAALIAIPYSYVAPRSYVETNIIGTLNILEAAREKNISKIVHTSTSETYGSAQFVPIHELHPLVAQSPYSATKIGADQLAISFFKSFETPVTILRPFNTYGPRQS---------------------------------------------------------------------------------------------------------------------------------------------------------- 143243010 ----------------------------------------------------------DLKRVF-HEKPDYIFHLAAFFANQNSVDYPERDLLVSQLGTVKMLEAVLQGGIQRFVYAGSCAIYGAQAPLPLKEEFISMHLSPYQISKMAGELYCNFYWHHYGLPIVKTRFFNSYGPG------EVPGQYRNVIPNFIYWAMKGQP-------LPITGDGKMTRDFTYVEDIVDALMRAGTRKEAIGQEMNIASATETEIV--EMANTVNQLTGNKAGLSFTDRRKWDTKRLLASIDRAKELLGYDPKTDFTTGLGKTIRWFEENWEAIQKNAE 139714384 --------------------------------------------KNAKFVNADISDNKIINQLLVENNFDLVLHFAGLVKVEESIKHPEKYNLYNYEKAKLFFQLCINNKLNKFIFSSTAAVYGDDKNEKIKENDKLNPNNPYAKSKHKLENFLLNLSKEKKANCIILRYFNVAGADINLRSGLSSKGSNNLIKVLCELATKKKDKILINGNNYNTEDGTPVRDFIHISDLSEMHFLVALKLVKENFSDIYNCGYGKGYSVLQLISEMESLCNRKFNKNIGPRRTGDIPYSVANTDK------------------------------------ 142507690 --SKKVLLTGGAGFIG-HLVEVLEETDWSITVIDRLSYARIEYVLSKYDEKHDLKAETHEGIVEDLANVNIILHVGASSHVTRSVKNPSIFIQDNVVGTFNLLEARKIDNLELFYYFSTDEVFGPDENAKFLEWDRYNSKNPYSATKAAAEELTIAYANTYSIPSLITHCSNVYGERQHS---EKFIPNTIKKVLAGEEVLIHTDANNNPGERYYIYNEDLSKTILFLTKNYEEVRTKA-FEIQKKEPTKVNITGSSLVSNLEVAELISKKLDKKLNYKLDPERPGHDIKYGLNNELLESLNG-VYDREFKDGIVNTVDWYLENKKWL----- 57238462 -KNDKIYIAGHRGLVGSAILRKLKDNGY-------------ENLIYKTHSELDLTDQSAVKFFFEKEKPDFVFLCAALGGMDAHRKFRAEFIYDNLQIQNNVIHQSYINNVKKLLFISSTSVYPEHASLPIKEECLLSGHEPYAIAKIAGMKMCEAYSDRYGVNFISVCPTTLYGPNDNFDIESANVVSALMRKIYLAKLLKEKRYDLVLNSIEIWGSGNPKREFLYSDDLANACIHIMQNINIEHDNPHINIGPEKNYSIKELAYLLKTVIQFEGEFRYNLSKPDGTYEKLTCCDKIKQ-MNWQAYTKLEDGIRSTFHWYKSN--------- 134464245 -KTKIILITGAAGFIGFHFCRQILDKTFKVIGIDNLNNYYSVKLKKDRIKNIDISDFTQLKKYFFKNKIDIIFNFAAQAGVRYSLVNPDSYIKSNLKGFLNILEVAKVKK-TKVIYASSSSIYGDQKKFPIKENFIGSQKNLYASTKAINEDLANIYHKHFKIPLMGLRFFTVYG--------EWGRPDMLYLKYLSAIKKGKIINLYNFGNH--------TRDFTY-IDDVSEILLKLAHIENKKYFRNNIMNICSGKPIR----------------------------------------------------------------------- 302669766 ME--KIIITGISGFVGHHFLQYLYDKEMDVLGLDIYNTDKYGDILQISIQKVDLLDVNSVERIIQEYKPDYLLHLASFSSVAYSWEHPTESFMNNTNIFLNVVNAVKYVPTCRILSVGSSEEYGNKDDIPIKERQRLIPTSPYGVARVSQEMLSKLFVDSFGLDIILTRSFNHIGPWQD---------ERFAIPSFVRKILDIKDRGLLKGT-IVTGDISVIRDFIDVRDVVRAYWLLLQRGT---KGEIYNICSGKGRSLESVIESIATHLDLQIECSIDAKRPNENPIIIGANYRIYDSVGWKPEIPFDKTIDDIIN-------------- 135910117 ----NILVTGGFGLLGKPLVHKLITLEHNVIILEKKSTDREKFLELKPIISGDFLNKDLVGKILKKFKINVIFHLGAVTQVLESLKDPYTTHNVNIMGTINFLENIRKINPNIFIYSSSDKAYGLNKRNFYKETDCLASEYPYDISKSASDLICQSFSKTYSLKVGIIRCGNIYGPGDFNLKRLIPEVILSSIQNKKFVIRSNGKS---------------TRDYVYVKDVVSAYISLMKKLIKSKDKLKIYNVSSKNYSVIEIVRFILKEMNCLHPIIMNNSRQ-EINFQRLNFSKISKELKWFPKTKINKGISETINWY------------ 143214040 ---KTALVLGAGGFIGSHMVKRLRNDGYWVRGVD-LKAPEFSKTEANEFVYGDLRDVDFVRRVLQYYQFAADMGGAGFVFTGENDMHNSVSINLNVLEEQRKFNESYNVNKTKIFYSGSACMYPDPDNPDCREESAYDPDSEYGWEKLFSERLYFAYNRNYDIPIRVARYHNIFGPEGT---WKGGREKAPAAICRKVAYAGLADTIEVWGDGKQT------RSFLYIDECIEATRRLMDSDFM----GPVNIGSEEMVSINELVRITAKVAQKSIGRDHVDGPLG-VRGRNSNNDLIREKLGWDYSQSLEDGIRKTYNW------------- 257094462 ------IVTGASGFIGSNLVKALNERGVRIIAVDNLTRADKFKNL----VDCDIADYIDLDRLVADGDLDAILHQGACSDTMEA--DGRYMMENNYRYSLGILDWCLDQEVP-LLYASSAATYG-GGGVFTEERQHEAPLNVYGYSKFLFDQIVRAEVGSFNSQVVGFRYFNVYGPRES------HKARMASVAFHHYHQFRREGKVRLFEGCDGYAAGEQRRDFVFVGDVARVNLHFLDHPEKSG---IFNVGTGRAQSFNENVNACRALVGQPGLIEYIPFPADLKGKYQADLSKLRKA-GYAPFTGVAEGVAQYVEWLCSH--------- 137034198 ------LVTGGAGFIGSHLVDALVDQGKKVRVIDNFSSGREEFLSHHEIFNEDLLDREAI--MSAMEGIDTVHHMAANPDIRLGTEVTDTDLKQGTLATYNVLEAMRLNGVGRISFASSSAVYGEAVVMPTPESGPVMPISLYGASKLASESLITAWAGTFGAKGFIHRFANIVGPRGTHGVIFDFIHKLKSDPS----------------RLEVLGDGNQEKSYMSAHDCVRSMLHII--SMGEEGSVLYNLGTGDTCSVSKIAHIVIELEGVDISYTGGKRWAGDVPR------------------------------------------- 218129672 ---KHILITGITGQDGSFLAEFLLEKGYEVHGTIRRSSAHLEGTPNFHLHYADLGDSMSIMQIIGKIRPTEVYNLAAQSHVQVSFDAPEFTADVDATGVLRVLEAVRQTETCKVYQASTSELYGKVEEVPQNENTPFHPYSPYAVAKLYGYWIVKEYREAYNMFCCSGILFNHESERRGETFV-------TRKITLAAARIAQGKQDKLYGNLSSLRDWGYAKDYVECMWLILQNKTPEDFVIATGEQHSVREFCQLAFHYLEEKGIDKATGRVLIEVSEDFYRPTDVVNLWGDPTKARKELGWNPKTSFEELV------------------- 143173578 --KRTALVLGAGGFIGSHMVKRLRSEGYWVRGVD-LKYPEFSETKANEFIQGDLRDANFVSRVIQFYQFAADMGGAGFIFTGENDADQRKLNQTFDGVKKGWTEANRPKWKTKIFYSSSACIYPDPENPDCRESTAYNPDSEYGWEKLFSERLYLSYNRNHNMPVRIARYHNIFGPEGTWKGGREKAPAAICRKVAYVPPSG--------GSIEVWGDGKQTRSFLYIDECIEATRRLMDSEFM----GPVNIGSEEMVSINELVDITAKVANKVVRKVYDLTAPRGVMGRNSNNDLIKEKLGWKYSMTLEEGIRKTYGW------------- 141885163 ------------------LVDLLLANNFSVIGLDNYSAGKRENLKLASEIQGDIRDTNLVNEILA-EKIDYVFH-QAVSKNTVCMNNPMHDLEVNTVGTLNLLQAAAKFSISRFVHASTGSVYGAATEFPTKENHRKDPLSFYGNSKLAAENYVGLFNKFFKLPTTTLRYFHVYGSRQDDSDFGGVIPLFIRRALQDS-------------DLHVTGDGNQIRAFTHVKDVARINLAVVQHTKTIG--QIYNCASETRVSIKTLAEKVLKLANRPPKIVHVNSRPGDIYNFDVDSSKIMDD-------------------------------- 288941650 -RRRRVFITGHTGFKGGWLALWLAELGAEVYGYANLFTVARLTDGLSGHVIGDVRDPPVLNRAILDAEPEIVFHLAAQPLVRAGYADPVATYAVNVMGTVHLLEAVRLCPSVRAVVVVTTDKCYDNREWPYRENDPLGGHDPYSSSKAAAELATQAYRSAFLAEAGVQ----VASVRAGNVIGGGDWAQDRLIPDVLRALDA--------GEAVRLRAPQSIRPWQHVLEPLAGYLSLAERLHAQESGWNFGPDPGDAWPVEQVVRRLCERTQGRWQSDPVPG-PRESMRLDLDSTQARTRLGWRPRWPLERALEYTLAWHQAW--------- 142172203 -KGKTVFLTGHTGFKGGWIAHWLYELGAAVHGYSNFFTETQLQKRLVQSTIGDIRDLATLTSALRQAKPDIIIQMAAQPLVRESYKTPVETFATNVMGTVNLLEAARQVGTAKAIVNITTDKCYENKEWLWSENDRLGGHDPYSSSKACAEIAAAAYRNSFLADA----NIYLASVRAGNVIGGGDWATDRLIPDFLRAFDA--------GKILIIRSPNAIRPWQHVLEPLSGYLLLAEKLYTEGSAFAEAWNFGDAKPVSWIVEKLCAQIPGAHWEIKNVKQPHEAGLLKLDSSKAKAKLGWAPRWTLETALSKTTEWHQAWRN------- 209543263 -----IIITGGAGFIGSCLQAALQARGEQTVVVDWLSQGKWRNIARHPPDH--LLTPEALDDFLAG-RPAAILHMGAISETTAC--DGDLAWRTNVDLSARLWAWCARHGV-RFIYASSAATYGAAGDESFSDDEALRPLNLYGWSKHVFDRQVLARGASSPPQWAGLKFFNVYGPN------EYHKGPMVSVVKVKYDEVRRGQPARLFSDVPGLADGAQARDFIWVGDVVDVTLWLLDSPHVSG---LFNCGTGVARSYLDLAHAVCDAAGRPRQVEFVDMPDGHYQSYRADMTRLRQA-GYRPFTSLEDGIRRYVQDYLA---------- 138334753 --------------------------------LDDLSTGKHRNIKEENNSNFEFLNH-DLTKPFFPDKIDAIFNLACPASPVHYQYNPIRTLKMGTLAMYNVLGMAARLNIPILQ-ASTSEIYGDPSEHPQTESNPIGPRACYDEGKRVAEALCIAYESYNQVQIRIARIFNTYGPFMD--------PKDGRVVSNFIMQALKNEPLTVYGDGHQT------RSFCFVDDLVSGLIKLIESDYNRP----VNLGNPDEYSMLDFANLIIDKIGSSSEIIFNKLPQDDPRKRCPDISLAIKELNWSPQTLIGEGLEKTINWYKK---------- 142012627 ----KVLVVGGTGFIGRNLVESLKDAGH--ICVMHLSNSELPFDHANISNLIDLSSESDTKKIIRQIAPDYVFNVSGYINHSPFFKEGFDVIKNHYFSTLNLINALGEINIERYVHTGSSDEYGNLKS-PQIETLRESPQTPYSASKVAISHTLEAISQSDSFPATILKLFIVYGP---------YQGRGRLIPDVIRALLAGEEISVSSGQ--------QKRDFCYVADIVNALK--LSAIKKKAIGKNINIGSGRSIPVIDIVKKIESKVGTGKVI-YGGRREHENLDLYPDTTLAKKILEWQPSTDIETGIDLSIQHYKK---------- 143007726 ----NVLITGITGMVGSHLVDYLIENTDWDIYLDNIEHHFDRINKKDRIINGDLNDLPSVQSAVQQSEANYVFHLAAQSYPKTSFRAPLETLQTNIIGTANLMESIRDYDVVVHVCASSEIFGRVPKDLPIDEECSIHPASPYAISKVGTDLLGRYYAEAFGITIMTTRMFTHTGPRRGDVF-----SESTFAKQIAMIEAGLQEPTIYVGNLDSLRTYADVRDAVEAYYLLVTKNPISGEYYNIGGEYTCKIGDMLNY-------LISQSTRNDITIEVDEDRPIDADLQVPNTEKFRKHTGWKPKYTFEQTMNDLLDYWR----------- 141160021 ---KKVLVTGGLGFIGSNLIKLLLKKNYYVINIDKVSYSSNDNNKNYKFIKLDI-NSKKLTKIFKRYKPIGVFNLAAETHVDRSIDNPSNFIKSNILGTFNLLESFRRFYNTKLIHISTDEVYGDVLTGRSNESFKYKPSSPYAASKASSDHLVSSYVRTFKIPAIITNCSNNYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 135897535 ----TILITGGLGYIGSHTTLKLLEKGKNILIIDSLDNSTLDTLSKIKFRKGDLKNKIWLEKIFEEFKISSVLHFAGLKSVEESIIYPLKYWETNIGGTLNLLNTMIKNNCFTIIFSSSAMVYKINSMRPFKESNELDPLNPYAFTKYSIEKILYDLFKPNKWRIANLRYFNPVGAHESGILGENPKRPSNLFPSLIDSLKKNNRYFKIYGNDWPT--------------------------------------------------------------------------------------------------------------------- 136072457 ------------------------------------------------------------------FQFDYIFHLAAQAGVRYSITNPNEYTKSNLISFANILELARFKKVKHFYYASSSSVYGESLKKNKEHDNVDKQVSYYAATKRANEIMAESYSNLYNLKMTGIRFFTVYGP----------WGRPDMALLKFIKNIHHNKSIKIFNN------GNHKRDFTYIEDVTDYLFKLIQKKKNYS-HEIFNICRGKSEKLIKFLNKIEFYLNKKSKKIFLNKQKGDVRETSGSNKKISKYLKYYAKTSIDEGIDKTIRWYKDYEK------- 283787749 -----IIVTGGAGFIGSNIVKALNDKGITDIVVDNLKDG----TKFVNLVDLNIADYMDKEDFLEFGEIEAIFHEGACSSTTE--WDGKYMMDNNYQYSKELLHYCLEREIP-FLYASSAATYGGRTSDFIESREYEKPLNVYGYSKFLFDEYVRQILPEADSQIVGFRYFNVYGPR------EGHKGSMASVAFHLNTQLNNGETPKLF-----EGSENFKRDFVYVGDVADVNLWFWE----NGVSGIYNLGTGRAESFQAVADATL-AFHKKGEIEYIPFPERYQAFTQADLTNLRTA-GYKPFKTVAEGVTEYMAW------------- 291615353 -RGKRVFLTGHTGFKGGWLSLWLQSMGAVVHGYANLFEAADVCKGMASSTIADIREAEKLSQAMQVAKPEIVFHLAAQPLVRYSYAQPAETYAVNVMGTVHLLEAVRGTPGVKAVVNVTTDKCYENKEWVWRENEAMGGFDPYSSSKGCAELVTSAYRQSFLEPAGIA----LASARAGNVIGGGDWAADRLIPDFLRALDA--------NETLNIRSPQSTRPWQHVLEPLSGYLMLAEQLYVDGLGFAEAWNFGDARPVRWIVERLAEMRSDVKWKCDETPQPHEAHYLKLDSSKAKNRLSWEPRWHLQTALQKTLEWHKAWQ-------- 139553654 ----RVLITGGLGFIGSNFVNLLDSENHDILIIDKMTYASNRGNIKNKCLELDIFNKEDLDKAIQDFQPSVIIHFAAESHVDNSIENPSVFLNTNIIGTYNLLQSSLQGKDFHFIHISTDEVFGDGMEGYFNEKTSYDPKSPYSASKASSDHLVRSWVNTYDLPATIVNCSNNYGTKPT---------------------------------------------------------------------------------------------------------------------------------------------------------- 139877566 ----------------------------------------------------------------------------------------------NVSRNFFDSLSARNREGFIFHHISTDEVYGDLEDPPFKEINRYQPNSPYSASKASSDHLVRAWNRTYGLPTLVSNCSNNYGP--------FQCIEKLIPMTITNIIKGQKIP--------IYGNGLQIRDWLHVNDHVDALIKIV---LNGKSNETYNIGTKNEKTNIEVIKKICEIMDSKNLIDFVEDRPGHDKRYSIDPTKINNQLGWQGNIDFEEGLKNTIQWYIDNQNWWQSEED 135296077 ME--KYLVTGGAGFIGSHIVHKLVSQNKQVRVLDNLSTGDKDKLKDVMFQEGDLRNYLNVKNAVKSV--THIFHVGAIRAVERSVDDPIESTEANINGTLHVLQAANDEGVKRVVYSSSSSVYGDTEKFPSQETDTPNPLSPYAASKLMGEYYCRIFSHLHKLETISLRYFNVFGP--------EQNPESKYSAVIPIFIACILQNQSPEIHWDG----KQSRDFSYVDNVVEGNMLAMNA-------------------------------------------------------------------------------------------- 299137045 LRGETAVVCGAGGFIGGHLVKSLIANGIKIVRAVDIKPLNEWYQVTEGVENLDLKDKQNC--ITAADGSSLVFQLAADMGGMGFIENNKALCMLSVLTNTHMLMAAQQVGVKRFFYSSSACVYNNPDVVALKESDAYPPEDGYGWEKLFSERMCRHFEEDYGLICRVARYHNVYGPDGTWDGGREKAPAAICRKVIEAKNSGRH-------EIEIWGDGKQTRSFMYIDDCVKGTQMIVGSEIDEP----INLGSSELVTIGQLVDMVEDIAGIKLKRNYNLTAPKGVNGRNSDNTLIMEKLGWEPSIRLRDGMEKTYRW------------- 135862991 ---KNILITGGAGFIGSHVIKRFVNNDYNIYNVDSLTYAGNESKKNYFFFKIDINNHNEILKLFKNKNITDVIHLAAESHVDKSIENSFEFAKTNVLGTLSLLEACKVSWNNTFYHISTDEVYGLGLDGYFSEMSKYDPNSPYSASKASSDHFVRAYHKTYGLQILISNCSNNYGPFQHN--------------------------------------------------------------------------------------------------------------------------------------------------------- 134625898 MKNSKILITGSCGFIGFHLTKTLLELNCDILGVDNLNDYYSKKLKLHRFHKIDLVNKKKVEEILDEFKPDTVINLAAQAGVRYSFIDPFSYINSNVEGFYNLIKSCSRRGISKFIYASSSSVYGDKSGFPFKEKSHIVPKSLYGTTKLLNEKIASLISKDYDLSCIGLRFFSVYGTRPDMAYYDF---------------------------------------------------------------------------------------------------------------------------------------------------- 139857213 -----VLLTGGAGYVGAATADALLADGHRVVILDDLSSGHTDTIPNEASFKGSYGETDKMEELLRDARIDVIVHCGAKSIVAESDEHPDRYFANNVQASLNLLNAACNIGVKRMVFSSSAAVYGAPTSSTINEATALAPVNTYGATKVAFELALRSFADAYEMQSISLRYFNVAGSTER--VRERHDPETHLLPRLIHA-AESGEPFFIYGNDYATPDGSALRDYVH---------------------------------------------------------------------------------------------------------- 290343485 MSRKAAIVTGATGQDGSYLCELLLDKGYDVKCLVRRPSVQDPRL---HIYEGDVLDKSIIHKMIENYERVEIYNLAAQSRVHTSFACPNYTFETNTMGILNILESVRQHTKYRVYQASSSEMFGKVRESPQNEETPFYPRSVYGVSKVAAHWLVKNYRESYGLFVCSGILFNHESPRRGPDFVTKKITD------GIKQIVAGEREFIELGNLNAERDWGHAKDYVEAMWLVLQQEEADEYVVATGETHSVFREVGKVVTWEGEAEGEMGLVDGRVVIRVSPKRPCEVDTVIGDASKIKNI-GWVQRHTIHDLIRDMMR-------------- 260222092 --GRCVLVTGHTGFKGSWLTAWLNTLGARVVGLALEPPTQPSHFAAAHMDRVDVRDAQTVRDVVAQIQPDFVFHLAAQALVRRSYDDPLETWQTNVMGTLHVMEALRALDKPCAAVIITSDKCYDNVEWVWRETDALGGPDPYSASKGAAELVIRSHVKSY---FPAGSKVRIASARAGNVIGGGDWASDRIVPDCVRAWSK--------GDVVQLRSPHATRPWQHVLEPLGGYLALAAALASQGEAFNFGPPAQQNHSVLLLVEQMARHWDQVRWEDVSQSAKGPYESLKLNCDKALQQLQWRAVMGFEDTVRMTAQWYGAYYR------- 150402266 ---KKALITGITGQDGSYLAEFLLEKGYEVHGLVRRSYGNPEILDKKLFHYGDLTDITSLTSIIGKIKPNEIYNLAAQSHVKVSFEIPEVTANIDALGTLRLLEAVRIEKSTKLYQASTSELYGKVLETPQSETTPFYPRSPYGVAKLYGYWIIKNYREAYDMFACNGILFNHESERRGLTFVTRKITVGLSKIKLGLQDVLE------LGNLDAKRDWGHARDYVEAMYLMLQQEIPEDYVIATGETHSVREGKHLGIDIADEVGIDRNTGKIVVKVNKKYFRPTEVDLLLGDPSKAK-ELKWEPKIKFEELVELMVK-------------- 138041193 ----NILITGGAGYIGSHIAEQLINEKNNIIIYDNLETGFKKLIKKAIFIKGDINDKKKLVSILNEYKIETIFHLAAYLNVSEAEKYKLKYKKNNIQGTKNLLLSCKDSTVKNFIFSSSCSVYGNVKGS-VSETKKLNPQGYYAYTKYKGEDIVKKYSKKYNLKYSLLRYFNVAGASNSGKI------------------------------------------------------------------------------------------------------------------------------------------------------- 142216881 ----RVLLTGAAGFIGAHVAQALRARGDQVTLVDRLSPYYSPQLKIDRLHRVDLADTGACADLFRRTRPDIVIHLAAQAGVRGS--DHAAYTADNLCATANVLDGAREVGA-RVVMASSSSVYGDAQ-PPFREPETGAPLSLYAATKQGAEAMAQAYSHGHGLSVAALRFFTVFGP----------WGRPDMAPIRFARLILSGRPITVYGDGLQRRAFTHISDAVSGVLAAVDHAPSGFRAYNIGANNC----------------------------------------------------------------------------------- 142191826 ----NLFVTGGTGFIGSHLTEHLAKES-EANKITCLVRKEERWLRGQSVEQGDLFSEKLIRDALEGA--DVVFHCAAIVKARH----ESTFTRVNVEGTKNLVRWAQEAGVKKFIILSSLAAAGPGFGKPRTEQDTPNPITMYGRSKWRMEQEVSDLSDGR-MEITFLRPPAVYGPREDQIYTLFTMMKRRVMPMEFPMLSLMHVRDVTAGMINALHPIVSDAPLVRTYDVSWNMVKRSAELVFGKRIVGLKINSGTVLALGAISETIGQLFGFYPVLNREKSRE-MIQEWRCSADKARKELGFSPTMGLEEGVRDTIQWYRNH--------- 142727016 ----KVIVTGGAGFIGSNLVKRLLDDGAEEIIIDDFSTGKKENLHDSSKVHLILEDINDLESQFKGYDFC--FHLAAGVGVQYIMDNLSDSLLTNIQGTHIVFEACKENNIPVL-ITSTSEIYGTSKEESWDEETKIGPTTKLRWSKMIDEFLALSEFEAGNLKPIIVRLFNTIGTNQVSDY--------GMVVPRFVESALKDEDIVIHGDGSQTRSFTWVGDVID---------YFLKLAELESFGEIYNIGQTEEISIKNLAQLIIDTSNSNSKIKFLSDKFEDPTRRTPNIDKIVKATGIKPSYDIQTMIKEIVE-------------- 51892507 ----RILVTGSKGTLGSRLVQQLEQNGHEVWQMD------TRHEADERYFRADVANWRQLERVFEQ-PYDYVYHLAAEFGRINGEEYYDTLWRTNVIGTRNILEFQRRKG-FRLIFASSSEVYGETKAEVLDEDLPLRPHNDYALTKWVNEIQIMNFERRYEVPVVRLRFFNAYGPG-----ERYHNYRSVVCLMAYRALTGRPW----------TVYEGYYRTFMYIDDFIPTLARVADRFK---PGEVYNIGGTDFRSVRELSDLILQLTGADPGVTYLPEDKHNTVSKRPDITKAMRDLGHNPKVTLEEGLPPTIDWLRR---------- 143109261 --SKKIFVTGVAGFLGSHLSEKLVNLGHKVVGIDNMLGGYEDNVPKNIFHKTDCCDYEKIKILMKNV--DVVYHCAATAHEGLSVFSPYEITKNNYLASVSIFSAAVNEKVKRIIFCSSMARYG-GQSTPFTEDMKPSPVDPYAISKVAAEEVLKNLCELNNIEYVIAVPHNIIGPRQ----------------------------------------------------------------------------------------------------------------------------------------------------------- 136088620 ---------------GSRLADYLIENTHELFGIDDLSGGYIDNIKEVKFYKFDLSEDGKVEKVFDTIKPDIVYHFAAYAAEGLSPFMRTYNYKNNLVSTAKVINGCINHNVKRLVFTSSMAVYGNGWNGPFDESDLPSPIDPYGIAKMACEKDIQVAGEQHGLDWCIIRPHNVYG--EKQNIWDKYRNVLGIWMYQYMN----DEPMTIFGDGEQTRAFSYIGDCLEPLYKSGVDERASKQIINLGGTTFYSINEANET-LRRVIADGEKVHKEKRHEVKDAYPTWD---------KSIELLDFEDKTSLEEGLSKMWEWAQTQPN------- 159899402 MTRQKVLVTGGAGFLGINLLRYLDAKGYDLVSYDFADWTYTDLKDHITIVKDDIRNVAGLDRAMQGVDF--VVHTAAA----LPLYPAEDIFSTDIDGTRNVLEVAKKHGVKRVVHISSTAVYGIPDHHPLVENDRLDGVGPYGKAKVMAEYVALEY-RAKGMEVPIIRPKSFIGPERLGVFALLYDWAIDGHNFPMIGNGKNRYQLLDVEDLCDAIYLSMTLPAEVANDTFNMGAKEFATMKEDYQAVLDYAGHGKKIPATPAIWTLRALEFLRVSPLYKWVYETASKDSFVSIEKAETQLGWKPKFSNQDALIRNFKWYIDNRNSFAN--- 141502297 ------------------------------------------------------------------------------IAIPFSYIAPKSYIDTNIIGTFNICEASRLNYVKQVIHTSTSEVYGTAEYVPIDESHPLKPQSPYSASKVGADSMALSFYFSYGLPLTIARPFNVYGPRQS---------ARAVIPTIISQLLNNSNQVKL-------GEVTTTRDFNYVIDTCGALISMLDKDSTFGKVINI--GSGTEISIKDTFDLINQNLGGKGILLTDENRLSEVFRLCCDNKLLKEITGFTPKYTFEKGIKETIEWMTK---------- 140981525 ---------------GSHLYENLLNHNYDVVTYFNPTIDSKDKILGNFNVILDVLNRDNIRSVLLKYKPSVIYHLAAQSRPDISFRDPAHTINTNVIGTTNLLDCCVDLGIRPFINASSSAVYGDDWSVPPDENRNCNPLSPYGTSKLAQEHIVKNYYQMHGIEYVNVRIFNCTGPRKINDFVSDICQRVVKKQFPMRV-----------GNLTGVRSIVDVRDLTEGLVLCQSI-----------RNETINLGSDIALNISDVFKMI--VGDNNHYIDDQLFRPTDEPIIIGNINKAKKILEWQPKISLQKTISDTLDYWRN---------- 161527633 MKKQKILVTGASGMLGNKIISELSNSDYQSLGI---SKKNTHTINNTIIKKCDITNYKQLKKIFDAFKPNIIIHTASITGNIECEENPEKTFLVNCLGTFNILNLMKKNGA-KIIFCSSREVYGNSKKK-VTEKDLEFPINLNGITKITSENLIKKFHQTYNVQYVILRFTNFYG------------------DLNSKRGISLMIKNAIKNKQVTIYGGKQILNLLHIDDAVKAILLSIKYK----NSNTFNIGSDEKTTLPKLIKIIENNINQKIKINKKNARVIEPQKFVINIKKAKNELGFTPNFTLDLGIKKLVK-------------- 89057766 --TKTALITGITGQDGAYLAELLLSKGYKVVVSTNFWRIEELGLRDHPNVEFDLTDMGSTIRLIERTEPDEIYNLAAQSFVGVSFDQPHTTSQITGVGVLNLLEAVRIVNPIRFYQASTSEMFGKVQEIPQRETTPFWPRSPYGIAKLYGHWMTINYRESYDIFGASGILFNHESPLRGLEFVTRKITDGVA------KIKLGKLDCLELGNLDAKRDWGFAKDYVDGMWRMLQADTPDTYVLATNRTETVRDFVTMAFKGAGIELRFEGEGETETAVDTDSYRPAEVELLIGDPTKAKTDLGWEPQTTLEELCAMMVE-------------- 143246766 ----------------------------------------------------DLTRVNAIEKIFRAKKIDYVVHLAAQAGVRYSVTKPEAYIASNINGYFNILKLSKKFKVKHFVFASSSSVYGSLNKFPFKENNVSHPTQLYAATKVSNELMAHAFSSLYNLPTTGLRFFTVYGP----------YGRPDMSLFKFVNNIFKNKPITVFNKGNHSRDFTYIDDITYSINLIIKKIPQRKRFEINAPYRIVNIGGNKSVKLNKYISLIEKIIGKKAKKKYLGLQMGDVIKTQACNKKIKNIIKFAPKTKLKEGLKKYIIWFKQYYK------- 255030409 -------------------------------------------------------------------------------------------------------------NVAKYLQVSTDEVYGLGETGYFTEETPIAPNSPYSASKASADLLVRSYFETYGLNVNITRCSNNYGPH--------HFPEKLIPLMITNGLDGENLP--------IYGDGKNIRDWLHVSDHCAAIDLVIH---NGKSGEVYNVGGHNERTNNEIVHIIDDLNLSKDKIVYVEDRLGHDLRYAIDPKKIETELGWEPKYTFDTGIKETIEWYVNNEAW------ 301054866 ---KKVVVTGGSGWIGKYVVHSLIQKGYEVHATYN---KNKPSHLPCHWHKVNLLRDDEVKQFISDVQPSHLIHLAWEAVPPTCYVSINNYY--WLKSSISLIQHFTTFGGKRVVVAGTGAEYEWFNGVLFEDSPLLSYKTPYSLCKNALHSWLETYAQQTGLSICWGRIFHMYGPYEQGN-----------------RLVSNIITSLLKNEEALCTHGKQSRDFLHVSDVADALVTILEH----GVTGIINIASGQSVQIKELASIIAKKIGKEHLIKLGPFSKDEPLFVGVSVERLKTEVNWRPTYDLNTGIEETILWWKSFIQKHNDMH- 91762327 ---KNILVTGGCGYVGVQLVPRLLNNNYKVIVIDTCWFGNKKKNKNLTIIKKDIRSIEE----KYFNNIDTVIHLASISNDPSSELNPKLAWEVGPLATYKILEICVKKKIKNFFYASSGSVYGVSKKLKVTEKTNLLPISDYNKQKMVTEKILETY--SSKIRTVAIRPATVCG--FSNRLRLDVTVNILTYQAYKNKII------TVFGG-------KQIRPNIHISDMVDIYLFLLKNKKVKG----IFNAGFENLSVLNIAQKIQKLTSCKIKI----LKSNDPRSYRLDSSKLLK-TGFKPKRNVDFAISELLNFF------------ 7239105 --TQRLFVTGLSGFVGKHLQAYLAAA-------------HTPWALLPVPHRYDLLEPDSLGDL-WPELPDAVIHLAGQTYVPEAFRDPARTLQINLLGTLNLLQALKARFSGTFLYISSGDVYGQVAELPIHEELIPHPRNPYAVSKLAAESLCLQWGITEGWRVLVARPFNHIGPGQ-----------KDSFVIASAARQIARMKQGLQANRLEVGDIDVSRDFLDVQDVLSAYLRLLSHGEAGA---VYNVCSGQEQKIRELIELLADIAQVELEIVQDPMRRAEQRRVRGSHARLHDTTGWKPEITIKQSLRAILDWESR---------- 303328291 -QGRRVFVTGHTGFKGSWLAAWLTRLGATVAGFDGIPTSHFTAIHLGAHLEGDIRDRQGMVDAVRRFKPEVVFHLAAQALVRKSYEDPTGTFEANMLGTMNVLEAVRACPSVRAVVMITSDKCYRNDEWVWRETDHLGGADPYSASKGCAEIIAHSY-----FQSFFKEGPACATVRAGNVIGGGDWALDRIVPDCARAWAEGRAVQ--IRSPWATRPWQLVLEPLYLWLGARLLDNGNAPFDPRGQAYNFGPAADVNNTVAEVVEALARHWPGFESQMDAAGQAGECTLLKLCCDKALAHLGWKATLSFDETIRYTAEWYHRFYRG------ 262040545 ----RVLILGVNGFIGNHLTERLLQDNYEIYGLDIGSDAINRFLPRFHFVEGDISIHSEWIE-YHIKKCDVVLPLVAIAAPIEYTRNPLRVFELDFEENLKIIRDCVKYN-KRIIFPSTSEVYGMCTDKNFDEDSVVGPINKQRWSKQLLDRVIWAYGDKNGLKFTLFRPFNWMGPLLDNLNAARIGSSRAITQLILNLVEGSPIKLIEGG--------KQKRCFTDISDGIEALFRIIENKDGRCDGQIINIGNDNEASIKELAEMLLACFERHPLRDRFPPFAGDVEHRKPSIRNAKRCLNWEPKVEMEETVEHTLDFFLR---------- 137470009 -------------------------------------------------------------------------------------WNPHAYIDANLQGFMNILEGCRHNDVAHLAYASSSSVYGGNAQMPFSEHHVDHPVSLYAATKKANELMAHTYSHLYRLPTTGLRFFTVYGP----------WGRPDMALFLFTDAIRNGRPIDVFNQGAMVRDFTYIDDIVEGVIRVNFDPMKPDPGSSTAPFRVFNIGNGNPTPLMDYIGALEDALGMTAEKNMMPMQPGDVPATSADTSELRDWVGFAPNTDVRDGVQRFVDWYIGYY-------- 143569290 --KKTALITGITGQDGSILADFLLQKNYKIIGIKRRSSSFNTERINHLYFYGDLTDTTNLIRIIQQTKPHEIYNLAAQSHVRTSFETPEYTANADALGTLRLLEAIRIEKKIKFYQASTSEIFG-NTKIPQNEKTPFAPTSPYAISKLFSYWTVINYRKAYNIFAVNGILFNHEGERRGATFV------TRKITRAVANYFYGNKEILYLGNLNARRDWGYANDYVKTMWLMLQQKKPSDYVISTGVSKSVKDFVNEAYNCIGVKIIWKGKGLGEVGIDQKTKRPTEVHELRGDSSKARRELKWKPKTSFKMLVKSMVE-------------- 141005205 ---------------------------------------------------------------------------------------------------------------YKKLVLASTSSLYAGQKMPFTEDAVNEPLSPYAASKKAGELMAYSYHKLYQMDVSVVRYFTVFGP----------AGRPDMSPYRFIKWIAEEETIQMFGDG------SQSRDFTYVDDIARG---TIAAIQDVGYQIINLGGGRNPVSLNTIISKLEQLLGKKAKIDHKPFHVADLMETWADIAKAKNLLGWEPQVSLDEGLEQSVQWYMDNQHWLKE--- 238493487 ---KSILVTGGAGFIGGWFVRHLLQDRYAVTCFDNLDYCASSQLSNFHFVRGNVCTPKDVENALRNYHIDSIVHFAARSHVDTSLNGSLSFTQINVIGTQVLLEVAREQSIRRFIHVSTDEVYGENDPTAFTEEQSLHPTNPYSASKAATEMIIQAYRKSFHIPLIIVRCNNVFGPRQYP--------------------------------------------------------------------------------------------------------------------------------------------------------- 282863614 LNGATVLVTGGAGLIGSRITSRLKQLGARPIALCTMS-AYPERVYSGDTVTGDIRDTALVRRL--AAESDYVIHAAALADVAACTREPSAAIDVNIAGTQTVLDAVASNRVRRMVFVSSASVYGDGEPPRFDESAPLRPVSVYGTTKVWGEQQTSVVLGQVGISYAIVRYFSVYG------------EPQTIKPNSHSWVVAWFAARAALGPLHLNGGGQQVRDLTHVDDIAEGTLRAL--TSPRAHNETINIGSGTATSIRDVAELVRGHFPNAEFLA-TPLPAGDPLGGYASIRRMEAVLSWTPAVTVAEGVERYVQWLRRTPQA------ 135383425 --SKKILITGGTGFIGYHLAKKCLKLNWSVTSLSTKNPKKYRKLKKVKYLICDISNKIDLQKKIKN-NYDYVVNLAGYVDHT----NKVKTVKSHFQGCKNISLLFVNSKIKKFVQIGSSIEYGKIKSPQIEFRSYKKILSTYGKAKLSSTNFLLSLYKNYNFPTIILRLYLVYGPNQE---------PNRIIPYTILNSLLDNDFHCSSG--------KQYRDFLYIDDLISAIIKTLRKKELSGE--IINIGSGQPIQIKKVILKILSKVNLGSPKFGKSFRKDEIMRLYPSINKALKKLNWAPRTGLDLGLEQTIKYFKRNKYNFLNR-- 136989886 ----KILVTGAAGFLGSHLAEQLQKIGHQVVGLDNMMGGYEDNVPKNIFHKVDCCDLEKIKKVMS--GIDIVYHCAATAHEGLSVFSPYEITKNNYLASVSIFSAAVNERVKRIIFCSSMARYG-NQKTPFTEKMMPQPVDPYAISKVAAEEVLKNLCELNKIEWVIAVPHNIIGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 260186461 ---KRALITGITGQDGSYLAELLLAKGYEVHGLIRFNTSRIDHLYVDPHHYGDLIDGTRLVTLLSTIEPDEVYNLAAQSHVRVSFDEPVHTGDTTGMGSMRLLEAVRLSRVHCRFYQASSSEMFGASPPPQNELTPFYPRSPYGAAKVYSYWATRNYREAYGLFAVNGILFNHESPRRG------------ETFVTRKITRAVARIKAGIQSEVYMGNLDAVRDWGYAPEYVEGMWRMLPTD----EPDDFVLATGRGFTVREFARAAFEHAGLDWYVKFDQRRPTEVDSLIGDATKAAELLGWRASVHTDELARIMVD-------------- 140282676 ---KKIIVTGGSGFIGSNLVNYLIKKKYFVINIDKLTYSSNTYKKNYKFLKIDINNKFKIKKIIKKYKPECIFNLAAETHVDRSIDSPFQFINSNILGVFNILEAIRKNKKIKLVHISTDEVYGDVLNNRSNENFPYNPSSPYSASKASADHLIKSYVRTYKLPAVISNCCNNYGP--------YQFPEKLIPKMISNIFRNKPLPIYAKGQ--------NSREWIHVED----HCDALYKIFKKGKGESYNVGSNVNLKNIKLVKNILKIFK------------------------------------------------------------ 135662829 -------------------------------------------NKKAKFFKLNIHNSKTVKKIIKENKIDSVIHLAANLIISEGENYPKKYFRNNVVGTQNLLKACSNSTIRNFIFSSTAAIYRDGQ-FKVSENSIIKPKSVYGKTKVKCEKIIQSFCKKNNINYGILRYFNIAGSSPSGKIGLINKSDHLF-KNFSREIMKKRPVLKIYGNDYKTKDGSCIRDFIHVSDIAEIHFKVLEKIDKTNKSKILNCGYNKGTSVLEVAKEFKKQTSKKVKILITKRRTADLVKIIASNNKLMRFIRWKPKFNLGKIVKSCLLWEKR---------- 143395198 MTMKKILITGCVGFVGFSLAKRLLEKKNIIFGIDNIDNYYSKKLKYFHFYKVDLKKKKLLKKKIGKIKFDYIFHFAAQAGVRYSIINPKKYIDSNIKGTNNLLDIIKDIRPKKIFIASSSSVYGNVSRFPVKENFRLNPINLYAETKYINEITANYYSKIFNLKIYVLRFFTLYG--------KWGRPDMFLFKLLKSIYKKEKFYLNNYGNH--------SRDFTHIDDAVN-IVIRLMNVKEKNKFNVFNISSNNPINLKKIIQLFKDKY-KDLDITLTKKNKLDVKKTHGCNRKVLNLTKYR-KKNFAKLLESIITWYTKN--------- 188580042 ---KRVLVTGGAGFVGRHAIPALAARGFEIHA-----AGRTEPEGVHAFHAADLLDPGQRRAAVQRAGASHLLHLAWVTTPGRYWQTPDNL--DWTAASLDLVRAFRETGGRRAVVAGTCAEYDWAGTGHLPESAPCDPATLYGAAKDGLHRILASYAASAGLSLAWGRLFYLYGPGETP-----------------GRLVGDAARALLAGQRLATSEGRQRRDFLHIADAGAAFSALLDAPVEGA----VNIGSGTAVSVRSILEEIGARTGRPDLIDFGARPLGEPACIEADIRRLADEVGFCPRYGLKRGLAETVAW------------- 139158675 ----NYLVTGAAGYIGSHFIAKVLHEKNKVIGIDNLSNSSINQIKNLKFFQCDILNLKDLNEIFKSNKIDVVVHFAALKSVPESLIKSDEYFLNNVVGTNTLLSAMRKNNVRKIIFSSSAAVYGNSASQPLYENFEVSPESFYGETKKICEESIISETMQNDIKAIILRYFNPVGFN------------------------------------------------------------------------------------------------------------------------------------------------------------ 135958726 IKGKKILVVGAGGFIAGHLLKRLLKDGNSIVATDIKPYWFQDFENVQNHYSMDMKDINNCRNVSKNV--DYVFNMACNMGGMGFIENNKAECMQSVLINTNLLISCKENKIKKYFFSSSACAYNKSKDGLKEEDAYPDPEDGYGWEKLFSERMCKHFMEDYGIQVRVARYHNIYGPFGTFDGGREKAPAALCRKIINAKKNNTNK-------IEVWGDGKQTRSFLYIDDCIEGTLRLFESDF----SAPLNIGSDEQVSINEMIEIIKKISGVDKLKQHLLDKPKGVRGRSSNNDLCKKILNWSYKIQLKEGLKKTYDW------------- 307819456 -KRKRVLVTGGSGTLGYNILRQLAATGRFNIILRSRHTLLQDFGDTVQFVDHELSDAIHTAQIFERANPDVIIHCAASGLRPPKGSWFDLMHFNVESTMRLFQMNCRFDHHSHFIYISTGLVYRE-QGRPLRETDPIETLHPYGASKAAGDSMLQAAAAEFKRRLTILRPFAFTGKHDGG-----------------ERLFPRILTAAAEGKVLPMTQGDQIRDFCSVDDIARAVMMVTER-EEAPLIEKFNLGSGKSLPLKDLVRDVCIQLNLDVKFDLVKMHPYEPMHSVADISHAKEILGWEPQVSLARAV-----WELAHPE------- 143903331 ---RKAFITGITGQDGRHLAEFLHGKGYKVFGMMKQHNPRAELLPYVEIVPGDLTDLTSLVTALEQVQPDEFYNLGAISFVAMSFNQAELTANVTGLGVLRALEAVRQNNPIRFYQASSSEMFGKVREVPQTEMTPFHPRSPYGVAKVFGHNITMNYRESYGLYACSGILFNHEGPRRGLEFV------------TRKITNTAARIKLGVDKELVLGNTDAKRDWGYAGDYVKAMWLMLQ----QDEPDDYVIATGETHSVEEFLTLAFDKVGLGDWRPYVRLRPAEVDLLIGDPAKAKAKLGWQPEVNFEQLVAMMVEHDLEY--------- 145300198 ----RVLILGVNGFIGNHLTERLLKDGYEIYGLDISASALGRFIDHPHFHEGDISIHTEWIE-YHIKKCDVILPLVAIATPIEYTRNPLRVFELDFEENLKIVRYCVKYN-KRIIFPSTSEVYGMCDDHSFDEDEIHKQRWIYSVSKQLLDRVIWAYGKKEGLNFTLFRPFNWMGPRLDSLDSARIGSSRAITQLILNLVDGTPIQLVDGGA--------QKRCFTDIEDGIEALFRIIENKGNRCDGQIINIGPDNEASILQMAEVLLGKFEAHPLRHHFPPFAGDVSHRRPSIKNARRLLDWEPTIEMEETIGKTLDFFLQGAVSTGVEHD 294673210 ---KKILFIGGAGFIGSNLIKGLPNDKYEIHVCEPAVEYTKR--LNGLPVRLHITDIKDLARIIEEYEIDVVVHLVSTLIPGSTYESYKEEYKNMIFPSIELMEICAEREVKFVYFSSGGTIYGNRNDVPFVEQDPMAPISYYGWSKQMMENSILFMHRTKGLDYLIVRPSNPYG----------HGQNLFGKQGLVAVAIGKILKGE---PVEVWGDGSAVRDYIYIDDLAKVFLQLIEKDV---KNTTLNIGAGRGYSVNDVLAFLKIVSGKDFKIEYKNPRPVDVSNMVLDITNLRKDISYTP---FMEGIKVFYN-------------- 143625355 MKKKIALIYGITGQDGSYLSRLLLKKNYQVIGVDDLYEKYKNKNQFKLIY-GDLTDSMSTNSIIQNIKPDEIYNLGAQSHVKVSFELPEYTTNVNALGTLRILESKIVKKKKIKFYQAGSSEMFGSSKPPQDENTSFQPQSPYAASKLYSHWITKNYRESYGLFACNGILFNHESPQRGGTFV------TKKIINFFCKYLKNKNQKLYLGNIYSKRDWGHATDYVLAMWKILQQKNPDDYVISTGKNYTVKEFVNKNLKLIWKGKELKEAGFIENRKVIVYFRPLEVDSLKGNSSYARKKLNWSPKYNLDRLIEEMIN-------------- 302808702 -EKLRISISGAGGFIASHIARRLKAEGHYVIASDWKKNEH--MSEEMFCHEFHLVDLRVMENCLAVTKVDHVFNLAAMGGMGFIQSNHSVILFNNTMISFNMLEAARINGVKRFFYASSACIYPLETNVSLKESDAWEPQDAYGLEKLCSEELCKHYTKDFGIECRIGRFHNIYGP-----FGTWKGGREKAPAAFCRKALTSTDKFEMWGDGKQTRSFTFIDECVEG----------VLRLTKSDFREPLNIGSDEMVSMNEMAEIILGFGDKKLPIHHIPGPEG-VRGRNSDNNLIKEKLGWAPTMRLKDGLRITYMW------------- 136527948 -----------------------------------------------------------------------VFHEAAMVSVPMSMEKPLENHETNITGTLNMLIAAAKARVTRFVYASSAATYGADPELPKRETMTRHYPSPYALSKGVDEDYLWAYKQENGMTTVGLRYFNVYGPRQDP-----KSPYSGVISIFTDRIKGGQ-------EIVFNGDGKQTRDFVFVKDIVQGNMKAGLAKLGPNESRVFNVGTGKAVTLLELMEAMKTIIGKDVKYSFGPERAGDIKDSLSDITAIQTELGYVPEYSLEAGLR------------------ 142242571 -------------------------------------------------------------------------------NVSEAEKNKTKYYANNIIGTKNLLEACKNSNVKNIIFSSSCSIYGNVKGS-VSEIRKPNPQGYYGYTKFKGEELIKKLSLKYKFKYGILRYFNVAGASKSGNIGEIETSHGHLIKNLAIKSLEKKPKVKIFGNDYKTQDGTCVRDYIHVSDLADIHIKALNYLYDKNKSFILNCGYGKGYSVQQIVDIFKKI-KKGVEIQYQKRRPGDIAQVFANTKKFKKKLRWKPKYDIKLIIKSAISWEKK---------- 143962242 MSKNTYLICGAGGFIGGHLAKSLMNEGHKVVCVDVKPTHLWFQLFEDKNYSLDLKEYENCLTVSRGV--DYIYNMACNMGGMGFIENNKAECMLSVLINTNMLRASMVNNIEKYFFSSSACVYNGSKQKDLRESDAYEPEDGYGWEKLFSERMCRHFTEDFKLQTRVARYHNVYGP-LGTYDGGREKAPAALCRKIIEAKLNSQNKIQVWGDGEQTRSFMFIDDCL----------KGTKTLFSKEYIEPFNIGSEEQVSINQMIEVIQEIANIKVEKEYQLDKPLGVRGRSSNNDLVREKLNWDIEIKLKDGLEKTYKW------------- 296444524 ----RILLTGGTGFVGPYLQEALARAYPRARRFVLARPGEAPASPDWSPLVADIVDAEAVERAIDVAQPDLVAHLAAQASAAQSIHAAEATWRVNFLGSFHASALARHAPSAVVLFVSTADVYGARGDGPAREETPTSPLSAYARSKIAAETMLADVLPQTA-RLIVTRPFNHAGPGQD-----------MRFALPSFAAQIAGIETGRLAPRLDVGDLSVRRDFLDVRDVVDAYARLVGAAPDLPPRSLFNIASGAPRSLSYMLDTLRACATRNFDIVVDPARPADIPVAVGDASKLRAATGWAPSHSIDAMLRDLLDW------------- 136008655 MKKNIVLITGGAGFIGSSLIKSFVKNNYKIISLDNYSTGRKKNHIISKNVKYIKGDNKDIDRLLNKKKIKVIFHFGEFSRIFQSFKNYRKCFEYNIHHSSKVIEFAKDNKIKIIYSATSSN---------LGNNGLDENLSPYAWSKSKNIELIKNYNRWFGLKYELVYFYNVYGPGQ------IINSPMSAVIGIFEEQYRKRKPLTV------VKPGTQKRDFTHINDIVRGCYLAW----KKGNQNDYMLGTKKNYSIKDIAKMFQS------KIKYIPSRPGERFGSTIPNNNAKKILGYTPKLDIKDYIKDFV--------------- 310778603 MQKKVALITGITGQDGSFLAEFLLDKGYEVHGIMRRSSSFNTKRIEHLYLEGDMTDSSNLIRIIQMVQPDEIYNLAAQSHVKVSFEVPEYTADSDAVGTLRVLEAVRMTEKTKIYQASTSELYGKVQEIPQKETTPFYPRSPYAVAKQYGFWITKNYRESYDMFVANGILFNHESERRGETFV------TRKITLAAARIAKGYQKKLYLGNLNSLRDWGYAKDYVECMWMILQHDKPEDFVIATGEQHSVREFHEVGIEIDWRGEGLKETEEVLIEVDPKYFRPAEVETLLGDPTKAKTTLGWNPKTSFEDLVKIMVE-------------- 138442999 --SQSILVTGGAGFLGSFLCEQLIQQGHDVLALDNLFTGNKRNIAHLDNRNFEFIRHDVVEPIL--LEVDWIFNLACPASPVHYQYNPVKTIKTSILGAINMLGLAKRVKA-RILQASTSEIYGDPEVHPQTEDYWTGPRSCYDEGKRVAETLMSEYRRQNGVDTRIIRIFNTYGPRM--------HPEDGRVVSNFIVAALRSEPLVLYGDGQQTRSFCYVSDLIE---------------------------------------------------------------------------------------------------------- 142932970 MKVMKILITGGSGYLGPVITEKLLNNNYQVTILDNLMYNQTSSIIFSHNPNGDVRDKSLLKSLVN--DFDVIIPLAAIVGFPACDKDKDLATAVNYEHVRFICDLIKDSN-KRVIYPNTNSGYGIGEDGECTEESPLNPISHYGVTKVKAESEVLS--------------IGGISVRLATVFGSSPRMRMDLLVNEFVYKALTDKYITIF-------EKNFVRNYIHIRDVANVFLFMIENYKTYSGEVFNVGLSNANLTKQQLVEKIKE-YVPDFAITYSDYYEDDKRDYIVSNKKIES-TGWNPQHTLDSGIEELIK-------------- 289647537 LAGKKVLVTGHTGFTGGWACLWLTSIGAHVAGY-SLAPETTPSLFQEDSVLGDICDFDKLLQAVEAFQPDLILHLAAQPLVRRSYREPVQTFMVNAQGTAHVLEAARLVKSVRGVLCVTTDKVYKNNEWPYRENDPLGGKDPYSASKAAAEMIIQSYGASYPFSQGLGPAI--ATARGGNIIGGGDWSEDRLIPDFVRAVNE--------GQVMTLRYPDATRPWQHVLALVHGYLVILAGLLSENPGRVAKAWNLGPQELKQYVRDVLELMSADWQLEFMDNPLPEAGALALDSSIARNQLNWIPVWNTEEVVEKTASWYRDFY-------- 258637183 ----RVLILGVNGFIGNHLTERLLQDNFEVYGLDISSDAISRFLPGFHFVEGDISIHSEWIE-YHIKKCDVVLPLVAIATPIEYTRNPLRVFELDFEENLKIIRDCVKYK-KRIIFPSTSEVYGMCTDRHFDEDNVVGPINKQRWSKQLLDRVIWAYGEKEGLRFTLFRPFNWMGPRLDNLNAARIGSSRAITQLILNLVEGSPIKLIDGGA--------QKRCFTDIRDGVEALFRIIENKQNNCDGQIINIGNENEASIKELAEQLLASFERHPLRDQFPPFAGDVEHRKPSIKNARRLLGWTPEVQMDITIDNTLDFFLR---------- 171319422 ----RLVVTGANGFVGRSVCRLALSAGHTVTALVRRPGGCVDGVREWVH---DAPDFAGLDAWPADLGAECVIHLAARVHRDESPDPDVAFEATNVAGALRVVEAARVHGARRFVFASSIKAIGESDGVPLAEDVVPAPQDPYGRSKWRAEQALAQFGLRAGIDVVVVRPPLVYGPSVRANFLRMMDAVSRGLPLPLGAIPARR--SIVYVDNLADALLQCAIDPRAAGEC--FHVADDDAPSVTGLLRLVGEALGKPARLIAVPSVVLRALGTLTGRRAAIDRLTG--SLQLDTGRITRVLGWHPPYTTRQGLEATAAWYR----------- 163856205 -----IVVTGAAGFIGSNLVRGLNRRGIQDIIVDDLTEG-DKFLNLVDCQIADYLDKDEFRRVLAGSLPRAVLHQGACSDTTE--RNGRYMLDNNYRVTLELFEYCQAHAIP-FLYASSAAVYG-GSSVYVEDPTNERPLNVYGYSKLLFDQVLRTRMKSLTAQVVGLRYFNVYGPH------EQHKGRMASVAFHNMNQFLAEGHVRLFAGWDGYADGGQSRDFISVEDVVAVNLHFLD---NPGTSGIFNCGTGRAQPFNDVAAAVEAALPLDKLVEQGLVRPDDLKGRYADVTQLRAA-GFAPMRDVQTGVAEYVRYWRA---------- 167646677 -RGRRVLLTGHTGFKGGWMALWLERLGATVRGVDNLFDA-ARIGDGLDSVIADVRDPHAVAAAVLDFTPSVVLHMAAQPLVRRSYDEPRETFATNLMGTVNLLDAVRRLPRPATTLIVTTDKVYENHGQPYREGDRLGGRDPYSASKACAELAVRAYFAAY------------LGPAGAAVGVARAGNVIGGGDWSRDRLLPDILSAFARGEPAILRNPGAIRPWQHVLEPLHGYLLAIQALAASPEAGLRAWNFGPEADGARSVGAVARLAADAWVEQVDPNAPHEARLLTLSSDLAKAELGWRPRLDLETAIALTTDWWR----------- 140800162 -----------------------------------------------------------------------------------------------------------------------------------------------------------------GRSAMLLRYFNPVGAHVSGQIGEDPLGRPNNLMPLIARVAGGRLPHDIFGDDYDTPDGTGVRDYIHVTDLARGHVRALEHVVAHDGVEVVNLGTGRGYSVKEVIAAFEAASGLTIPARIAPRRAGDSDASYASTDKAKSLLGWQAEHGLAKMCADTWRWQSQNPKGYA---- 195114358 -RDKIALITGITGQDGSYLAEFLLKKNYEVHGIIRFNTTRIEHLYADPKAHGDMTDSSSLVKIINMVKPTEIYNLAAQSHVKVSFDLSEYTAEVDAVGTLRILDAIRTCGMERFYQASTSELYGKVVETPQNEQTPFYPRSPYACAKMYGFWIVINYREAYDMYACNGILFNHESPRRGENFV------TRKITRSVAKILLNQMEYFELGNLDSKRDWGHASDYVEAMWMMLQRDTPADYVIATGETHSVFKHIGREITWRDEVGVERNTDIVRVRINPKYFRPTEVDLLQGDASKAKRELNWTPKVSFLELVTDMMN-------------- 140543745 ----KVMITGGFGFVGSHLAESLLKNNHDLIVLTNNDSKRSNIESQIKTEYVDVTKFDLLDKIIRRHKPEVIFHLAGQTSHKKSFENPMYDVESNAKSTLSILETIRKAKDCRFILGSTFIVIGKPTKLPVDEKSPCHPTTIYGTNRLSSEHYCKIYHNVFGLDTITFRITNSFGPR-EQVIKDKNAINFLIHQAFKKQNITIFNEGKFF------------RDIIYISDVVSGLKKLMRKGKS---GELYWISSGKRTWFHQLGKWIEELTDAKVEYVKPP--------------------------------------------------- 136532660 --NKTILVTGGYGFIGSHVIEELLHLDDIDLIINIDKLGVGSDIKNIPENKFDLCDFQKLIEVFEKYKPSHIIHLAAESHVDRSISTPTSFVFSNVIGTTNILECIRVTPKSKMIHVSTDEVYGHLEDKPFVETTPLSPRSPYSSSKASSDLIALSYHSTYGMNVTVTRCCNNYGPRQAN----------EKFIPTIIKSIANNKKIPVYGSGM------NIREWIYVKDHARALIELCFGNFNNKVYNIYGFERMNNLSLIDNISSIIEKLKQSNYHVFVDDRKGHDFCYAMSPGELKTLLNQK------DNFEKTVSYYLN---------- 170089329 MSKDIYLVIGGSGFVGRHIVQQLLDRGDIVSVFDIVQRYND-----VPFYSGDITDEDQLAAALRKSGATCIIHTA---SPPAGLTDSALYFRVNVDGTKAVIAAAVACKVRKLVFTSSAGVIGVDERLPFPEV----PMDAYNESKAKAEEAVLEANGKGGLLTVALRPAGIFGPGDRQAMTGLYQVYERGQTHFQVGDNTNLFDWTYVGNVAQT---SINREKLRHPDKTPLQVAGQAFFITNGEPCYFWHRKQRGLIVLPKPIGMAAASGSEWFGWLTGKQPTFTKTRWYNIEKARRVLGYEPEVGVEEGVRRMVEWHSEY--------- 220904149 -KGRRVFVTGHTGFKGSWMAAWLSQLGAVVGGFDDVPTHYAAMNLGAHLERGDIRDRDAVVRAVRQFRPDVVFHLAAQALVRKSYDDPALTFEANMMGTLNVLEAVRACPDVSAAVMITSDKCYRNDEWVWRETDHLGGHDPYSASKGCAEIIAHSYFESFFKDGPAC-----ATVRAGNVIGGGDWAVDRIVPDCARAWAA--------GQAVQIRSPWATRPWQYLWLGARLLLGQNSPFDLRGQAYNFGPAADVNNTVAEVVDALALHWPGFASEMDKAGQAGECTLLKLCCDKALAHLGWKATLNFEETIRYTAEWYHCFYQGVGGKK- 140843827 -------ITGVAGFIGSALSERLLSNNFSVIGIDNLNKYYDVNLKLDRFIKMDLCDGPSIKGLLSDHQFDYILHMGAQAGVRYSLENPQGYIDSNIQGFFNLLEASKDTKLKHFVYASSSSVYGGSKNLPFSESENIAPVSLYAATKACNELMAFTYSHLHGIPLTGVRLFTVYGP----------WGRPDMAAFGFLRKILASEEIEIFNNGNMKRDFTYIDD------------------------------------------------------------------------------------------------------------- 140799540 MNKKNILITGVAGFIGFSLAERLLNKNIKIIGIDNINSYYSKRLKLKIFKKLDLMQKKKLEEIFNRNKFTSVINLAAQAGVRYSYKNPKSYTDSNIIGFINLIEAVKYYKVKKFIFASSSSIYGDDKPFPKSENSEPNPINLYSLSKLSNELLAKSIGKSMNTKIIGLRFFTIYGP----------------WGRPDMLIMKYLISSKKNKDFLLFNKGDHYRDFTYIDDAIDICVSLLSKKITK-RFDIFNICSSQPIHITKVINQINQFTKKPKILK------------------------------------------------------ 135342623 ---KKALVTGVTGQDGAYLAALLLEKGYEVYGFNRRASSSNTSRLEKLNIHGDMTDTSSIMKTLREVQPDEIYNLAAQSHVAVSFESPEYTANSDALGVLRILEAIKTSEKTKFYQASTSELFGLTQAVPQNEKTPFYPRSPYGVAKLYGYWISVNYREAHGIFASNGILFNHESP------LRGDDFVTKKISRALCEIKLGLRTTLHLGNLDAKRDWGHARDYVEAQWLILQHSQPDDFVIATGRQHSVRNFVEFAAKELGFVISWEGAHENEKGYDQSGRRPTEVESLIGDPSKANKLLGWSSNCTFEELVQEMVR-------------- 253578771 --SKVALITGITGQDGSYLAEFLLEKGYEVHGIVRRNTARIDHLIEKNVHDGDLSDSSGLIRLVGEIRPDEIYNLAAQSHVQVSFDAPEYSGDVDALGVLRVLEAVRVCKTCKVYQASTSELYGKVEEVPQKETTPFHPYSPYAVAKQYGFWMVKEYRDAYGMFAVNGILFNHESERRGENFV------TRKITLAAGRIAEGLQDHLELGNMDSLRDWGYAKDYVECMWLIMQQEKPEDFVIATGANGMTIRWEGTGIDEKGYDAATGKMLVCVNPQWF---RPTDVDNLWGDPTKAKTVLGWNPKTSYEELVEIMA----KNDRELAKREK 153806789 -KNAKIYVAGHHGLVGSAIWKNLQNKGYTNLV-------------GRTHKELDLLDSVSVRQFFDEEQPEYVFLAAAFVGIMANSIYRADFIYKNLQIQQNVIGESFRHNIKKLLFLGSTCIYPRDAKQPMKEDPLEYTNEPYAIAKIAGLKMCESFNLQYGTNYIAVMPTNLYGPNDNFDLERSHVLPAMIRKIHLAHCLKEGNWEAVRKDMNLWGTGTPLREFLWSEEMADASVFVMEHVDFKDRNCHINIGTGKEITIRQLAERIVETVGYQGKLTFDSSKPDGTMRKLTDPSKLHA-LGWHHKIEIEEGVRKMYEWYLK---------- 261855111 ---KTVIITGITGQDGAYLAQLLLEKGNVVYGTYRRTSSVNFWRIEEHLVEFDLTDMSSAIRLLQTTEATEVYNLAAQSFVGVSFDQPVTTAEITGIGPLNLLEAIRIVNPIRFYQASTSEMFGKVQAIPQIESTPFYPRSPYGVAKLYAHWMTINYRESYGIFGSSGILFNHESP------LRGREFVTRKITDNIAKIKLGKQNVLELGNLDAKRDWGYAKDYVEGMWRMLQADKPDTYVLATNRTETVRDFVTMACKAADISIVWEGKNEQERGIDSATYRPAEVELLIGNPEKAKRELGWEPKTTLEELCRMMVE-------------- 91773565 --GKKVIVTGNTGFKGSWLSIWLSLLGAKVYGLSNDIPSKPSHFEHIIHNEIDIRCLYDVRELINEIEPDFVFHLAAQPIVRASYNDPVETIETNVIGTMNILEALRLSNHPCIAIMITSDKCYDNVEWVWRETDPLGGEDPYSASKGAAELIIKTYARSF----FDKPNSNVASVRAGNVIGGGDWAKDRIVPDCIRAWGVKEK--------VQIRSPNATRPWQHVLEPLSGYLYLGQKLNENGEPFNFGPATNQNYTVAELISKMQEYWEDAEIQIDTASKQKEANLLKLCCDKALHVLGWQATLDFEKTVKFTVDWYRSYY-------- 34498493 ----TIVVTGAAGFIGSNLVKGLNQRGITDIIVDNLSNGDKFHNLVDCEISHYLDKHEFLHLLLDGEELSAILHQGACS--DTMNHDGKYMMDNNYQYTLALFDYCQHEEIQ-FLYASSAATYGKGT-VFKEERQHEGPLNVYGYSKFLFDQVLRQRIKEGSAQAVGFRYFNVYGPR------EQHKGRMASVAFHHFNQYREHGKVKLFGGWDGWENGMQSRDFVSVEDVVKVNLFFLD---NPGKSGIYNLGSGRSQPFNDTVNACRRHEGKPALTLAEMIQQGIVEYTQADIAKLREA-GYEAMLSVAEGVDRYVDW------------- 142419394 -----VMVCGGAGFIGSHLVERLLANGNSVDVVDDLSTGSLANLADARGMGGNLRDVASLEELIGLRQPEVVYHCALLAPDAADNSSVMRAM----PLLLSVLEAARNHHVKKVVVCIPAGVYGEAKFLPVKEGRKTDAISVPHVISDALIDLLGVYREKHGINFAVLATTNVYGLRQR---------PEDGVVAAFAAAITRGEDVRIFGTGKQT------RDFVYIDDVVD----ALVRAKERGDGVLINIGTGTQTTIEELFKLIAA--GTAVSARRVDARPGDLQRTAVSNVRAKIQLGWSPWTSLHDGL------------------- 142176673 -ERMKILVTGGAGYIGSHLVPFLLGQGHSVTVVDSFAYGQSSLLSEVINRRLELCDVRDFKKWATPLGADVVIPLAAIVGAPACQQRPAEAASINLTATLELFQ--RVSSGQLIIMPTTNSAYGSGRDGVFTEQSRLRPISQYAREKVEVEENLMQRDLSVSL-------------RLATVFGMSQRMRTDLLVNNFVLRALTDKVLPIFEGHFV-------RNYIHVRDVVNAIDLAIQSPSDFAGGVFNVGLSQANVTKLALARMVADRVGGVSVVEIDNQKDGDQRNYIVSNEKI-EAMGFKAEISLEDGIDELAR-------------- 142586513 MKNNSAVVFGAGGFIGNHLVNKLKMDGFWVRGVD-LKKPEFSETSADEFVLADLRDSKLVEEVCDRYQLAADMGGAGFIFTGDNDADI---MHNSAMINLNVVEATVKSGIKKIFYSSSACMYPDPDNPQCSEKSAYQPDSEYGWEKLFSERFYLAAQRNYGLKVRIARFHNIFGPKGTWQGGREKAPAAFCRKVA---------ETTNGGTIEMWGDGNQTRSFLYIDECLEGVRRLMDSNF----SGPVNIGSDEMVTINRLAEMTMEIAGKKLRIDHIEGPLG-VKGRNSDNELIYKKLGWKPSKPLKNGLEKTYKW------------- 116750783 MSSHKVLITGITGQDGAYLAAFLIRKGYRVHGIKRFNTQRIDHLYQDPHEQGDLTDATNLLRIIQEVQPDEIYNLAAQSHVKVSFETPEYTANADAMGTLRLLESIRIEARTRFYQASTSELYGKVQETPQTERTPFYPRSPYAVAKLYGYWITVNYREAYGIFGCNGILFNHESP-----IRGETFVTRKITRSAARIALGLQEKIYL-GNLSALRDWGHARDFTKAQWLILQQDQPDDYVIATGEQHSVREFCGTGVEETGRIAALRDSLRPGQTIVHVDPRPTEVETLLGNPAKARERLGWTPEVTFDHLVREMV--------------- 134495444 -DRKRVLVTGGAGFLGSHLCERLLDEGADVLCVDNFYSGSKDHLLSHPHFELMRHDYVEVDEIYNLACPASPIHYQ---------RDPVQTTKTSVHGAINLLGLAKRTGA-RILQASTSEVYGDPEIHPQTEDYWIGPRACYDEGKRAAETLFFDYHRQHGVEIKVARIFNTYGPRM--------HPNDGRVVSNFIVQALSGEAITVFGD------GTQTRSFCYVDDLIDDLMTLMASPAE--VTGPINLGNPNEV-------------------------------------------------------------------------- 20090039 --SKVALLTGVTGQDGAYLAELLLNKGYTVHGMHRRSTGRIDHLLQDPHHHGDLTDSANVFRLIKDIEPDEIYNLGAQSHVQVSFETPEYTANSDGLGALRILEAIRIEDKSKFYQASTSELFGKVREVPQKESTPFYPRSPYSVAKLYAYWITVNYREAYDMFACNGILFNHESPLRGETFVTRKITIAVSKIKKGLQDKLY------LGNLNAKRDWGFSGDYVEAMWLILQQDKPEDYVVATGETHSVREGIDIEWEGEGVNEIGRDANSGKILVEVDPMRPTEVDILIGDPSKAREKLGWKTKVSFEELVGMMVK-------------- 142529379 ---KTALITGSEGFIGNYLIRLLLLKNYKVIG-GYYKKKKFNKIDKVKYVYCDVRNLSNIKKVLNNYKPSIIFHLAAKSHPFYSFTNPIETMNTNVIGTINLLETCKDRNKSKIVIACSSGQYGSRPFTPMVESNQYDPEHIYGLSKVFQDSLCKQYYKMFKLNIIRAILFNTSGPGKKFDVFFDICNQFSKQFHKKKIVIK-------------CGNLNNFRDFMHVEDVAKALLFLSNKGLA---GESYNIGSAKLTKISRIIEILKRKYKKNIVTKIDKKRDYDERFITASIKKIKK-LGWKPR-------------------------- 142759459 ----------------------------EVIGVDNLGSDENKSLLKDAGVLFDFCDIRNVDKIFDEYGPDCIFNMAAQVAFKVSVENPRLDFEINALGTFNLLEALRTKSPKAFIYASTNQVYGELKDCRVPETHSLDFLSPYGCSKGAADMYVQDYGRVFNLNTVVTRFGGIYGTHQYS-------YEDHGWISYITNKVRLNEKFNRFGHGKQVRDILFIDDILDAMEKIYLNRGSL----KKGVCLNIGGGKENSLSVLELLTLLEELTGNKERSIINPMRKADKLVVYLDITKAKKEIDWEPSISFKEGIKKLIEWQNQN--------- 142762706 -KNKTVLITGINGFIGSNLSKLLLSLGAKIVGIDKKKNSLLEYKKDIKIHYLDLSDYKKLDTIIKNYQIHTCIHLAAQVDVNVASVNPFLTFESNIRGTYNLLELLRHQKSIKSIVVASSDKHYATKELPYKEDYDLRAKYPYDVSKAAGDMIAKSYSDMFKMPIMITRFTNIYGPGQLNFTALIPDCILSCFN---------------YRKFIPRSNGMNKRDFLFVEDVCDLYLCLSYNLAKDKRGEVFNAGTGSGYKVKDIVKKICIKSNNNENYQSILPRFGEIKNQFMTFDKINKYFKWKPRYSIDDGIHKTIIWYTDF--------- 168702104 ----RLLITGATGFIGAPAVRA--ARGHFEVHATA-RAPRAPVPDGVRFHRCDVLNAAEAEQLVADVRPTHLLHLAWVATPGVYWTTPE--NHRWAEASKRLLSAFVRNGGRRAVVTGSCAEYDWAAAGACRETDPPRPHTTYGRCKLAFGHWAETLGAARGVNVARARLFFLYGP---------HEHPARLVPSVARALLAGAPAACSAG--------TQVRDFLHVDDAADA----LVALTRAGLTGAVNVGSGTPVAVRDVIGRVAAACGRPELVRLGERPAAEPPLLVADVTRLRDELGWRPRIDLGTGLDETVGWWRAN--------- 92115326 -----VLITGGLGHVGSWVAYQLAKQGKQVIICDNLGLDYLETVRDKILESIDVLDYHSLFEVMLRHRGDGVVHGVSVIAGPNFQTRPYKHTTINTNGTLNVLETCRILGIKKVVNMSSGAVYGDA-SGPQSEATPYKATDLYGATKIAGELFGLQYTDTFGMDVRNARLFFVYGPGKRPSDMHQVYQAMFGPLEGLDNILAPNGS-------------EQALDWTHVHDTATGIVQLFEK--ESVTHRNFNISSGVTVAHTDIIRHVADLIGKTSNVVFVGRGAP------LDISLAEREIGFAPKTDIREGLHDYWQW------------- 288802221 ---KKALITGITGQDGSYLAELLLEKGYDVHGTIRRSSAHLEGRPHFHLHYADLGDSMSILGVISKVRPDEIYNLAAQSHVQVSFDSPEFTADVDAVGVLRILESVRMTETCRIYQASTSELYGKVEEVPQNENTPFHPYSPYAVAKQYGFWITKEYREAYNMYCCSGILFNHESERRGETFV------TRKITLAAARIKQGKQEKLYLGNLGSLRDWGYAKDYVECMWLILQQEKPEDFVIATGVQHCYYAFKRVGIELEFQGEDIDKATG-KVLIEVSPDRPTDVINLWGDPTKAKAKLGWNPSTSFEELVNIMVD-------------- 135452895 ---KKILVTGGAGFIGSKVAANLFNDGYDVYTIDNLSTGYEDNIPIGKFIKGD-CSSNKVTDALLKNKFHSILHIAGQSSGEISFEDPERDLRDNALSTLNLLNFAKKNGCRKFIYASTMSVYGNIKNNIINELNETEPLSMYAVGKLASENYLRIY-HNLGIQTVSLRLFNVYGPGQ----------------------------------------------------------------------------------------------------------------------------------------------------------- 142974783 ---KKIIVTGGSGFIGNNLVDFLIKKKYFVINIDKLTYANVKRKKNYKFYKLDINNRVKISKILRKYKPVAIFNLAAETHVDRSIDDPENFIKTNILGVFNLLEAKKQKINIKLIHVSTDEVYGDIKNGKRSEEFSYKPSSPYSASKASADHLVKAYIRTYKINAVISNCCNNYGP------------------------------------------------------------------------------------------------------------------------------------------------------------- 143907772 ----RVFITGGAGFIGSHLADHYVNAGHTVTVLDNFSTGSKSNIAHVTTIDGDIRNVELIDQLTK--DSDLILHMAAALGVNTILESPLESMSTNITGSEVVLNVAAKFN-KRIIIASTSEIYGKNPKQPLHETDPQKIRWTYSDAKAIEEAMAFALHQERKLPVTTVRLFNTVGPRQTGRY--------GMVVPRFVQAALKNEPITIYGD------GTQSRVFCHVADAVEAIAKIAESDSTIGD--VYNVGGRGEVTIKQLAEQVLAVTGSKSEITYTPYPAGDIQRRVPD--------------------------------------- 140804071 ----KYLVTGGLGFIGSNLCRHLKNKKNDILIIDNLSYAGSKTSLNLKHIKCSILEKNKLKDIFFDFQPEIIMHLAAETHVDKSIKSPKKFMETNILGTFNLLEVSRIYKKINFMHISTDEVFGDKSNKLFSEKTSYNPSSPYSASKASSDHLVRAWAKTFNLDYVITNCSNNYG----------QFQYPEKFIPRMILSALNHKNLPIYGDGL------QKRDWLHVSDH------------------------------------------------------------------------------------------------------ 289827249 ----------------------------------------------------------------------YVLHQAALGSVPRSLKDPIATNSANIDGFLNMLTAARDAHVSSFTYAASSSTYGDHPDLPKIEERIGRPLSPYAVTKYVNELYADVFARSYEFNAIGLRYFNVFGRRQN------PNGAYSAVIPRWILSLLKDEPIYINGD------GSTSRDFCYIENVIQANLLSATTNDLASKNKVYNVAVGDRTSLNELYYLIRDGLNSRAEPIYKDFRDGDVKHSQADITKIKTFLSYEPEFDIKEGLKQTLKWYID---------- 146342030 -ANEKYLILGSNSFSGATFVDFLAAAGHDVIATSRSDEPHDAFLPYKWFKRVDLHDLDVLKALLASERPTHVVNFAAQSMVGESWLYPDHWMMTNVVSAVRLHDILRNYDGLDRYVHVTTPEVYGSTEGWVREDAPFNPSTPYAVSRAAGDMSLRTYFANYQFPVVFTRAANVYGPGQ-QLYRIVPRTIVAAMTGQKLRLDGGGKSVRV---------------FIHMTDVSDATV----KIGRSGKPGETYHISGYEVSIRTLVEMILARLGKEDCVEIGPERPGKDTAYTLDSFKLRTELGWRDTFALEQGIDDVIAWARRF--------- 308271088 -RKKTVLILGVNGFIGNHLSERLLDSGYEVYGMD-LSTSAINRLLERPGFHFKEGDIGIMHEWIEYHKCDIILPLVAIATPIEYVRNPLRVFELDFEENLRIVRYCVKYK-KKLIFPSTSEVYGMCTDSEFDENFVLGPIHKQRWGKQMLDRVIWAYGATNGLNFTLIRPFNWLGPRLDTLASARIGSSRVITQFILNLVEGRPIRLVDGG--------CQKRCFTDVKDGIDCLFKIIEDKNNNSNGRIFNIGNDNEKSMAELAEILIEKFSAHPLRKHFPPLAGDVEHRRPSIRQAKTLLGWEPVVSFAQSVEETLDFFL----------- 238022647 ----TIIVTGAAGFIGSNIVKALNRRGETDIIVDNLTNGHKFRNLA----DCDIAHYLDVREHLVPHDIRAVFHQGACSNTME--HNGQYMMENNYQYSLDLLDWCQDERIP-FLYASSAAVYGKGT-AFREERALEKPLNVYGYSKFLFDQVVRRRIAQGTAQVVGFRYFNVYGMR------EQHKGRMASVAYHHFNQYRSQGYVNLFGAYENYGNGEHSRDFVSVEDVAKVNLYFFDNFEKSG---IFNLGTGRSQPFNDTVNACRAAEGKPRYIPFPEDLAGKYQSTQADTEKLRAA-GYADDYTVEQGVERYVAWLLE---------- 140356039 ------LVTGGAGFIGSNLVDQLIEIGHQVIVIDNYSDAHEQYNDKAEYHNLDIRD-DATRSLYDGV--DYVFHIAAEARIGPSIENPTETVSINSFGTCTVLQFAREAGVKRVVYSSTSSAYGL-QEPPHVEALPDDCLNPYSVSKVNGEKLCKMYTDLFGLETVIFRYFNVYGNRQ----------------------------------------------------------------------------------------------------------------------------------------------------------- 138051496 --------------------KLLLSKGHNVFGIDNLNQYYDPKHKNLRQEKIDLCDRRKLDSLFQENKFDIIINLAAQAGVRYSIENPSAYIDSNIVGFVNLLEACRSQDIKHFIFASSSSVYGLNTKQPFATNDITDPISLYAATKKSNEVIAHAYSHLFSIPTTGLRFFTVYGTH--------GRPDMAYFKFTEAIYRGD--------VIDVYNSGIMKRDFTYIDDITFAIEALLEKPPERAKYKIYNIGNNNPITLRRFIGAIEQALHQKALEKHLPMQPGDV--------------------------------------------- 228469912 MSRPIALITGITGQDGSYLAELLLSKGYEVHGASYFNTARIEHLYLDDWVRADMTDSSSLIRIIQEVRPTEIYNLAAQSHVKVSFDVPEYTGDVTAIGTLRLLEAVRMSQSVRIYQASTSELYGHVAETPQNELTPFRPCSPYACAKLYAYWIIRNYRESYGMFAVNGILFNHESERRGENFV------TRKITMAAARIKLGLQDKLYLGNLNALRDWGYAPDYVYCMWLMLQNEKPEDFVIATGEQHSVREFRYVGIDLEWHEEGVDRATGRVLVAVDESFRPAEVETLLGDPTKARQLLGWNPRTPFEELIKRMVE-------------- 140101124 ----------------------------------------------------------------------------------------------------------------RFHHVSTDEVFGLNGEDKFSETTPYNPHSPYSASKAASDHLVRAYYDTYQLPITISNCTNNYGP--------WHFPEKMIP--LAITRLLDNKTVPIYGEG------KNVRDWLYVED----HCRALDLILTQGKGETYCIGGGAEVPNLAVAQLLVQLMGKESLITFVKDRPGHDLRYAMNSSKIKTDLGWQPQVTFTEGIELTIDWFKDHSEWVKR--- 297538445 ----KILVTGANGFVGSALCAELLRQKHVVVAVV-----RKENTELGISIQCSIDNNTDWSAALRNV--DVVVHLAARVHVDHAADPLAEFRKVNVEGPLNLARQASKASVKRFIFMSSIKVNGEHTDLPFTEESAVNPQDPYGTSKFEAEQGLMLIARQTGMEIVVIRPPLVYGAGVKANFASMMRMVKRSIP-------------------LPLGAIHNKRSFVYIDNLVSLIMKCINHPAAA--NQVFLVSDGNDLSTTELLRGCASALGVRSRIEFLAALIGDVAQRLCDITKARRLLDWKPPITVEEGLKAT----------------